US7127687B1 - Method and apparatus for determining transistor sizes - Google Patents

Method and apparatus for determining transistor sizes Download PDF

Info

Publication number
US7127687B1
US7127687B1 US10/684,637 US68463703A US7127687B1 US 7127687 B1 US7127687 B1 US 7127687B1 US 68463703 A US68463703 A US 68463703A US 7127687 B1 US7127687 B1 US 7127687B1
Authority
US
United States
Prior art keywords
voltage
wise
piece
current source
current
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US10/684,637
Inventor
Nicholas D. Signore
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oracle America Inc
Original Assignee
Sun Microsystems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sun Microsystems Inc filed Critical Sun Microsystems Inc
Priority to US10/684,637 priority Critical patent/US7127687B1/en
Assigned to SUN MICROSYSTEMS, INC. reassignment SUN MICROSYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SIGNORE, NICHOLAS D.
Application granted granted Critical
Publication of US7127687B1 publication Critical patent/US7127687B1/en
Assigned to Oracle America, Inc. reassignment Oracle America, Inc. MERGER AND CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: Oracle America, Inc., ORACLE USA, INC., SUN MICROSYSTEMS, INC.
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Definitions

  • the present invention generally relates to methods of designing integrated circuits. More specifically, the present invention relates to methods of designing integrated circuits that include performing a logical effort analysis that selects near optimal transistor gate widths from a predetermined group of transistor widths.
  • Integrated circuit (“IC”) design consists of a number of steps. Typically, the design starts with a specification of the functional performance characteristics of the IC. The IC is then typically broken down into more manageable blocks so that the blocks may be divided among multiple designers and analyzed in pieces by computer aided design tools. Next, logic designers write register transfer level (“RTL”) descriptions of each block. Next, floor plans are created for each of the blocks. After verifying that the RTL descriptions are accurate, the designers estimate the size of each block. Then designers create a floor plan that describes the relative placement of the blocks on the IC. Finally, the designers layout the actual circuits of each block.
  • RTL register transfer level
  • the method of logical effort is a simple way to estimate delay in a complementary metal oxide semiconductor (“CMOS”) circuit.
  • CMOS complementary metal oxide semiconductor
  • the method can also be utilized to determine the proper number of logic stages on a path and the best transistor sizes for the logic gates.
  • the delay incurred by a logic gate is comprised of two components.
  • the first component is known as “parasitic delay.”
  • the second component known as “effort delay,” is proportional to the load on the logic gate's output.
  • the effort delay is equal to the “logical effort” multiplied by the “electrical effort.”
  • logical effort characterizes the properties of the logic gates and electrical effort characterizes the load.
  • Logical effort is a unitless parameter defined so that an inverter has a logical effort of one.
  • the logical effort of several common gates, assuming a beta ratio (pull-up transistor width/pull-down transistor width) of 2 is shown in the following table:
  • the logical effort of a logic element describes how much worse the logic gate is at driving an output load when compared to an inverter. Accordingly, the logical effort of a gate indicates how much more slowly the logic gate will drive a load than would an inverter.
  • the electrical effort can be defined as the ratio of the capacitance that loads the output of the logic gate divided by the capacitance presented by the input terminal of the logic gate.
  • One embodiment of the invention is a method of determining at least one ratio of transistor sizes.
  • the method includes creating a sizing model by replacing at least one logic element in a circuit description with a sizing element that includes a piece-wise linear current source.
  • the method also includes determining a steady state solution to the sizing mode and determining at least one ratio of transistor sizes from the steady state solution.
  • the method may also include determining at least one dimension of a transistor based at least in part upon the ratio of transistor sizes.
  • FIG. 1 presents a method of selecting logic elements from a library of logic gates.
  • FIG. 2 presents a circuit description of a simple circuit.
  • FIG. 3 presents a sizing model that corresponds to the circuit of FIG. 2 .
  • FIG. 4 presents a sizing element.
  • FIG. 5 presents a plot of voltage and current for a piece-wise-linear (“PWL”) current source.
  • FIG. 6 presents a plot of voltage and current for another PWL current source.
  • FIG. 7 presents a less conservative plot of voltage and current for a PWL current source.
  • FIG. 8 presents a high-level diagram of a computer system.
  • One embodiment of the invention is a method, shown in FIG. 1 , that assists circuit designers in selecting logic gates from a library of logic gates.
  • the first step of the method shown in FIG. 1 which is shown in Block 110 , is creating a circuit description.
  • the circuit description details the various logic elements in a circuit design.
  • the circuit description details how the logic elements are connected. This step is conventional.
  • Designers can utilize various well-known tools for creating the circuit description. For example, various schematic capture tools, such “Electric” or schematic capture tools produced by Cadence Design System, Inc., could be utilized to create a circuit description. Alternatively, various automatic circuit tools could create the circuit description based upon a number of functional requirements.
  • the circuit description 200 includes three NAND gates 210 , 220 , and 230 .
  • the circuit description includes four inputs 240 , 250 , 260 , and 270 and two outputs 280 and 290 .
  • the circuit description also shows that the output of NAND gate 210 is coupled to one input of NAND gate 220 and one input of NAND gate 230 .
  • each logic element in the circuit is replaced with a sizing element.
  • This step may be performed by an automated tool, by a circuit designer, or by a combination of the two.
  • FIG. 3 presents a “sizing” model in which each logic element in FIG. 2 is replaced with a sizing element.
  • NAND gate 210 is replaced with sizing element 310 .
  • NAND gates 220 and 230 are replaced with sizing elements 320 and 330 .
  • Sizing model 300 includes two outputs 380 and 390 , which correspond to inputs 280 and 290 .
  • sizing model 300 includes four outputs 340 , 350 , 360 , and 370 that correspond to inputs 240 , 250 , 260 , and 270 .
  • FIG. 4 presents a more detailed drawing of sizing element 410 , which corresponds to sizing element 310 .
  • sizing element 410 includes a sink terminal 451 .
  • This sink terminal 451 is coupled to a sink element 452 , which is also coupled to ground.
  • the sink element 452 contains a resistor.
  • the size of the resistor is equal to the inverse of the fanout ratio of logic gate 210 .
  • Sizing element 410 also contains a first source terminal 450 .
  • the first source terminal 450 is coupled to a first piece-wise-linear (“PWL”) current source 455 , which is also coupled to ground.
  • PWL piece-wise-linear
  • the first PWL current source 455 will deliver a DC current at the first source terminal 450 .
  • the first PWL current source 455 can be configured to output a particular current value based upon the voltage present on sink terminal 451 . For example, as shown in FIG. 5 , if sink terminal 451 were at a voltage less than or equal to 3 volts, then the first PWL current source 455 would output 4 amps. If sink terminal 451 were at a voltage slightly greater than 3 volts, then the first PWL current source 455 would output 8 amps. Similarly, if sink terminal 451 were at a voltage slightly greater than 6 volts, then the first PWL current source 455 would output 16 amps. If the sink terminal 451 were at a voltage greater than 12 volts, then the first PWL current source 455 would output 48 amps.
  • the current values output by the first PWL current source 455 directly correspond to the input capacitance (and hence transistor size) of logic gates in a library.
  • the current values may be proportional to the input capacitance of logic gates in a library.
  • the 4 amp current may correspond to the input capacitance of a first NAND gate
  • the 8 amp current may correspond to the input capacitance of a second NAND gate
  • the 16 amp current may correspond to the input capacitance of a third NAND gate
  • the 48 amp current may correspond to the input capacitance of a fourth NAND gate.
  • Other embodiments of the invention may utilize discrete currents for a larger number of logic gates, such as 5, 6, 7, 8, 9, 10, or more.
  • still other embodiments of the invention may utilize only two or three discrete currents for selection among a smaller number of logic gates.
  • the first PWL current source 455 can easily be simulated utilizing the PWL function present in various circuit simulators, such as SPICE.
  • FIG. 5 is a transfer function between ideal gates and actual gates that are present in a particular library.
  • Sizing element 410 also contains a second source terminal 460 .
  • the second source terminal is coupled to a second PWL current source 465 , which is also coupled to ground.
  • the second PWL current source 465 is configured identically to the first PWL current source 455 .
  • the PWL current sources 455 and 465 are configured differently.
  • Sizing model 300 includes only PWL current sources and resistors.
  • voltages need to be applied to sinks 380 and 390 of summing elements 320 and 330 . These voltages may represent the capacitance of a fixed load. After the voltages are so applied, the voltages and currents on the various nodes of the sizing model can be easily determined. For example, such voltages and currents can be rapidly determined by hand calculations or by circuit analysis programs, such as SPICE.
  • the transistor sizes of the logic gates in FIG. 2 can be determined.
  • the resulting voltages and currents on various nodes of the sizing model can be utilized to determine the appropriate sizes of the transistors within logic gates 210 , 220 , and 230 .
  • the actual transistor gate width of NAND gate 210 can be determined by multiplying the value of the voltage appearing across the resistor in sizing element 310 by the width of a reference transistor utilized in a reference logic gate.
  • the reference transistor width is the width of an n-type transistor gate of an inverter with a fixed beta ratio.
  • FIG. 6 presents a transfer function that can be utilized to automatically select one of four inverters. This transfer function is similar to the transfer function of FIG. 5 except that the y-axis values have been reduced by 3 ⁇ 4, i.e., the ratio of the logical efforts of an inverter (1) and a NAND gate (4/3).
  • the transfer function shown in FIG. 6 is conservative. For example, if an inverter with an input capacitance 3.01 is needed, then an inverter with an input capacitance of 6 is automatically selected. Other embodiments of the invention utilize less conservative transfer functions.
  • Another embodiment of the invention is a device, such as a hard disk drive, a CD ROM, a DVD ROM, a floppy disk, a flash disk, or a tape, containing machine readable instructions, that when executed, performs portions of one or more of the above described methods.
  • Still another embodiment of the invention is a computer system programmed to perform portions of one or more of the above described methods.
  • a computer system is shown in FIG. 8 .
  • computer system 800 would include memory 810 , one or more processors 820 , and interface devices 830 , such as keyboards, mice and trackballs.
  • the computer system could include a communication interface 840 , such as an Ethernet network interface that allows the computer system 800 to communicate with other computers.
  • Yet another embodiment of the invention is an integrated circuit that is designed utilizing portions of one or more of the above methods.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A method of determining at least one ratio of transistor sizes. The method includes creating a sizing model by replacing at least one logic element in a circuit description with a sizing element that includes a piece-wise-linear current source. The method also includes determining a steady state solution to the sizing mode and determining at least one ratio of transistor sizes from the steady state solution. The method may also include determining at least one dimension of a transistor based at least in part upon the ratio of transistor sizes.

Description

1. FIELD OF THE INVENTION
The present invention generally relates to methods of designing integrated circuits. More specifically, the present invention relates to methods of designing integrated circuits that include performing a logical effort analysis that selects near optimal transistor gate widths from a predetermined group of transistor widths.
2. BACKGROUND
Integrated circuit (“IC”) design consists of a number of steps. Typically, the design starts with a specification of the functional performance characteristics of the IC. The IC is then typically broken down into more manageable blocks so that the blocks may be divided among multiple designers and analyzed in pieces by computer aided design tools. Next, logic designers write register transfer level (“RTL”) descriptions of each block. Next, floor plans are created for each of the blocks. After verifying that the RTL descriptions are accurate, the designers estimate the size of each block. Then designers create a floor plan that describes the relative placement of the blocks on the IC. Finally, the designers layout the actual circuits of each block.
One of the most difficult challenges for a designer is laying out the circuit so that the circuit meets timing constraints. Without a systematic approach, designers resort to continually simulating and modifying the design to achieve the timing constraints.
One way to assist designers in meeting timing criteria is provided by a method of logical effort. The method of logical effort is a simple way to estimate delay in a complementary metal oxide semiconductor (“CMOS”) circuit. The method can also be utilized to determine the proper number of logic stages on a path and the best transistor sizes for the logic gates.
According to the method of logical effort, the delay incurred by a logic gate is comprised of two components. The first component is known as “parasitic delay.” The second component, known as “effort delay,” is proportional to the load on the logic gate's output. The effort delay is equal to the “logical effort” multiplied by the “electrical effort.” As discussed below, logical effort characterizes the properties of the logic gates and electrical effort characterizes the load.
Logical effort is a unitless parameter defined so that an inverter has a logical effort of one. The logical effort of several common gates, assuming a beta ratio (pull-up transistor width/pull-down transistor width) of 2, is shown in the following table:
Number of Inputs
Gate type
1 2 3 4 5 n
Inverter
1
NAND 4/3 5/3 6/3  7/3  (n + 2)/3
NOR 5/3 7/3 9/3 11/3 (2n + 1)/3
Multiplexer 2  2  2 2 2
XOR (parity) 4 12 32
In general, the logical effort of a logic element describes how much worse the logic gate is at driving an output load when compared to an inverter. Accordingly, the logical effort of a gate indicates how much more slowly the logic gate will drive a load than would an inverter.
The electrical effort can be defined as the ratio of the capacitance that loads the output of the logic gate divided by the capacitance presented by the input terminal of the logic gate.
In summary, the effort delay incurred by a logic gate can be stated as:
effort delay=(logical effort)(electrical effort)+parasitic delay
The method of logical effort analysis is described more completely in Logical Effort: Designing Fast CMOS Circuits, by Ivan Sutherland, Bob Sproull, and David Harris, Morgan Kaufman Publishers, Inc. (1999), ISBN # 1-55860-557-6.
3. SUMMARY OF THE INVENTION
One embodiment of the invention is a method of determining at least one ratio of transistor sizes. The method includes creating a sizing model by replacing at least one logic element in a circuit description with a sizing element that includes a piece-wise linear current source. The method also includes determining a steady state solution to the sizing mode and determining at least one ratio of transistor sizes from the steady state solution. The method may also include determining at least one dimension of a transistor based at least in part upon the ratio of transistor sizes.
4. BRIEF DESCRIPTION OF THE FIGURES
FIG. 1 presents a method of selecting logic elements from a library of logic gates.
FIG. 2 presents a circuit description of a simple circuit.
FIG. 3 presents a sizing model that corresponds to the circuit of FIG. 2.
FIG. 4 presents a sizing element.
FIG. 5 presents a plot of voltage and current for a piece-wise-linear (“PWL”) current source.
FIG. 6 presents a plot of voltage and current for another PWL current source.
FIG. 7 presents a less conservative plot of voltage and current for a PWL current source.
FIG. 8 presents a high-level diagram of a computer system.
5. DETAILED DESCRIPTION
The following description is presented to enable any person skilled in the art to make and use the invention, and is provided in the context of a particular application and its requirements. Various modifications to the disclosed embodiments will be readily apparent to those skilled in the art, and the general principles defined herein may be applied to other embodiments and applications without departing from the spirit and scope of the present invention. Thus, the present invention is not intended to be limited to the embodiments shown, but is to be accorded the widest scope consistent with the principles and features disclosed herein.
One embodiment of the invention is a method, shown in FIG. 1, that assists circuit designers in selecting logic gates from a library of logic gates.
5.1 Create a Circuit Description
The first step of the method shown in FIG. 1, which is shown in Block 110, is creating a circuit description. The circuit description details the various logic elements in a circuit design. In addition, the circuit description details how the logic elements are connected. This step is conventional.
Designers can utilize various well-known tools for creating the circuit description. For example, various schematic capture tools, such “Electric” or schematic capture tools produced by Cadence Design System, Inc., could be utilized to create a circuit description. Alternatively, various automatic circuit tools could create the circuit description based upon a number of functional requirements.
An example of a simple circuit description is shown in FIG. 2. The circuit description 200 includes three NAND gates 210, 220, and 230. The circuit description includes four inputs 240, 250, 260, and 270 and two outputs 280 and 290. The circuit description also shows that the output of NAND gate 210 is coupled to one input of NAND gate 220 and one input of NAND gate 230.
5.2 Replace Logic Elements with Sizing Elements
After the circuit description is created, as shown in Block 120 of FIG. 1, each logic element in the circuit is replaced with a sizing element. This step may be performed by an automated tool, by a circuit designer, or by a combination of the two. FIG. 3 presents a “sizing” model in which each logic element in FIG. 2 is replaced with a sizing element. Specifically, NAND gate 210 is replaced with sizing element 310. Similarly, NAND gates 220 and 230 are replaced with sizing elements 320 and 330. Sizing model 300 includes two outputs 380 and 390, which correspond to inputs 280 and 290. In addition, sizing model 300 includes four outputs 340, 350, 360, and 370 that correspond to inputs 240, 250, 260, and 270.
FIG. 4 presents a more detailed drawing of sizing element 410, which corresponds to sizing element 310. As shown in FIG. 4, sizing element 410 includes a sink terminal 451. This sink terminal 451 is coupled to a sink element 452, which is also coupled to ground. As shown in FIG. 4, the sink element 452 contains a resistor. In some embodiments of the invention, the size of the resistor is equal to the inverse of the fanout ratio of logic gate 210.
Sizing element 410 also contains a first source terminal 450. The first source terminal 450 is coupled to a first piece-wise-linear (“PWL”) current source 455, which is also coupled to ground. The first PWL current source 455 will deliver a DC current at the first source terminal 450.
The first PWL current source 455 can be configured to output a particular current value based upon the voltage present on sink terminal 451. For example, as shown in FIG. 5, if sink terminal 451 were at a voltage less than or equal to 3 volts, then the first PWL current source 455 would output 4 amps. If sink terminal 451 were at a voltage slightly greater than 3 volts, then the first PWL current source 455 would output 8 amps. Similarly, if sink terminal 451 were at a voltage slightly greater than 6 volts, then the first PWL current source 455 would output 16 amps. If the sink terminal 451 were at a voltage greater than 12 volts, then the first PWL current source 455 would output 48 amps.
In some embodiments of the invention, the current values output by the first PWL current source 455 directly correspond to the input capacitance (and hence transistor size) of logic gates in a library. For example, the current values may be proportional to the input capacitance of logic gates in a library. Thus, referring to FIG. 5, the 4 amp current may correspond to the input capacitance of a first NAND gate; the 8 amp current may correspond to the input capacitance of a second NAND gate; the 16 amp current may correspond to the input capacitance of a third NAND gate; and the 48 amp current may correspond to the input capacitance of a fourth NAND gate. Other embodiments of the invention may utilize discrete currents for a larger number of logic gates, such as 5, 6, 7, 8, 9, 10, or more. Similarly, still other embodiments of the invention may utilize only two or three discrete currents for selection among a smaller number of logic gates. The first PWL current source 455 can easily be simulated utilizing the PWL function present in various circuit simulators, such as SPICE.
By configuring the first PWL current source 455 so that it can output one of four discrete currents, one of four different NAND gates can be automatically selected based upon the voltage present on sink terminal 451. Thus, it can be seen that FIG. 5 is a transfer function between ideal gates and actual gates that are present in a particular library.
Sizing element 410 also contains a second source terminal 460. The second source terminal is coupled to a second PWL current source 465, which is also coupled to ground. In some embodiments of the invention, the second PWL current source 465 is configured identically to the first PWL current source 455. However, in other embodiments of the invention, the PWL current sources 455 and 465 are configured differently.
5.3 Determine the Steady-State Solution to the Sizing Model
Next, as shown in block 130 of FIG. 1, the steady-state solution of sizing model 300 is determined. Sizing model 300 includes only PWL current sources and resistors. In order to avoid a trivial zero-voltage and zero-current solution, voltages need to be applied to sinks 380 and 390 of summing elements 320 and 330. These voltages may represent the capacitance of a fixed load. After the voltages are so applied, the voltages and currents on the various nodes of the sizing model can be easily determined. For example, such voltages and currents can be rapidly determined by hand calculations or by circuit analysis programs, such as SPICE.
5.4 Determine Transistor Size from the Steady-State Solution
Next, as shown in block 140 of FIG. 1, the transistor sizes of the logic gates in FIG. 2 can be determined. The resulting voltages and currents on various nodes of the sizing model can be utilized to determine the appropriate sizes of the transistors within logic gates 210, 220, and 230. For example, the actual transistor gate width of NAND gate 210 can be determined by multiplying the value of the voltage appearing across the resistor in sizing element 310 by the width of a reference transistor utilized in a reference logic gate. In some embodiments of the invention, the reference transistor width is the width of an n-type transistor gate of an inverter with a fixed beta ratio.
5.5 Other Embodiments of the Invention
While the methods described above utilize only NAND gates, any type of logic gates could be similarly sized using the methods discussed above. For example, FIG. 6 presents a transfer function that can be utilized to automatically select one of four inverters. This transfer function is similar to the transfer function of FIG. 5 except that the y-axis values have been reduced by ¾, i.e., the ratio of the logical efforts of an inverter (1) and a NAND gate (4/3).
The transfer function shown in FIG. 6 is conservative. For example, if an inverter with an input capacitance 3.01 is needed, then an inverter with an input capacitance of 6 is automatically selected. Other embodiments of the invention utilize less conservative transfer functions. One such transfer function, which can be utilized to automatically select one of four inverters, is shown in FIG. 7. Note that the three “switch points” of FIG. 7 occur at higher voltage levels than the switch points of FIG. 6. In still other embodiments of the invention, some but not all switch points may occur at higher voltage levels than shown in FIG. 6.
Another embodiment of the invention is a device, such as a hard disk drive, a CD ROM, a DVD ROM, a floppy disk, a flash disk, or a tape, containing machine readable instructions, that when executed, performs portions of one or more of the above described methods.
Still another embodiment of the invention is a computer system programmed to perform portions of one or more of the above described methods. Such a computer system is shown in FIG. 8. In some embodiments of the invention, computer system 800 would include memory 810, one or more processors 820, and interface devices 830, such as keyboards, mice and trackballs. In addition, the computer system could include a communication interface 840, such as an Ethernet network interface that allows the computer system 800 to communicate with other computers.
Yet another embodiment of the invention is an integrated circuit that is designed utilizing portions of one or more of the above methods.
5.6 Conclusion
The foregoing descriptions of embodiments of the present invention have been presented for purposes of illustration and description only. They are not intended to be exhaustive or to limit the present invention to the forms disclosed. Accordingly, many modifications and variations will be apparent to practitioners skilled in the art. Additionally, the above disclosure is not intended to limit the present invention. The scope of the present invention is defined by the appended claims.

Claims (17)

1. A method of determining at least one ratio of transistor sizes, the method comprising:
a) creating a sizing model by replacing at least one logic element in a circuit description with a sizing element that includes a piece-wise-linear current source, wherein the act of creating a sizing model includes creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage;
b) determining a steady state solution to the sizing model; and
c) determining at least one ratio of transistor sizes from the steady state solution.
2. The method of claim 1, further comprising:
d) determining at least one dimension of a transistor based at least in part upon the ratio of transistor sizes.
3. The method of claim 1, wherein the act of creating a sizing model includes creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the second current being greater than the first current, and the second voltage being greater than the first voltage.
4. The method of claim 1, wherein the act of creating a sizing model includes creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the first current corresponding to the input capacitance of a first logic element, the second current corresponding to the input capacitance of a second logic element.
5. The method of claim 1, wherein the act of creating a sizing model includes creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the first current being proportional to the input capacitance of a first logic element, the second current being proportional to the input capacitance of a second logic element.
6. The method of claim 1, wherein the act of creating a sizing model includes creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the first current corresponding to the input capacitance of a first logic element, the second current corresponding to the input capacitance a second logic element, both the first logic element and the second logic element being of the same type and selected from the group of types: inverter, AND, NAND, OR, NOR, XOR, and multiplexer.
7. The method of claim 1, wherein the act of creating a sizing model includes creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the first current being proportional to the input capacitance of a first logic element, the second current being proportional to the input capacitance of a second logic element, both the first logic element and the second logic element being of the same type and selected from the group of types: inverter, AND, NAND, OR, NOR, XOR, and multiplexer.
8. A device containing machine readable instructions, that when executed perform a method of determining at least one ratio of transistor sizes, the method comprising:
a) creating a sizing model by replacing at least one logic element in a circuit description with a sizing element that includes a piece-wise-linear current source, wherein creating a sizing model includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage;
b) determining a steady state solution to the sizing model; and
c) determining at least one ratio of transistor sizes from the steady state solution.
9. The device of claim 8, further containing computer readable instructions for:
d) determining at least one dimension of a transistor based at least in part upon the ratio of transistor sizes.
10. The device of claim 8, further containing computer readable instructions for creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the second current being greater than the first current, and the second voltage being greater than the first voltage.
11. The device of claim 8, further containing computer readable instructions for creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the first current corresponding to the input capacitance of a first logic element, the second current corresponding to the input capacitance of a second logic element.
12. The device of claim 8, further containing computer readable instructions for creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the first current being proportional to the input capacitance of a first logic element, the second current being proportional to the input capacitance of a second logic element.
13. The device of claim 9, further containing computer readable instructions for creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the first current corresponding to the input capacitance of a first logic element, the second current corresponding to the input capacitance of a second logic element, both the first logic element and the second logic element being of the same type and selected from the group of types: inverter, AND, NAND, OR, NOR, XOR, and multiplexer.
14. The device of claim 8, further containing computer readable instructions for creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the first current being proportional to the input capacitance of a first logic element, the second current being proportional to the input capacitance of a second logic element, both the first logic element and the second logic element being of the same type and selected from the group of types: inverter, AND, NAND, OR, NOR, XOR, and multiplexer.
15. An integrated circuit created at least in part by a method of determining at least one ratio of transistor sizes, the method comprising:
a) creating a sizing model by replacing at least one logic element in a circuit description with a sizing element that includes a piece-wise-linear current source, wherein the sizing model includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage;
b) determining a steady state solution to the sizing model; and
c) determining at least one ratio of transistor sizes from the steady state solution.
16. The integrated circuit of claim 15, wherein the integrated circuit was created at least in part by determining at least one dimension of a transistor based at least in part upon the ratio of transistor sizes.
17. The integrated circuit of claim 15, wherein the integrated circuit was created at least in part by creating a sizing model that includes a piece-wise-linear current source configured to (1) select a first current if the voltage at the piece-wise-linear current source is less than or equal to a first voltage, and (2) select a second current if the voltage at the piece-wise-linear current source is greater than the first voltage and less than or equal to a second voltage, the second current being greater than the first current, and the second voltage being greater than the first voltage.
US10/684,637 2003-10-14 2003-10-14 Method and apparatus for determining transistor sizes Active 2024-12-30 US7127687B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/684,637 US7127687B1 (en) 2003-10-14 2003-10-14 Method and apparatus for determining transistor sizes

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/684,637 US7127687B1 (en) 2003-10-14 2003-10-14 Method and apparatus for determining transistor sizes

Publications (1)

Publication Number Publication Date
US7127687B1 true US7127687B1 (en) 2006-10-24

Family

ID=37110716

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/684,637 Active 2024-12-30 US7127687B1 (en) 2003-10-14 2003-10-14 Method and apparatus for determining transistor sizes

Country Status (1)

Country Link
US (1) US7127687B1 (en)

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9054219B1 (en) 2011-08-05 2015-06-09 Mie Fujitsu Semiconductor Limited Semiconductor devices having fin structures and fabrication methods thereof
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9431068B2 (en) 2012-10-31 2016-08-30 Mie Fujitsu Semiconductor Limited Dynamic random access memory (DRAM) with low variation transistor peripheral circuits
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US10074568B2 (en) 2009-09-30 2018-09-11 Mie Fujitsu Semiconductor Limited Electronic devices and systems, and methods for making and using same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5446676A (en) * 1993-03-29 1995-08-29 Epic Design Technology Inc. Transistor-level timing and power simulator and power analyzer
US5880967A (en) * 1995-05-01 1999-03-09 Synopsys, Inc. Minimization of circuit delay and power through transistor sizing
WO2002023409A2 (en) * 2000-09-15 2002-03-21 Sun Microsystems, Inc. Determining transistor widths using the theory of logical effort

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5446676A (en) * 1993-03-29 1995-08-29 Epic Design Technology Inc. Transistor-level timing and power simulator and power analyzer
US5880967A (en) * 1995-05-01 1999-03-09 Synopsys, Inc. Minimization of circuit delay and power through transistor sizing
WO2002023409A2 (en) * 2000-09-15 2002-03-21 Sun Microsystems, Inc. Determining transistor widths using the theory of logical effort
US6629301B1 (en) 2000-09-15 2003-09-30 Sun Microsystems, Inc. Determining transistor widths using the theory of logical effort

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Berkelaar et al., Computing the entire active area/power consumption versus delay tradeoff curve for gate sizing with piecewise linear simulator','IEEE Transaction on Computer-Aided Design, vol. 15, pp. 1424-1414. *
Sutherland et al., Logical Effort Designing Fast CMOS Circuits, 1999.

Cited By (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10074568B2 (en) 2009-09-30 2018-09-11 Mie Fujitsu Semiconductor Limited Electronic devices and systems, and methods for making and using same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US11062950B2 (en) 2009-09-30 2021-07-13 United Semiconductor Japan Co., Ltd. Electronic devices and systems, and methods for making and using the same
US11887895B2 (en) 2009-09-30 2024-01-30 United Semiconductor Japan Co., Ltd. Electronic devices and systems, and methods for making and using the same
US10224244B2 (en) 2009-09-30 2019-03-05 Mie Fujitsu Semiconductor Limited Electronic devices and systems, and methods for making and using the same
US9508800B2 (en) 2009-09-30 2016-11-29 Mie Fujitsu Semiconductor Limited Advanced transistors with punch through suppression
US10217668B2 (en) 2009-09-30 2019-02-26 Mie Fujitsu Semiconductor Limited Electronic devices and systems, and methods for making and using the same
US10325986B2 (en) 2009-09-30 2019-06-18 Mie Fujitsu Semiconductor Limited Advanced transistors with punch through suppression
US9263523B2 (en) 2009-09-30 2016-02-16 Mie Fujitsu Semiconductor Limited Advanced transistors with punch through suppression
US9865596B2 (en) 2010-04-12 2018-01-09 Mie Fujitsu Semiconductor Limited Low power semiconductor transistor structure and method of fabrication thereof
US9496261B2 (en) 2010-04-12 2016-11-15 Mie Fujitsu Semiconductor Limited Low power semiconductor transistor structure and method of fabrication thereof
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US9224733B2 (en) 2010-06-21 2015-12-29 Mie Fujitsu Semiconductor Limited Semiconductor structure and method of fabrication thereof with mixed metal types
US9418987B2 (en) 2010-06-22 2016-08-16 Mie Fujitsu Semiconductor Limited Transistor with threshold voltage set notch and method of fabrication thereof
US9922977B2 (en) 2010-06-22 2018-03-20 Mie Fujitsu Semiconductor Limited Transistor with threshold voltage set notch and method of fabrication thereof
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US9006843B2 (en) 2010-12-03 2015-04-14 Suvolta, Inc. Source/drain extension control for advanced transistors
US8686511B2 (en) 2010-12-03 2014-04-01 Suvolta, Inc. Source/drain extension control for advanced transistors
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8563384B2 (en) 2010-12-03 2013-10-22 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US9838012B2 (en) 2011-02-18 2017-12-05 Mie Fujitsu Semiconductor Limited Digital circuits having improved transistors, and methods therefor
US9985631B2 (en) 2011-02-18 2018-05-29 Mie Fujitsu Semiconductor Limited Digital circuits having improved transistors, and methods therefor
US10250257B2 (en) 2011-02-18 2019-04-02 Mie Fujitsu Semiconductor Limited Digital circuits having improved transistors, and methods therefor
US9680470B2 (en) 2011-02-18 2017-06-13 Mie Fujitsu Semiconductor Limited Digital circuits having improved transistors, and methods therefor
US9184750B1 (en) 2011-02-18 2015-11-10 Mie Fujitsu Semiconductor Limited Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US9111785B2 (en) 2011-03-03 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor structure with improved channel stack and method for fabrication thereof
US8847684B2 (en) 2011-03-24 2014-09-30 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US9231541B2 (en) 2011-03-24 2016-01-05 Mie Fujitsu Semiconductor Limited Analog circuits having improved transistors, and methods therefor
US9093469B2 (en) 2011-03-30 2015-07-28 Mie Fujitsu Semiconductor Limited Analog transistor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US9741428B2 (en) 2011-05-13 2017-08-22 Mie Fujitsu Semiconductor Limited Integrated circuit devices and methods
US9362291B1 (en) 2011-05-13 2016-06-07 Mie Fujitsu Semiconductor Limited Integrated circuit devices and methods
US9966130B2 (en) 2011-05-13 2018-05-08 Mie Fujitsu Semiconductor Limited Integrated circuit devices and methods
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8937005B2 (en) 2011-05-16 2015-01-20 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US9793172B2 (en) 2011-05-16 2017-10-17 Mie Fujitsu Semiconductor Limited Reducing or eliminating pre-amorphization in transistor manufacture
US9514940B2 (en) 2011-05-16 2016-12-06 Mie Fujitsu Semiconductor Limited Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US9281248B1 (en) 2011-06-06 2016-03-08 Mie Fujitsu Semiconductor Limited CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8653604B1 (en) 2011-07-26 2014-02-18 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8916937B1 (en) 2011-07-26 2014-12-23 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US9054219B1 (en) 2011-08-05 2015-06-09 Mie Fujitsu Semiconductor Limited Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8963249B1 (en) 2011-08-05 2015-02-24 Suvolta, Inc. Electronic device with controlled threshold voltage
US9391076B1 (en) 2011-08-23 2016-07-12 Mie Fujitsu Semiconductor Limited CMOS structures and processes based on selective thinning
US9117746B1 (en) 2011-08-23 2015-08-25 Mie Fujitsu Semiconductor Limited Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8806395B1 (en) 2011-08-23 2014-08-12 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US9196727B2 (en) 2011-12-22 2015-11-24 Mie Fujitsu Semiconductor Limited High uniformity screen and epitaxial layers for CMOS devices
US9368624B2 (en) 2011-12-22 2016-06-14 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor with reduced junction leakage current
US9297850B1 (en) 2011-12-23 2016-03-29 Mie Fujitsu Semiconductor Limited Circuits and methods for measuring circuit elements in an integrated circuit device
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9385047B2 (en) 2012-01-31 2016-07-05 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9424385B1 (en) 2012-03-23 2016-08-23 Mie Fujitsu Semiconductor Limited SRAM cell layout structure and devices therefrom
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US10014387B2 (en) 2012-06-27 2018-07-03 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9812550B2 (en) 2012-06-27 2017-11-07 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US10217838B2 (en) 2012-06-27 2019-02-26 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9105711B2 (en) 2012-08-31 2015-08-11 Mie Fujitsu Semiconductor Limited Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9431068B2 (en) 2012-10-31 2016-08-30 Mie Fujitsu Semiconductor Limited Dynamic random access memory (DRAM) with low variation transistor peripheral circuits
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9154123B1 (en) 2012-11-02 2015-10-06 Mie Fujitsu Semiconductor Limited Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9319034B2 (en) 2012-11-15 2016-04-19 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9276561B2 (en) 2012-12-20 2016-03-01 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9577041B2 (en) 2013-03-14 2017-02-21 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9893148B2 (en) 2013-03-14 2018-02-13 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9786703B2 (en) 2013-05-24 2017-10-10 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9991300B2 (en) 2013-05-24 2018-06-05 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment

Similar Documents

Publication Publication Date Title
US7127687B1 (en) Method and apparatus for determining transistor sizes
US8954917B1 (en) Method and system for performing fast electrical analysis and simulation of an electronic design for power gates
US7657852B2 (en) System and technique of pattern matching and pattern replacement
US7716618B2 (en) Method and system for designing semiconductor circuit devices to reduce static power consumption
KR101602506B1 (en) Hierarchical order ranked simulation of electronic circuits
US7240304B2 (en) Method for voltage drop analysis in integreted circuits
US8302059B2 (en) Power switch design method and program
US8316339B2 (en) Zone-based leakage power optimization
Golshan Physical design essentials
TW201712578A (en) Method of parameter extraction and system thereof
US8595677B1 (en) Method and system for performing voltage-based fast electrical analysis and simulation of an electronic design
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
Sharma et al. Design of low leakage variability aware ONOFIC CMOS standard cell library
US6687888B2 (en) Method of optimizing high performance CMOS integrated circuit designs for power consumption and speed
Hashimoto et al. Post-layout transistor sizing for power reduction in cell-based design
US6389578B1 (en) Method and apparatus for determining the strengths and weaknesses of paths in an integrated circuit
TW202145049A (en) Method of generating circuit layout
US20030177453A1 (en) Method of optimizing high performance CMOS integrated circuit designs for power consumption and speed through genetic optimization
US7698672B1 (en) Methods of minimizing leakage current
US7093217B1 (en) Method and apparatus for determining the optimal fanout across a logic element
TWI775299B (en) Computer-implemented method of performing voltage rule checking in an electronic design automation platform
Posser et al. Electromigration Inside Logic Cells
Chentouf et al. Power-aware hold optimization for ASIC physical synthesis
Matsumoto et al. Suppression of intrinsic delay variation in FPGAs using multiple configurations
JP2001267429A (en) Layout design device and method

Legal Events

Date Code Title Description
AS Assignment

Owner name: SUN MICROSYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SIGNORE, NICHOLAS D.;REEL/FRAME:014618/0658

Effective date: 20031013

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: ORACLE AMERICA, INC., CALIFORNIA

Free format text: MERGER AND CHANGE OF NAME;ASSIGNORS:ORACLE USA, INC.;SUN MICROSYSTEMS, INC.;ORACLE AMERICA, INC.;REEL/FRAME:037302/0661

Effective date: 20100212

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12