US20200357634A1 - Method for Manufacturing a Semiconductor Device - Google Patents

Method for Manufacturing a Semiconductor Device Download PDF

Info

Publication number
US20200357634A1
US20200357634A1 US16/939,702 US202016939702A US2020357634A1 US 20200357634 A1 US20200357634 A1 US 20200357634A1 US 202016939702 A US202016939702 A US 202016939702A US 2020357634 A1 US2020357634 A1 US 2020357634A1
Authority
US
United States
Prior art keywords
layer
dielectric layer
film
underlayer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US16/939,702
Inventor
Wan-Lin Tsai
Jung-Hau Shiu
Ching-Yu Chang
Jen Hung Wang
Shing-Chyang Pan
Tze-Liang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/939,702 priority Critical patent/US20200357634A1/en
Publication of US20200357634A1 publication Critical patent/US20200357634A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Definitions

  • Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate, and patterning the various material layers using lithography and etching processes to form circuit components and elements thereon.
  • FIGS. 1A-1H illustrate cross-sectional views of various intermediary stages of manufacturing conductive lines in a semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • FIG. 2 illustrates a deposition system for depositing a low-temperature dielectric film in accordance with some embodiments.
  • FIG. 3 illustrates a control unit for a deposition system in accordance with some embodiments.
  • FIG. 4 illustrates experimental results reflecting the relationship between underlayer damage and low-temperature dielectric film thicknesses in accordance with some embodiments.
  • FIGS. 5A-5C illustrate cross-sectional views of various intermediary stages of manufacturing a semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • FIGS. 6A-6J illustrate cross-sectional views or plan views of various intermediary stages of manufacturing conductive lines in a semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • FIGS. 7A-15B illustrate cross-sectional views, plan views, or perspective views of various intermediary stages of manufacturing conductive lines in a semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • FIGS. 16-25 illustrate cross-sectional views of various intermediary stages of manufacturing a FinFET semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • a low-temperature dielectric (LTD) film used during processing semiconductor devices.
  • the LTD film described herein and the process, method, or materials described herein can be used in many applications, including fin-type field effect transistors (FinFETs).
  • the fins may be patterned to produce a relatively close spacing between features, for which this disclosure is well-suited.
  • spacers used in forming fins of FinFETs also referred to as mandrels, can be formed using techniques or materials described herein.
  • the LTD film may be used as part of a multi-layer photoresist, in a multi-patterning process, or as a film to decrease feature size during patterning.
  • the embodiments are not intended to be limited to such uses.
  • the term “LTD” refers to a dielectric deposited using a relatively low process temperature (e.g., 200° C. or less). In some cases, depositing a dielectric material at lower process temperatures can reduce the possibility of damage to layers beneath the dielectric material during the deposition.
  • FIGS. 1A-1H illustrate cross-sectional views of intermediate stages of forming conductive lines 120 in an inter-layer dielectric (ILD) layer 112 on a semiconductor substrate 102 .
  • ILD inter-layer dielectric
  • an LTD film 116 is used as an etching mask for patterning of an ILD layer 112 during formation of conductive lines 120 .
  • the embodiment shown in FIGS. 1A-1H may, for example, be part of forming conductive lines in a Back End of Line (BEOL) process.
  • the ILD layer 112 and an underlayer 114 are formed in semiconductor device 100 .
  • ILD layer 112 may be formed over a semiconductor substrate 102 .
  • the semiconductor substrate 102 may be formed of a semiconductor material such as silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate.
  • SOI semiconductor-on-insulator
  • the semiconductor substrate 102 may include other semiconductor materials, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
  • Other substrates such as multi-layered or gradient substrates, may also be used.
  • Devices (not illustrated), such as transistors, diodes, capacitors, resistors, etc., may be formed in and/or on an active surface of semiconductor substrate 102 .
  • the devices may include a variety of active devices such as transistors and the like and passive devices such as capacitors, resistors, inductors and the like.
  • the active devices and passive devices may be formed using any suitable methods either within or else on the substrate 102 . In some cases, the semiconductor substrate 102 may be omitted.
  • the ILD layer 112 may include a dielectric material formed using, for example, spin-on coating, CVD, flowable CVD, plasma-enhanced CVD (PECVD), or other deposition methods.
  • the ILD layer 112 may be formed of Phospho-Silicate glass (PSG), Boro-Silicate Glass (BSG), Boron-Doped Phospho-Silicate Glass (BPSG), undoped Silicate Glass (USG), Tetra Ethyl Ortho Silicate (TEOS) oxide, or the like.
  • FIG. 1A also illustrates the formation of an underlayer 114 over ILD layer 112 .
  • underlayer 114 may be a photoresist layer or a polymer layer.
  • Underlayer 114 may be formed by a spin-on process or another suitable process.
  • underlayer 114 may be formed to an initial thickness T 1 , which in some cases is between about 10 nm and about 600 nm.
  • T 1 initial thickness
  • these thicknesses are meant to be illustrative only, and are not intended to limit the scope of the embodiments, as the precise thickness of the as-deposited layer may be any suitable desired thickness.
  • FIGS. 1A-1G illustrate ILD layer 112 being in physical contact with semiconductor substrate 102 or underlayer 114 being in physical contact with ILD layer 112
  • intervening layers may include another ILD layer comprising a low-k dielectric and having contact plugs formed therein, IMD layers having conductive lines and/or vias formed therein, one or more intermediary layers (e.g., etch stop layers, adhesion layers, anti-reflective coating (ARC) layers, etc.), combinations thereof, and the like.
  • an optional etch stop layer (not illustrated) may be disposed directly under ILD layer 112 .
  • An etch stop layer may act as a stop for an etching process subsequently performed on the ILD layer 112 .
  • the material and process used to form the etch stop layer may depend on the material of ILD layer 112 .
  • a low-temperature dielectric (LTD) film 116 is formed over underlayer 114 .
  • LTD film 116 may be part of a multi-layer photoresist.
  • LTD film 116 may be a middle layer of a multi-layer photoresist stack, and underlayer 114 may be the bottom layer of a multi-layer photoresist stack.
  • LTD film 116 may be formed of SiN, SiON, SiCON, SiC, SiOC, SiO, TiO, an oxide, other dielectrics, combinations thereof, or the like.
  • LTD film 116 may be formed by a deposition process such as plasma enhanced chemical vapor deposition (PECVD), low pressure CVD (LPCVD), plasma vapor deposition (PVD), plasma-enhanced atomic layer deposition (PEALD), or the like.
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure CVD
  • PVD plasma vapor deposition
  • PEALD plasma-enhanced atomic layer deposition
  • LTD film 116 may be formed to a thickness between about 10 ⁇ and about 50 nm.
  • these thicknesses are meant to be illustrative only, and are not intended to limit the scope of the embodiments, as the precise thickness of the as-deposited layer may be any suitable desired thickness.
  • FIGS. 2-3 illustrate a deposition system 200 that may be used to form a low-temperature dielectric (LTD) film such as LTD film 116 .
  • deposition system 200 forms the LTD film using a PEALD process.
  • deposition system 200 receives a first precursor material from a first precursor delivery system 205 and a second precursor material from a second precursor delivery system 206 to form layers of materials onto substrate 102 .
  • first precursor delivery system 205 and second precursor delivery system 206 may work in conjunction with one another to supply the various different precursor materials to a deposition chamber 203 in which substrate 102 is placed.
  • first precursor delivery system 205 and second precursor delivery system 206 may have physical components that are similar with each other.
  • other precursor delivery systems may be part of deposition system 200 , and may be similar to first precursor delivery system 205 or second precursor delivery system 206 .
  • first precursor delivery system 205 and second precursor delivery system 206 may each include a gas supply 207 and a flow controller 209 (labeled in FIG. 2 with regards to first precursor delivery system 205 but not labeled for clarity with respect to second precursor delivery system 206 ).
  • gas supply 207 may supply the first precursor material to deposition chamber 203 .
  • Gas supply 207 may be a vessel, such as a gas storage tank, that is located either locally to deposition chamber 203 or else may be located remotely from deposition chamber 203 .
  • gas supply 207 may be a facility that independently prepares and delivers the first precursor material to flow controller 209 . Any suitable source for the first precursor material may be utilized as gas supply 207 , and all such sources are fully intended to be included within the scope of the embodiments.
  • Gas supply 207 may supply the desired first precursor material to flow controller 209 .
  • Flow controller 209 may be utilized to control the flow of the first precursor material to precursor gas controller 213 and, eventually, to deposition chamber 203 , thereby also helping to control the pressure within deposition chamber 203 .
  • Flow controller 209 may be, e.g., a proportional valve, a modulating valve, a needle valve, a pressure regulator, a mass flow controller, combinations of these, or the like.
  • any suitable method for controlling and regulating the flow of the carrier gas to precursor canister 211 may be utilized, and all such components and methods are fully intended to be included within the scope of the embodiments.
  • first precursor delivery system 205 and second precursor delivery system 206 have been described herein as having identical components, this is merely an illustrative example and is not intended to limit the embodiments in any fashion. Any type of suitable precursor delivery system, with any type and number of individual components identical to or different from any of the other precursor delivery systems within deposition system 200 , may alternatively be utilized. All such precursor systems are fully intended to be included within the scope of the embodiments.
  • gas supply 207 may store a carrier gas and the carrier gas may be introduced into a precursor canister (not separately illustrated), which stores the first precursor material in the solid or liquid state.
  • the carrier gas is then used to push and carry the first precursor material as the first precursor material either evaporates or sublimates into a gaseous section of the precursor canister before being sent to precursor gas controller 213 .
  • a carrier gas may be an inert gas such as Ar, He, or another gas. Any suitable method and combination of units may be utilized to provide the first precursor material, and all such combination of units are fully intended to be included within the scope of the embodiments.
  • First precursor delivery system 205 and second precursor delivery system 206 may supply their individual precursor materials into a precursor gas controller 213 .
  • Precursor gas controller 213 connects and isolates first precursor delivery system 205 and second precursor delivery system 206 from deposition chamber 203 in order to deliver the desired precursor materials to deposition chamber 203 .
  • Precursor gas controller 213 may include such devices as valves, flow meters, sensors, and the like to control the delivery rates of each of the precursors, and may be controlled by instructions received from a control unit 215 (described further below with respect to FIG. 3 ).
  • Precursor gas controller 213 upon receiving instructions from control unit 215 , may open and close valves so as to connect one or more of first precursor delivery system 205 and second precursor delivery system 206 to deposition chamber 203 and direct a desired precursor material through a manifold 216 , into deposition chamber 203 , and to a showerhead 217 .
  • showerhead 217 may be utilized to disperse the chosen precursor material(s) into deposition chamber 203 and may be designed to evenly disperse the precursor material in order to minimize undesired process conditions that may arise from uneven dispersal.
  • showerhead 217 may have a circular design with openings dispersed evenly around showerhead 217 to allow for the dispersal of the desired precursor material into deposition chamber 203 .
  • Deposition chamber 203 may receive the desired precursor materials and expose the precursor materials to underlayer 114 , and deposition chamber 203 may be any desired shape that may be suitable for dispersing the precursor materials and contacting the precursor materials with underlayer 114 .
  • deposition chamber 203 has a cylindrical sidewall and a bottom.
  • deposition chamber 203 is not limited to a cylindrical shape, and any other suitable shape, such as a hollow square tube, an octagonal shape, or the like, may be utilized.
  • deposition chamber 203 may be surrounded by a housing 219 made of material that is inert to the various process materials.
  • housing 219 may be any suitable material that can withstand the chemistries and pressures involved in the deposition process, in an embodiment housing 219 may be steel, stainless steel, nickel, aluminum, alloys of these, combinations of these, and like.
  • substrate 102 may be placed on a mounting platform 221 in order to position and control substrate 102 and underlayer 114 during the deposition processes.
  • Mounting platform 221 may be disposed on the bottom of deposition chamber 203 , and may include heating mechanisms in order to heat substrate 102 during the deposition processes.
  • any number of mounting platforms 221 may additionally be included within deposition chamber 203 .
  • mounting platform 221 may also include a first electrode 230 coupled to a first RF generator 232 .
  • First electrode 220 may be electrically biased by first RF generator 232 (under control of control unit 215 ) at a RF voltage during a deposition process such as a PEALD process.
  • first electrode 230 is used to provide a bias to the incoming gases (e.g., precursor materials and/or carrier gases) and assist to ignite them into a plasma.
  • a carrier gas may be ignited into a plasma along with a precursor material.
  • first electrode 230 is also used to maintain a plasma during a deposition by maintaining the bias.
  • Deposition chamber 203 also includes an upper electrode 231 , for use as a plasma generator.
  • the plasma generator may be a transformer coupled plasma generator and may be, e.g., a coil.
  • the coil may be attached to a RF generator 233 that is used to provide power to the upper electrode 231 (under control of control unit 215 ) in order to ignite gases into a plasma.
  • the upper electrode 231 is described above as a transformer coupled plasma generator, embodiments are not intended to be limited to a transformer coupled plasma generator. Rather, any suitable method of generating the plasma, such as inductively coupled plasma systems, magnetically enhanced reactive ion etching, electron cyclotron resonance, a remote plasma generator, or the like, may alternatively be utilized.
  • incoming gases may be ignited into a plasma by a plasma generator located in a separate chamber that is connected to deposition chamber 203 , or incoming gases may be ignited into a plasma in deposition chamber 203 by a plasma generator that is not coupled to mounting platform 221 . All such methods are fully intended to be included within the scope of the embodiments.
  • deposition chamber 203 and mounting platform 221 may be part of a cluster tool system (not shown).
  • the cluster tool system may be used in conjunction with an automated handling system in order to position and place substrate 102 into deposition chamber 203 prior to the deposition processes, position, hold substrate 102 during deposition processes, and remove substrate 102 from deposition chamber 203 after the deposition processes.
  • Deposition chamber 203 may also have an exhaust outlet 225 for exhaust gases to exit deposition chamber 203 .
  • a vacuum pump 223 may be connected to exhaust outlet 225 of deposition chamber 203 in order to help evacuate the exhaust gases.
  • Vacuum pump 223 under control of control unit 215 , may also be utilized to reduce and control the pressure within deposition chamber 203 to a desired pressure and may also be utilized to evacuate precursor materials from deposition chamber 203 in preparation for the introduction of the next precursor material.
  • FIG. 3 illustrates an embodiment of control unit 215 that may be utilized to control precursor gas controller 213 , vacuum pump 223 , or RF generators 232 , 233 .
  • Control unit 215 may be any form of computer processor that can be used in an industrial setting for controlling process machines.
  • control unit 215 may include a processing unit 301 , such as a desktop computer, a workstation, a laptop computer, the like, or a dedicated unit customized for a particular application.
  • Control unit 215 may be equipped with a display 303 and one or more input/output components 305 , such as instruction outputs, sensor inputs, a mouse, a keyboard, printer, combinations of these, or the like.
  • Processing unit 301 may include a central processing unit (CPU) 306 , memory 308 , a mass storage device 310 , a video adapter 314 , and an I/O interface 316 connected to a bus 312 .
  • CPU central processing unit
  • Bus 312 may be one or more of any type of several bus architectures including a memory bus or memory controller, a peripheral bus, or video bus.
  • CPU 306 may include any type of electronic data processor
  • memory 308 may include any type of system memory, such as static random access memory (SRAM), dynamic random access memory (DRAM), or read-only memory (ROM).
  • Mass storage device 310 may include any type of storage device configured to store data, programs, or other information and configured to make the data, programs, or other information accessible via bus 312 .
  • Mass storage device 310 may include, for example, one or more of a hard disk drive, a magnetic disk drive, or an optical disc drive.
  • the video adapter 314 and the I/O interface 316 provide interfaces to couple external input and output devices to the processing unit 301 .
  • input and output devices include the display 303 coupled to the video adapter 314 and the I/O component 305 , such as a mouse, keyboard, printer, and the like, coupled to the I/O interface 316 .
  • Other devices may be coupled to the processing unit 301 , and additional or fewer interface cards may be utilized.
  • a serial interface card (not shown) may be used to provide a serial interface for a printer.
  • the processing unit 301 also may include a network interface 318 that may be a wired link to a local area network (LAN) or a wide area network (WAN) 320 and/or a wireless link.
  • control unit 215 may include other components.
  • control unit 215 may include power supplies, cables, a motherboard, removable storage media, cases, and the like. These other components, although not shown in FIG. 3 , are considered part of control unit 215 .
  • an oxygen plasma presenuring deposition of a material over a photoresist or polymer underlayer can damage the underlayer surface.
  • the underlayer is damaged or consumed as oxygen radicals (O*) formed in the plasma break carbon-carbon bonds (C—C, C ⁇ C) or carbon-hydrogen bonds (C—H) within the underlayer.
  • the oxygen plasma may react with the underlayer according to:
  • the use of oxygen-containing precursor materials for the deposition of an oxygen-containing film can form an oxygen plasma during deposition that damages the underlayer.
  • the oxygen plasma consumes or etches part of the underlayer, which can result in a reduction of the thickness of the underlayer with respect to the as-deposited thickness.
  • oxygen plasma damage to underlayer 114 due to the deposition of LTD film 116 may reduce the thickness of underlayer 114 from its initial as-deposited thickness T 1 to a smaller thickness T 2 .
  • This underlayer 114 thickness change is shown representatively in FIG. 1B by thickness change DT 1 .
  • the amount of thickness change DT 1 is determined by the material of underlayer 114 and the characteristics of the oxygen plasma and the PEALD process. This damage can result in reduced process uniformity or reproducibility, and in some cases may affect the dimensions of patterned structures or other features.
  • an oxygen-containing precursor other than an allotrope of oxygen e.g., gaseous oxygen O 2 , ozone O 3 , etc.
  • an oxygen allotrope precursor e.g., gaseous oxygen O 2 , ozone O 3 , etc.
  • precursors such as CO 2 , N 2 O, or N 2 O 2 may be used.
  • one or more alcohols may be used as a precursor, such as ethanol or other alcohols or combinations of alcohols.
  • more than one precursor may be used, and in some embodiments more than one precursor may be used in combination with or without the use of an oxygen allotrope precursor as another precursor material.
  • the use of precursors with less oxygen content e.g., precursors without gaseous oxygen O 2 , ozone O 3 , etc.
  • FIG. 4 illustrates a graph 400 showing example results of experiments of depositing an LTD film on an underlayer, producing a multilayer structure similar to the structure shown in FIG. 1B .
  • Graph 400 shows curves 410 , 420 , 430 plotting the changes in the thickness of an underlayer against the thicknesses of different LTD films deposited directly on that underlayer. In some cases, the amount of change in underlayer thickness may be indicative of the amount of underlayer damage due to an oxygen plasma.
  • three different LTD films are deposited, represented by curves 410 , 420 , and 430 . Curves 410 , 420 , and 430 show that deposition of the LTD films cause the underlayer thickness to decrease.
  • the change in underlayer thickness becomes greater as the thickness of the deposited LTD film increases.
  • the LTD films of curves 410 and 420 were deposited using O 2 as a precursor.
  • the LTD film of curve 430 was deposited using CO 2 as a precursor instead of O 2 , and curve 430 indicates that less underlayer damage has occurred during its LTD film deposition than for curves 410 and 420 .
  • the underlayer thickness change of curve 430 is at least approximately half of the underlayer thickness change of curve 410 .
  • graph 400 shows an example of how the techniques described by some embodiments herein may reduce damage to a photoresist or polymer underlayer when an oxygen-containing dielectric film is deposited directly on the underlayer.
  • a deposition system such as deposition system 200 may be utilized to deposit LTD film 116 onto underlayer 114 .
  • the formation of LTD film 116 may be initiated by introducing precursor materials into the first precursor delivery system 205 or the second precursor delivery system 206 .
  • first precursor materials include CO 2 , N 2 O, N 2 O 2 , one or more alcohols, other materials, or a combination.
  • second precursor materials include tris(dimethylamino)silane (3DMAS), tetrakis(dimethylamino)titanium (TDMAT), bis(tertiary-butyl-amino) silane (BTBAS), bis(diethylamino)silane (BDEAS), other materials, or a combination.
  • an oxygen allotrope precursor may be used as a third precursor material in combination with another first precursor material.
  • first precursor delivery system 205 and second precursor delivery system 206 can deliver the first precursor material and the second precursor material to showerhead 217 through precursor gas controller 213 and manifold 216 .
  • showerhead 217 can then disperse the first precursor material and the second precursor material into deposition chamber 203 , in which the first precursor material and the second precursor material can form LTD film 116 on underlayer 114 .
  • Control unit 215 can also ignite a precursor material into a plasma within deposition chamber 203 , for example, using RF generators 232 , 233 .
  • a first precursor material such as those described above may be ignited into a plasma during deposition of LTD film 116 .
  • LTD film 116 may be deposited in the same deposition chamber 203 as other processing steps such as deposition processes or etching processes. For example, a plasma etching process or another plasma-enhanced deposition process may also be performed on semiconductor device 100 within deposition chamber 203 .
  • first precursor material may be flowed into deposition chamber 203 at a flow rate of between about 10 sccm and about 5000 sccm
  • second precursor material may be flowed into deposition chamber 203 at a flow rate of between about 10 sccm and about 5000 sccm.
  • CO 2 as a first precursor material may be flowed into deposition chamber 203 at a flow rate of between about 10 sccm and about 5000 sccm.
  • deposition chamber 203 may be held at a pressure of between about 0.1 Torr and about 10 Torr and a temperature of between about 0° C. and about 200° C., such as about 90° C.
  • LTD film 116 is formed using an RF power of between about 1 Watt and about 2000 Watts. In some embodiments, LTD film 116 is formed using a DC power of between about 1 Watt and about 2000 Watts.
  • RF power between about 1 Watt and about 2000 Watts.
  • DC power between about 1 Watt and about 2000 Watts.
  • an upper layer 118 is formed over LTD film 116 .
  • upper layer 118 may be a photoresist.
  • upper layer 118 may be part of a multi-layer photoresist stack.
  • upper layer 118 may be the uppermost layer of a multi-layer photoresist stack and LTD film 116 may be a middle layer of the multi-layer photoresist stack.
  • Upper layer 118 may be formed by a spin-on process or another suitable process.
  • an additional layer such as an adhesion layer may be formed over LTD film 116 prior to forming upper layer 118 .
  • upper layer 118 is patterned using a photolithographic process to form openings within upper layer 118 .
  • the patterned upper layer 118 is used as an etching mask for patterning of LTD film 116 .
  • the pattern of upper layer 118 may be transferred to LTD film 116 via an etching process.
  • the etching process is anisotropic, so that the openings in upper layer 118 are extended through LTD film 116 and have about the same sizes in LTD film 116 as they do in upper layer 118 .
  • one or more etching processes are performed in the same chamber (e.g., deposition chamber 203 ) as the deposition of LTD film 116 .
  • patterned LTD film 116 is used as an etching mask for patterning of underlayer 114 .
  • the pattern of LTD film 116 may be transferred to underlayer 114 via an etching process.
  • the etching process is anisotropic, so that the openings in LTD film 116 are extended through underlayer 114 and have about the same sizes in underlayer 114 as they do in LTD film 116 .
  • some of or all of upper layer 118 may be consumed.
  • patterned underlayer 114 is then used as an etching mask for patterning of ILD layer 112 .
  • the pattern of underlayer 114 may be transferred to ILD layer 112 via an etching process. In some cases, as part of etching ILD layer 112 , some of or all of LTD film 116 or underlayer 114 may be consumed. In embodiments when underlayer 114 is not completely consumed while etching ILD layer 112 , an ashing process may be performed to remove remaining residue of the underlayer 114 .
  • etched portions of ILD layer 112 are filled with a conductive material.
  • a conductive material Any suitable conductive material may be used, such as copper, aluminum, or another metal, and the conductive material may be formed using a plating process or another suitable process.
  • one or more additional layers may be deposited over the etched portions of ILD layer 112 before forming the conductive material, such as barrier layers, adhesion layers, seed layers, or other layers.
  • a planarization process such as a chemical mechanical polish (CMP) may be performed to remove excess portions of the conductive material over the ILD layer 112 to form conductive lines 120 .
  • CMP chemical mechanical polish
  • ILD layer 112 may be another type of layer.
  • ILD layer 112 may be a semiconductor substrate used to form devices such as FinFETs rather than an ILD layer.
  • ILD layer 112 includes one or more layers, such as additional inter-layer dielectric layers, other types of dielectric layers, semiconductor layers, conductive layers, etc.
  • ILD layer 112 is an example layer representative of one or more different kinds of layers that may be present during the formation of a semiconductor device 100 .
  • the presence of LTD film 116 may improve adhesion of an overlying layer such as upper layer 118 . In some cases, the presence of LTD film 116 may reduce the chance of peeling of patterned features formed in upper layer 118 . For example, using LTD film 116 may improve adhesion of patterned upper layer 118 , shown in FIG. 1D .
  • the techniques described herein allow for the deposition of an oxide LTD film on an underlayer with less damage to the underlayer.
  • oxygen plasma damage to a photoresist or polymer underlayer may be reduced.
  • the use of an LTD film as described may allow for critical dimension shrinkage for patterned structures to enable smaller feature sizes.
  • the deposition of an LTD film as described can enable finely patterned features to be formed with greater process control, decreased feature size, and increased yield.
  • FIGS. 5A-5C illustrate cross-sectional views of intermediate stages of forming a semiconductor device 500 using an LTD film 516 as a gap-fill material.
  • an optional bottom layer 512 is formed over a substrate 502 .
  • substrate 502 may be similar to substrate 102 and bottom layer 512 may be similar to ILD layer 112 , described above with respect to FIGS. 1A-1G .
  • FIG. 5A also illustrates an underlayer 514 formed over bottom layer 512 .
  • underlayer 514 is a photoresist or polymer material, and underlayer 514 may be similar to underlayer 114 described previously with respect to FIGS. 1A-1G .
  • underlayer 514 is formed to have an as-deposited thickness T 3 between about 5 nm and about 1000 nm. Underlayer 514 may be formed by a spin-on process or another suitable process.
  • FIG. 5A also illustrates an upper layer 518 formed over underlayer 514 .
  • upper layer 518 has been patterned using a photolithographic process to form openings within upper layer 518 .
  • upper layer 518 is an oxygen-containing dielectric material similar to LTD film 116 , but upper layer 518 may be another dielectric material in other embodiments.
  • patterned upper layer 518 is used as an etching mask for a patterning of underlayer 514 .
  • the pattern of upper layer 518 may be transferred to underlayer 514 via an etching process, forming openings 522 within underlayer 514 .
  • the etching process is anisotropic, so that openings in upper layer 518 are extended through underlayer 514 as openings 522 and have about the same sizes in underlayer 514 as they do in upper layer 518 .
  • some of or all of upper layer 518 may be consumed, as shown in FIG. 5B .
  • FIG. 5B also illustrates that openings 522 patterned into underlayer 514 may have an initial width W 1 .
  • the initial width W 1 may be between about 5 nm and about 100 nm.
  • openings 522 may be considered recesses in underlayer 514 .
  • an LTD film 516 is deposited over the underlayer 514 and into the openings 522 as a gap-fill material.
  • LTD film 516 is also deposited over remaining portions of upper layer 518 .
  • LTD film 516 may be used as a gap-fill material, sacrificial material, or a reverse material.
  • LTD film 516 may be deposited conformally to form on sidewalls and a bottom surface of openings 522 , but in other embodiments film 516 is not deposited conformally. As deposition continues, portions of LTD film 516 on opposing sidewalls of the openings 522 may merge, which fills the openings 522 .
  • a top surface of LTD film 516 may not be planar, as shown in FIG. 5C .
  • LTD film 516 may be similar to LTD film 116 described previously with respect to FIG. 1A through 4 .
  • LTD film 516 may be deposited by a PEALD process without using O 2 as a precursor material in order to reduce possible damage to underlayer 514 .
  • O 2 a precursor material
  • LTD film 516 may be deposited by a PEALD process without using O 2 as a precursor material in order to reduce possible damage to underlayer 514 .
  • damage to the underlayer 514 can be reduced during formation of LTD film 516 .
  • the formation of LTD film 516 over underlayer 514 may reduce the thickness of underlayer 514 from a thickness T 3 to a smaller thickness T 4 , shown representatively in FIG. 5C as thickness change DT 3 .
  • the thickness change DT 3 may be less than using other techniques in which more oxygen plasma is present during deposition.
  • deposition of LTD film 516 may also consume portions of the underlayer 514 sidewalls of openings 522 .
  • the initial width W 1 of openings 522 may increase to W 2 after deposition of LTD film 516 .
  • the increase in width from W 1 to W 2 during formation of LTD film 516 may be less than about 5 nm, such as about 3 nm.
  • the change in width after depositing an LTD film as described herein may be as much as about 5 nm less than the change in width after depositing a dielectric film using an O 2 precursor.
  • the device 500 shown in FIGS. 5A-5C may be processed further.
  • LTD film 516 may be subsequently planarized using a CMP process.
  • the remaining portions of underlayer 514 may then be removed, leaving portions of LTD film 516 remaining on the bottom layer 512 .
  • the remaining portions of LTD film 516 may then be used as an etch mask for the patterning of the bottom layer 512 .
  • a conductive material may be deposited over the patterned bottom layer 512 to form conductive lines, contacts, vias, or the like. This is an example process, and other processes using an LTD film as a gap-fill material are within the scope of this disclosure.
  • FIGS. 6A-6J illustrate cross-sectional views of intermediate stages of forming conductive lines in a semiconductor device 600 .
  • FIGS. 6A-6J illustrate an embodiment in which a LTD film 616 is used as a conformal material to decrease patterned feature size during processing of semiconductor device 600 .
  • ILD layer 612 is formed over a substrate 602 .
  • substrate 602 may be similar to substrate 102 or substrate 502 and ILD layer 612 may be similar to ILD layer 112 or bottom layer 512 , described above with respect to FIGS. 1A-1H and FIGS. 5A-5C .
  • substrate 602 may be a partially processed semiconductor device.
  • the embodiment shown in FIGS. 6A through 6J may, for example, be part of a BEOL process or part of another process.
  • FIG. 6A also illustrates an underlayer 614 formed over ILD layer 612 .
  • underlayer 614 is a photoresist material, and underlayer 614 may be similar to underlayer 114 or underlayer 514 described previously with respect to FIGS. 1A-1H and FIG. 5A-5C .
  • underlayer 614 is formed to have an as-deposited thickness T 5 between about 5 nm and about 1000 nm. Underlayer 614 may be formed by a spin-on process or another suitable process.
  • FIG. 6A also illustrates an upper layer 618 formed over underlayer 614 .
  • upper layer 618 has been patterned using a photolithographic process to form openings within upper layer 618 .
  • upper layer 618 is an oxygen-containing dielectric material similar to LTD film 116 , but upper layer 618 may be another dielectric material in other embodiments.
  • patterned upper layer 618 is used as an etching mask for patterning of underlayer 614 .
  • the pattern of upper layer 618 may be transferred to underlayer 614 via an etching process, forming first openings 622 within underlayer 614 .
  • the etching process is anisotropic, so that openings in upper layer 618 are extended through underlayer 614 as openings 622 and have about the same sizes in underlayer 614 as they do in upper layer 618 .
  • some of or all of upper layer 618 may be consumed, as shown in FIG. 6B .
  • FIG. 6B also illustrates that openings 622 patterned into underlayer 614 may have an initial width W 3 .
  • openings 622 may be considered recesses in underlayer 614 .
  • the sidewalls of openings 622 may have rough surfaces after patterning.
  • FIG. 6C shows a cross-sectional view of an example opening 622 , similar to openings 622 shown in FIG. 6B .
  • FIG. 6D shows the opening 622 of FIG. 6C in a plan view, and the cross-sectional view of FIG. 6C is along line 6 C- 6 C′ shown in the plan view of FIG. 6D .
  • the opening 622 sidewalls also exhibit roughness in a plan view.
  • the roughness may be characterized from one or more measurements of the deviation of the opening 622 sidewall edge from a fixed position, shown as Edge Roughness ER 1 in FIG. 6D .
  • the roughness may be characterized from one or more measurements of the distance across the opening 622 , shown as Width Roughness WR 1 in FIG. 6D .
  • the use of LTD film 616 may allow for improved width roughness or improved edge roughness of openings, patterned layers, or subsequently formed features. An example is described below in FIGS. 6F-6J .
  • an LTD film 616 is deposited over the underlayer 614 and into first openings 622 .
  • LTD film 616 is also deposited over remaining portions of upper layer 618 (when present).
  • LTD film 616 may be deposited conformally to form on sidewalls and a bottom surface of openings 622 .
  • LTD film 616 may have a thickness between about 0.1 nm and about 100 nm.
  • LTD film 516 may be similar to LTD film 116 or LTD film 516 described previously with respect to FIGS. 1A-4 and FIGS. 5A-5C .
  • LTD film 616 may be deposited by a PEALD process without using O 2 as a precursor material in order to reduce possible damage to underlayer 614 .
  • Second openings 624 have a width W 5 that is smaller than the initial width W 3 of first openings 622 .
  • the use of LTD 616 in this manner may allow for smaller feature sizes to be patterned into target layer 612 , described below in greater detail with respect to FIG. 6D .
  • LTD film 616 by forming LTD film 616 using one or more precursors that reduce oxygen plasma during deposition as described herein, damage to the underlayer 614 can be reduced during formation of LTD film 616 .
  • the formation of LTD film 616 over underlayer 614 may reduce the thickness of underlayer 614 from a thickness T 5 to a smaller thickness T 6 , similar to underlayer 514 as described above with respect to FIGS. 5A-5C .
  • deposition of LTD film 616 may also consume portions of the underlayer 614 sidewalls of openings 622 .
  • the initial width W 3 of openings 622 may increase to W 4 after deposition of LTD film 616 .
  • the increase in width from W 3 to W 4 may be less than about 50 nm, such as about 3 nm.
  • the thickness of an LTD film 616 deposited on a surface of the underlayer 614 may be less than, greater than, or about the same as the thickness of underlayer 614 at that surface that is consumed during the deposition of the LTD film 616 .
  • openings 624 may be formed having smaller widths W 5 , which can reduce subsequently patterned feature sizes and allow for improved process control.
  • FIGS. 6F-6G show a cross-sectional view and a plan view of the example opening 622 shown in FIGS. 6C-6D after forming an LTD film 616 within the opening 622 , forming opening 624 .
  • the opening 624 shown in FIGS. 6F-6G is similar to openings 624 shown in FIG. 6E .
  • the cross-sectional view of FIG. 6F is along line 6 F- 6 F′ shown in the plan view of FIG. 6G .
  • the LTD film 616 may fill small recesses in the rough sidewalls of the underlayer 614 and may have a smoother surface as deposited than the rough sidewalls of the underlayer 614 .
  • the LTD film 616 of the sidewalls of openings 624 may be less rough than the sidewalls of the underlayer 614 .
  • an Edge Roughness ER 2 of a sidewall of an opening 624 may be less than an Edge Roughness ER 1 of a sidewall of an opening 622 .
  • a Width Roughness WR 2 of a sidewall of an opening 624 may be less than a Width Roughness WR 1 of a sidewall of an opening 622 .
  • the use of an LTD film to reduce sidewall roughness in this manner may allow subsequently formed features to have less roughness or more uniformity.
  • openings 624 may be transferred to target layer 612 via an etching process.
  • the etching process is anisotropic, so that the openings 624 are extended through target layer 612 and have about the same sizes in target layer 612 as they do in openings 624 .
  • the etching process may also etch top surfaces of LTD film 616 over underlayer 614 , as shown in FIG. 6H .
  • the use of an LTD film deposition process as described herein can allow for smaller feature sizes when used to decrease the size of openings formed in a previous patterning step.
  • a planarization process (e.g., a chemical mechanical polish (CMP), dry etching, combinations thereof, or the like) may optionally be performed to remove portions of LTD film 616 covering underlayer 614 . In some cases, upper portions of underlayer 614 may also be removed by the planarization process.
  • CMP chemical mechanical polish
  • the openings 624 in target layer 612 may subsequently be filled with a conductive material to form vias, conductive lines, or other conductive features.
  • the openings 624 in target layer 612 are filled with a conductive material 630 to form conductive lines 632 .
  • the cross-sectional view of FIG. 61 is along line 61 - 61 ′ shown in the plan view of FIG. 6J .
  • the LTD film 616 , underlayer 614 , and excess portions of conductive material 630 may be removed by a planarization process such as CMP or by an etching process.
  • the LTD film 616 is removed before forming the conductive material 630 .
  • the LTD film 616 may be used to form features having smaller dimensions, such as conductive lines 632 .
  • the embodiment shown in FIGS. 6A-6J is for illustrative purposes, and other embodiments may include additional layers, features, or process steps.
  • LTD film 616 to improve the roughness of openings 622 may then also reduce roughness of features patterned from the openings 622 .
  • using LTD film 616 to reduce sidewall roughness of openings 622 may reduce a Line Edge Roughness LER or a Line Width Roughness LWR of conductive lines 632 , shown in FIG. 6J .
  • the use of an LTD film to reduce the roughness of patterned features may allow subsequently formed features to have less roughness or more uniformity.
  • FIGS. 7A through 15B illustrate cross-sectional views and/or plan views of intermediate stages in the formation of conductive features in an ILD layer 702 of a semiconductor device 700 .
  • the process shown in FIGS. 7A through 15B illustrate an embodiment in which an LTD film 736 may be used as a gap-fill material, and LTD film 736 may be used as a gap-fill material similar to LTD film 516 described above with respect to FIGS. 5A-5C .
  • the semiconductor device 700 is shown in FIG. 7A (in cross-sectional view) and FIG. 7B (in plan view).
  • FIG. 7A The cross-sectional view of FIG. 7A is along line A-A′ shown in the plan view of FIG. 7B .
  • ILD layer 702 is formed over a substrate 704 .
  • substrate 704 may be similar to other substrates described above, or ILD layer 702 may be similar to other layers described above, such as ILD layer 112 as described with respect to FIG. 1A , or may be another type of layer.
  • the embodiment shown in FIGS. 7A through 15B may, for example, be part of a BEOL process or part of another process.
  • FIGS. 7A-7B illustrate mask regions 724 formed over ILD layer 702 .
  • the mask regions 724 may be formed, for example, by patterning a blanket dielectric layer using a photolithographic process. In a plan view, gaps between mask regions 724 define regions in which conductive features may be formed in ILD layer 702 .
  • masking layer 728 is formed over mask regions 724 and ILD layer 702 .
  • masking layer 728 is a photoresist or polymer material, and may be similar to underlayer 114 , underlayer 514 , or underlayer 614 described previously with respect to FIGS. 1A-1G , FIGS. 5A-5C , or FIGS. 6A-6J .
  • masking layer 728 includes multiple layers and multiple materials.
  • FIGS. 9A-9C masking layer 728 is patterned using a photolithographic process to form openings 734 .
  • the cross-sectional view of FIG. 9A is along line A-A′ shown in the plan view of FIG. 9B , which is also shown in the perspective view of FIG. 9C .
  • portions of masking layer 728 have been shown as transparent so that an opening 734 may be more clearly displayed.
  • LTD material 736 is formed in openings 734 and over masking layer 728 .
  • the cross-sectional view of FIG. 10A is along line A-A′ shown in the plan view of FIG. 10B , which is also shown in the perspective view of FIG. 10C .
  • portions of masking layer 728 have been shown as transparent so that the LTD material 736 formed within an opening 734 may be more clearly displayed.
  • LTD material 736 may be used as a gap-fill material or as a sacrificial material.
  • LTD material 736 may be similar to LTD film 116 , LTD film 516 , or LTD film 616 described previously with respect to FIGS.
  • LTD material 736 may be deposited by a PEALD process without using O 2 as a precursor material in order to reduce possible damage to underlayer 728 .
  • LTD material 736 may be deposited conformally to fill opening 734 .
  • the LTD material 736 will be further patterned, and the patterned LTD material 736 may be used to define a line cut between two adjacent conductive lines that will be formed in the ILD layer 702 .
  • FIG. 10 depicts the forming of LTD material 736 in a single opening 734 , in some embodiments only one or more than two openings 734 may be present and LTD material 736 may be formed in the one or more than two openings 734 (for example, to form less line cuts or additional line cuts).
  • a planarization process (e.g., CMP, dry etching, combinations thereof, or the like) may be performed to remove excess portions of LTD material 736 outside of openings 734 .
  • FIGS. 12A-12B remaining portions of masking layer 728 are removed.
  • the cross-sectional view of FIG. 12A is along line A-A′ shown in the plan view of FIG. 12B .
  • the masking layer 728 may be removed using an ashing process. After the masking layer 728 is removed, LTD material 736 remains and covers a portion of the mask regions 724 and ILD layer 702 .
  • a planarization process is performed to remove excess portions of LTD material 736 and planarize a top surface of LTD material 736 to be level with top surfaces of the mask regions 724 .
  • the cross-sectional view of FIG. 13A is along line A-A′ shown in the plan view of FIG. 13B , which is also shown in the perspective view of FIG. 13C .
  • the planarization process includes one or more etching processes. For example, a dry etching process or a wet etching process may be used. In other embodiments, a grinding process such as CMP may be used.
  • the resulting structure is depicted in FIGS. 13A and 13B . As can be seen in FIGS.
  • the planarization of LTD material 736 has created discrete portions of the LTD material 736 , where each discrete portion overlies a gap between two adjacent mask regions 724 . In some embodiments, each discrete portion overlies a region in which a line cut between two adjacent portions of conductive line will be formed.
  • LTD material 736 and mask regions 724 are used as an etching mask to form openings 750 into the ILD layer 702 .
  • Etching the ILD layer 702 may include an anisotropic dry etch process or a wet etch process. Remaining portions of the ILD layer 702 may have a same pattern as the mask regions 724 and the LTD material 736 of FIGS. 14A-14B .
  • Conductive material 764 may be used, such as copper, aluminum, or another metal, and conductive material 764 may be formed using a plating process or another suitable process. In some cases, conductive material 764 may be initially deposited to overfill openings 750 . After filling the openings 750 , a planarization process, such as a chemical mechanical polish (CMP), may be performed to remove excess portions of conductive material 764 . In some embodiments, a liner material may be formed within openings 750 before forming the conductive material 764 .
  • CMP chemical mechanical polish
  • a planarization process may be performed to remove excess portions of the conductive material 764 over the ILD layer 702 .
  • conductive features may be formed in the ILD layer 702 .
  • areas that are underneath the portions of LTD material 736 when ILD layer 702 is patterned are areas where the conductive lines have gaps, or “line cuts.”
  • line cut 770 separates first conductive line 772 from second conductive line 774 .
  • the use of LTD material 736 as described may allow for improved line width variation or improved line edge roughness, which may allow for smaller conductive features and smaller line cuts between conductive features.
  • FIGS. 16-25 illustrate intermediate stages in a FEOL process using an LTD layer 862 to form fins 868 of a FinFET device.
  • FIG. 16 illustrates an example of a FinFET in a three-dimensional view, according to some embodiments.
  • FIGS. 17 through 25 illustrate cross-sectional views of intermediate stages of forming fins of FinFETs according to some embodiments. The cross-sectional views of FIGS. 17 through 25 are taken along the line B-B′ shown in the three-dimensional view of FIG. 16 .
  • FIGS. 16 includes a fin 874 on a substrate 850 .
  • Isolation regions 872 are on substrate 850 , and fin 874 protrudes above and from between neighboring isolation regions 872 .
  • a gate dielectric layer 818 is along sidewalls and over a top surface of fin 874 , and a gate electrode 820 is over gate dielectric layer 818 .
  • Source/drain regions 802 are disposed in opposite sides of fin 874 with respect to gate dielectric layer 818 and gate electrode 820 .
  • FIG. 17 illustrates a substrate 850 according to some embodiments.
  • the substrate 850 has a first region 850 B and a second region 850 C.
  • the first region 850 B can be for forming n-type devices, such as NMOS transistors, e.g., n-type FinFETs.
  • Second region 850 C can be for forming p-type devices, such as PMOS transistors, e.g., p-type FinFETs.
  • both first region 850 B and second region 850 C are used to form the same type of devices, such as both regions being for n-type devices or p-type devices.
  • First region 850 B and second region 850 C may be physically separated from each other, and any number of structures (e.g., isolation regions, active devices, etc.) may be disposed between first region 850 B and second region 850 C.
  • Substrate 850 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g., with a p-type or an n-type dopant) or undoped.
  • Substrate 850 may be a wafer, such as a silicon wafer.
  • SOI substrate is a layer of a semiconductor material formed on an insulator layer.
  • the insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like.
  • the insulator layer is provided on a substrate, typically a silicon or glass substrate.
  • Other substrates, such as a multi-layered or gradient substrate may also be used.
  • the semiconductor material of substrate 850 may include silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
  • a film stack is formed over substrate 850 .
  • the film stack is used during processing to form features in substrate 850 that may be a fraction of the minimum photolithographic pitch.
  • the process is a self-aligned double patterning (SADP) process, where the features formed are one half the minimum photolithographic pitch.
  • the process may be a self-aligned quadruple patterning (SAQP) process, where the features formed are one quarter of the minimum photolithographic pitch.
  • the film stack includes an anti-reflective coating (ARC) 852 , a mask layer 854 , and a mandrel layer 856 .
  • the film stack may include more or fewer layers.
  • ARC 852 is formed over substrate 850 , and aids in the exposure and focus of overlying photoresist layers (discussed below) during patterning of the photoresist layers.
  • ARC 852 may be formed from SiON, SiC, materials doped with oxygen (O) and nitrogen (N), or the like.
  • ARC 852 is substantially free from nitrogen, and may be formed from an oxide.
  • Mask layer 854 is formed over ARC 852 .
  • the mask layer 854 is an LTD film, which may be similar to LTD film 116 , LTD film 516 , LTD film 616 , or LTD material 736 described previously with respect to FIGS. 1A-4 , FIGS. 5A-5C , FIGS. 6A-6E , and FIGS. 7A-15B .
  • using an LTD film for the mask layer 854 may improve adhesion of an overlying layer or overlying features.
  • using an LTD film for the mask layer 854 instead of another material for the mask layer 854 may reduce the chance of peeling of patterned features formed on the mask layer 854 .
  • mask layer 854 may be formed of a hard masking material, and may include a metal and/or a dielectric.
  • mask layer 854 may be formed of titanium nitride, titanium, tantalum nitride, tantalum, or the like.
  • mask layer 854 includes a dielectric, it may be formed of an oxide, a nitride, or the like.
  • Mask layer 854 may be formed by PVD, Radio Frequency PVD (RFPVD), Atomic Layer Deposition (ALD), or the like.
  • RFPVD Radio Frequency PVD
  • ALD Atomic Layer Deposition
  • Mandrel layer 856 is a sacrificial layer formed over mask layer 854 .
  • mandrel layer 856 is a photoresist or polymer material, and may be similar to underlayer 114 , underlayer 514 , underlayer 614 , or masking layer 728 described previously with respect to FIGS. 1A-1G , FIGS. 5A-5C , FIGS. 6A-6F , or FIGS. 7A-14B .
  • mandrel layer 856 may formed of a material that has a high etching selectivity with the underlying layer, e.g., with mask layer 854 .
  • mandrel layer 856 may be formed of a material such as amorphous silicon, polysilicon, silicon nitride, silicon oxide, the like, or a combination thereof, and may be formed using a process such as a chemical vapor deposition (CVD), PECVD, or the like. In an embodiment, mandrel layer 856 is formed of polysilicon.
  • CVD chemical vapor deposition
  • PECVD PECVD
  • mandrel layer 856 is patterned to form mandrels 858 .
  • Mandrel layer 856 may be patterned using any suitable photolithography technique.
  • a tri-layer photoresist (not shown) may be formed over the film stack.
  • the tri-layer photoresist may include a bottom layer, a middle layer, and an upper layer.
  • the upper layer may be formed of a photosensitive material, such as a photoresist, which may comprise organic materials.
  • the bottom layer may be a bottom anti-reflective coating (BARC).
  • the middle layer may be formed of or include an inorganic material, which may be a nitride (such as silicon nitride), an oxynitride (such as silicon oxynitride), an oxide (such as silicon oxide), or the like.
  • the middle layer has a high etching selectivity relative to the upper layer and the bottom layer. As a result, the upper layer is used as an etching mask for the patterning of the middle layer, and the middle layer is used as an etching mask for the patterning of the bottom layer.
  • an etching process is performed to transfer the pattern of the bottom layer to mandrel layer 856 .
  • the etching process may remove the portions of mandrel layer 856 exposed by the middle and bottom layers.
  • the etching process may be a dry etch where mandrel layer 856 is exposed to a plasma source and one or more etchant gases.
  • the etch may be an inductively coupled plasma (ICR) etch, a transformer coupled plasma (TCP) etch, an electron cyclotron resonance (ECR) etch, a reactive ion etch (RIE), or the like. Remaining portions of mandrel layer 856 form mandrels 858 .
  • the etching process used to transfer the pattern to mandrel layer 856 may remove the middle layer and partially remove portions of the bottom layer.
  • An ashing process may be performed to remove remaining residue of the middle and/or bottom layers.
  • a LTD layer 862 is formed over mask layer 854 and mandrels 858 .
  • LTD layer 862 may extend along top surfaces of mask layer 854 and mandrels 858 , and along sidewalls of mandrels 858 .
  • LTD layer 862 may be similar to LTD film 116 , LTD film 516 , LTD film 616 , or LTD material 736 described previously with respect to FIGS. 1A-4 , FIGS. 5A-5C , FIGS. 6A-6E , and FIGS. 7A-14B .
  • LTD layer 862 may be deposited by a PEALD process without using O 2 as a precursor material in order to reduce possible damage to mandrels 858 . Reducing damage to mandrels 858 may improve process uniformity during formation of fins 874 , described below.
  • a suitable etching process is performed to remove the horizontal portions of LTD layer 862 .
  • the etchant used to etch the horizontal portions of the LTD layer 862 is Cl 2 , CH 4 , N 2 , Ar, the like, or a combination thereof.
  • the vertical portions of LTD layer 862 may remain along the sides of mandrels 858 , and are referred to as spacers 864 hereinafter.
  • the etching process may be anisotropic, so that the width of spacers 864 does not significantly decrease.
  • mandrels 858 are removed.
  • Mandrels 858 may be removed by a suitable etching processes, such as an etching process including etchants such as CF 4 , CH 3 F, H 2 , N 2 , Ar, the like, or a combination thereof, an ashing process, or any other suitable etching process that can remove mandrels 858 without substantially damaging spacers 864 .
  • a wet clean process may also be applied to substrate 850 to remove residual spacer and mandrel material.
  • the spacer etch and the mandrel removal processes are performed in a same process chamber.
  • spacers 864 are used as an etching mask to pattern mask layer 854 .
  • a suitable etching process such as an anisotropic etch, may be performed with any suitable chemical, such as CF 4 , HBr, Cl 2 , O 2 , Ar, the like, or a combination thereof.
  • the pattern of spacers 864 is therefore transferred to the mask layer 854 to form openings in mask layer 854 .
  • fins 868 are formed in the substrate 850 . Fins 868 are formed by using the patterned mask layer 854 as an etching mask to etch ARC 852 and substrate 850 , thereby forming trenches in substrate 850 . The resulting semiconductor strips between the trenches form fins 868 .
  • the etching may be any acceptable etch process, and may use etchants such as Cl 2 , N 2 , CH 4 , the like, or a combination thereof.
  • the etch may be anisotropic.
  • the spacers 864 , patterned mask layer 854 , and patterned ARC 852 may be consumed in this process. In some embodiments, a cleaning process may be performed to remove any residual material of spacers 864 , patterned mask layer 854 , or patterned ARC 852 .
  • an insulation material is formed over substrate 850 and between neighboring fins 868 .
  • the insulation material may be an oxide, such as silicon oxide, a nitride, the like, or a combination thereof.
  • the insulation material is then recessed to form Shallow Trench Isolation (STI) regions 872 .
  • the insulation material is recessed such that fins 874 in the first region 850 B and in the second region 850 C protrude from between neighboring STI regions 872 .
  • the insulation material may be recessed to form STI regions 872 using an acceptable etching process, such as one that is selective to the material of the insulation material.
  • the fins 874 may be similar to fin 874 shown in FIG. 16 , above.
  • fins 874 After forming fins 874 , other processing steps may be performed to form FinFETs such as that shown in FIG. 16 .
  • a gate dielectric layer 818 and a gate electrode 820 may be formed over each fin 874 , and source/drain regions 802 may be formed on opposite sides of each fin 874 .
  • FIGS. 17 through 25 Although the process illustrated in FIGS. 17 through 25 is used to form the fins 874 , it should be appreciated that the fabrication steps shown in FIGS. 17 through 25 may be used in other processes. For example, spacers formed from an LTD layer could be formed over and used to pattern other semiconductor device elements such as polysilicon gates, metal gates, dummy gates, isolation regions, interconnect structures, gate spacers, a contact etch stop layer (CESL), and the like.
  • CEL contact etch stop layer
  • the LTD film described may be deposited over a photoresist or polymer layer with little or no damage to the photoresist or polymer layer.
  • the LTD film described may be used as an etching mask for photoresist, polymer, or other material.
  • the LTD film described can be used as a pad layer for as an adhesion improvement layer.
  • a layer e.g., a photoresist, dielectric, or other type of layer
  • the use of an LTD film may improve width variation or edge roughness of some features, such as metal lines or other features.
  • the LTD film described may be used as part of a FEOL process or as part of a BEOL process.
  • a method of manufacturing a semiconductor device includes depositing a layer over a semiconductor substrate placing the substrate in a deposition chamber, and depositing an oxide layer over and contacting the layer.
  • Depositing the oxide layer includes flowing first precursor materials into the deposition chamber, within the deposition chamber, forming a portion of the oxide layer from the first precursor materials, igniting second precursor materials into a plasma, the second precursor materials being free of allotropes of oxygen, and within the deposition chamber, forming a portion of the oxide layer from the plasma.
  • the method further includes patterning an opening in the layer.
  • depositing the oxide layer includes depositing the oxide layer within the opening in the layer.
  • the method further includes patterning the oxide layer to extend the opening into the oxide layer.
  • the oxide layer includes a process temperature of less than about 200° C.
  • the second precursor materials are free of gaseous oxygen.
  • the second precursor materials include CO 2 .
  • the oxide layer includes SiOC.
  • a method of manufacturing a semiconductor device includes a dielectric layer over a substrate, performing a first patterning to form a recess in the dielectric layer, and depositing an oxide film over and contacting the dielectric layer and within the recess in the dielectric layer, wherein the oxide film is formed from multiple precursors, wherein the precursors of the multiple precursors are free of O 2 , and wherein depositing the oxide film includes forming a plasma of a first precursor of the multiple precursors.
  • the oxide film is deposited to fill the recess in the dielectric layer.
  • the recess has a first interior width, and depositing the oxide film within the recess forms a trench within the recess having a second interior width that is smaller than the first interior width.
  • the oxide film is deposited conformally within the recess.
  • the dielectric layer is a photoresist material or a polymer material.
  • the oxide film is SiOC.
  • the first precursor of the multiple precursors is CO 2 .
  • a method in another embodiment, includes forming a dielectric layer over a semiconductor substrate, patterning a photoresist over the dielectric layer, placing the semiconductor substrate and the photoresist into a process chamber, transferring the pattern of the photoresist to the dielectric layer using an etching process, providing precursors of multiple precursors to the process chamber, wherein the precursors of the multiple precursors are free of allotropes of oxygen, and forming an oxide layer over and contacting the dielectric layer within the process chamber, including igniting at least one precursor of the multiple precursors into a plasma.
  • the method further includes etching the oxide layer using an etching process performed within the process chamber.
  • the multiple precursors include CO 2 , N 2 O, or ethanol.
  • the oxide layer includes SiON.
  • forming an oxide layer includes using a Plasma-Enhanced Atomic Layer Deposition (PEALD) process.
  • PEALD Plasma-Enhanced Atomic Layer Deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method of manufacturing a semiconductor device includes depositing a dielectric layer over a substrate, performing a first patterning to form an opening in the dielectric layer, and depositing an oxide film over and contacting the dielectric layer and within the opening in the dielectric layer. The oxide film is formed from multiple precursors that are free of O2, and depositing the oxide film includes forming a plasma of a first precursor of the multiple precursors.

Description

    PRIORITY
  • This is a divisional of U.S. patent application Ser. No. 15/967,480, filed on Apr. 30, 2018, which claims the benefit of U.S. patent application Ser. No. 62/566,044, filed on Sep. 29, 2017 and entitled “Method of Manufacturing a Semiconductor Device,” which applications are incorporated herein by reference.
  • BACKGROUND
  • Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate, and patterning the various material layers using lithography and etching processes to form circuit components and elements thereon.
  • The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continual reductions in minimum feature size, which allow more components to be integrated into a given area. However, as the minimum features sizes are reduced, additional problems arise within each of the processes that are used, and these additional problems should be addressed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIGS. 1A-1H illustrate cross-sectional views of various intermediary stages of manufacturing conductive lines in a semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • FIG. 2 illustrates a deposition system for depositing a low-temperature dielectric film in accordance with some embodiments.
  • FIG. 3 illustrates a control unit for a deposition system in accordance with some embodiments.
  • FIG. 4 illustrates experimental results reflecting the relationship between underlayer damage and low-temperature dielectric film thicknesses in accordance with some embodiments.
  • FIGS. 5A-5C illustrate cross-sectional views of various intermediary stages of manufacturing a semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • FIGS. 6A-6J illustrate cross-sectional views or plan views of various intermediary stages of manufacturing conductive lines in a semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • FIGS. 7A-15B illustrate cross-sectional views, plan views, or perspective views of various intermediary stages of manufacturing conductive lines in a semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • FIGS. 16-25 illustrate cross-sectional views of various intermediary stages of manufacturing a FinFET semiconductor device using a low-temperature dielectric film in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Various embodiments are described in respect to the formation of a low-temperature dielectric (LTD) film used during processing semiconductor devices. The LTD film described herein and the process, method, or materials described herein can be used in many applications, including fin-type field effect transistors (FinFETs). For example, the fins may be patterned to produce a relatively close spacing between features, for which this disclosure is well-suited. In addition, spacers used in forming fins of FinFETs, also referred to as mandrels, can be formed using techniques or materials described herein. As another example, the LTD film may be used as part of a multi-layer photoresist, in a multi-patterning process, or as a film to decrease feature size during patterning. However, the embodiments are not intended to be limited to such uses. As used herein, the term “LTD” refers to a dielectric deposited using a relatively low process temperature (e.g., 200° C. or less). In some cases, depositing a dielectric material at lower process temperatures can reduce the possibility of damage to layers beneath the dielectric material during the deposition.
  • The low-temperature dielectric (LTD) film described herein may be used in different processes that form different types of semiconductor devices. In accordance with a first exemplary embodiment, FIGS. 1A-1H illustrate cross-sectional views of intermediate stages of forming conductive lines 120 in an inter-layer dielectric (ILD) layer 112 on a semiconductor substrate 102.
  • In the embodiment of FIGS. 1A-1H, an LTD film 116 is used as an etching mask for patterning of an ILD layer 112 during formation of conductive lines 120. The embodiment shown in FIGS. 1A-1H may, for example, be part of forming conductive lines in a Back End of Line (BEOL) process. In FIG. 1A, the ILD layer 112 and an underlayer 114 are formed in semiconductor device 100. In some embodiments, ILD layer 112 may be formed over a semiconductor substrate 102. The semiconductor substrate 102 may be formed of a semiconductor material such as silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate. The semiconductor substrate 102 may include other semiconductor materials, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. Other substrates, such as multi-layered or gradient substrates, may also be used. Devices (not illustrated), such as transistors, diodes, capacitors, resistors, etc., may be formed in and/or on an active surface of semiconductor substrate 102. The devices may include a variety of active devices such as transistors and the like and passive devices such as capacitors, resistors, inductors and the like. The active devices and passive devices may be formed using any suitable methods either within or else on the substrate 102. In some cases, the semiconductor substrate 102 may be omitted.
  • The ILD layer 112 may include a dielectric material formed using, for example, spin-on coating, CVD, flowable CVD, plasma-enhanced CVD (PECVD), or other deposition methods. The ILD layer 112 may be formed of Phospho-Silicate glass (PSG), Boro-Silicate Glass (BSG), Boron-Doped Phospho-Silicate Glass (BPSG), undoped Silicate Glass (USG), Tetra Ethyl Ortho Silicate (TEOS) oxide, or the like.
  • FIG. 1A also illustrates the formation of an underlayer 114 over ILD layer 112. In some cases, other layers may be formed between ILD layer 112 and the underlayer 114. In some embodiments, underlayer 114 may be a photoresist layer or a polymer layer. Underlayer 114 may be formed by a spin-on process or another suitable process. As shown in FIG. 1A, underlayer 114 may be formed to an initial thickness T1, which in some cases is between about 10 nm and about 600 nm. However, these thicknesses are meant to be illustrative only, and are not intended to limit the scope of the embodiments, as the precise thickness of the as-deposited layer may be any suitable desired thickness.
  • Although FIGS. 1A-1G illustrate ILD layer 112 being in physical contact with semiconductor substrate 102 or underlayer 114 being in physical contact with ILD layer 112, any number of intervening layers may be disposed between ILD layer 112 and semiconductor substrate 102. Such intervening layers may include another ILD layer comprising a low-k dielectric and having contact plugs formed therein, IMD layers having conductive lines and/or vias formed therein, one or more intermediary layers (e.g., etch stop layers, adhesion layers, anti-reflective coating (ARC) layers, etc.), combinations thereof, and the like. For example, an optional etch stop layer (not illustrated) may be disposed directly under ILD layer 112. An etch stop layer may act as a stop for an etching process subsequently performed on the ILD layer 112. The material and process used to form the etch stop layer may depend on the material of ILD layer 112.
  • As illustrated in FIG. 1B, a low-temperature dielectric (LTD) film 116 is formed over underlayer 114. In some embodiments, LTD film 116 may be part of a multi-layer photoresist. For example, LTD film 116 may be a middle layer of a multi-layer photoresist stack, and underlayer 114 may be the bottom layer of a multi-layer photoresist stack. In some embodiments, LTD film 116 may be formed of SiN, SiON, SiCON, SiC, SiOC, SiO, TiO, an oxide, other dielectrics, combinations thereof, or the like. LTD film 116 may be formed by a deposition process such as plasma enhanced chemical vapor deposition (PECVD), low pressure CVD (LPCVD), plasma vapor deposition (PVD), plasma-enhanced atomic layer deposition (PEALD), or the like. In some embodiments, LTD film 116 may be formed to a thickness between about 10 Å and about 50 nm. However, these thicknesses are meant to be illustrative only, and are not intended to limit the scope of the embodiments, as the precise thickness of the as-deposited layer may be any suitable desired thickness.
  • FIGS. 2-3 illustrate a deposition system 200 that may be used to form a low-temperature dielectric (LTD) film such as LTD film 116. In some embodiments, deposition system 200 forms the LTD film using a PEALD process. In some embodiments, deposition system 200 receives a first precursor material from a first precursor delivery system 205 and a second precursor material from a second precursor delivery system 206 to form layers of materials onto substrate 102. In an embodiment, first precursor delivery system 205 and second precursor delivery system 206 may work in conjunction with one another to supply the various different precursor materials to a deposition chamber 203 in which substrate 102 is placed. In some cases, first precursor delivery system 205 and second precursor delivery system 206 may have physical components that are similar with each other. In some embodiments, other precursor delivery systems may be part of deposition system 200, and may be similar to first precursor delivery system 205 or second precursor delivery system 206.
  • In some embodiments, first precursor delivery system 205 and second precursor delivery system 206 may each include a gas supply 207 and a flow controller 209 (labeled in FIG. 2 with regards to first precursor delivery system 205 but not labeled for clarity with respect to second precursor delivery system 206). In an embodiment in which the first precursor material is stored in a gaseous state, gas supply 207 may supply the first precursor material to deposition chamber 203. Gas supply 207 may be a vessel, such as a gas storage tank, that is located either locally to deposition chamber 203 or else may be located remotely from deposition chamber 203. Alternatively, gas supply 207 may be a facility that independently prepares and delivers the first precursor material to flow controller 209. Any suitable source for the first precursor material may be utilized as gas supply 207, and all such sources are fully intended to be included within the scope of the embodiments.
  • Gas supply 207 may supply the desired first precursor material to flow controller 209. Flow controller 209 may be utilized to control the flow of the first precursor material to precursor gas controller 213 and, eventually, to deposition chamber 203, thereby also helping to control the pressure within deposition chamber 203. Flow controller 209 may be, e.g., a proportional valve, a modulating valve, a needle valve, a pressure regulator, a mass flow controller, combinations of these, or the like. However, any suitable method for controlling and regulating the flow of the carrier gas to precursor canister 211 may be utilized, and all such components and methods are fully intended to be included within the scope of the embodiments.
  • However, as one of ordinary skill in the art will recognize, while first precursor delivery system 205 and second precursor delivery system 206 have been described herein as having identical components, this is merely an illustrative example and is not intended to limit the embodiments in any fashion. Any type of suitable precursor delivery system, with any type and number of individual components identical to or different from any of the other precursor delivery systems within deposition system 200, may alternatively be utilized. All such precursor systems are fully intended to be included within the scope of the embodiments.
  • Additionally, in an embodiment in which the first precursor material is stored in a solid or liquid state, gas supply 207 may store a carrier gas and the carrier gas may be introduced into a precursor canister (not separately illustrated), which stores the first precursor material in the solid or liquid state. The carrier gas is then used to push and carry the first precursor material as the first precursor material either evaporates or sublimates into a gaseous section of the precursor canister before being sent to precursor gas controller 213. In some embodiments, a carrier gas may be an inert gas such as Ar, He, or another gas. Any suitable method and combination of units may be utilized to provide the first precursor material, and all such combination of units are fully intended to be included within the scope of the embodiments.
  • First precursor delivery system 205 and second precursor delivery system 206 may supply their individual precursor materials into a precursor gas controller 213. Precursor gas controller 213 connects and isolates first precursor delivery system 205 and second precursor delivery system 206 from deposition chamber 203 in order to deliver the desired precursor materials to deposition chamber 203. Precursor gas controller 213 may include such devices as valves, flow meters, sensors, and the like to control the delivery rates of each of the precursors, and may be controlled by instructions received from a control unit 215 (described further below with respect to FIG. 3).
  • Precursor gas controller 213, upon receiving instructions from control unit 215, may open and close valves so as to connect one or more of first precursor delivery system 205 and second precursor delivery system 206 to deposition chamber 203 and direct a desired precursor material through a manifold 216, into deposition chamber 203, and to a showerhead 217. Showerhead 217 may be utilized to disperse the chosen precursor material(s) into deposition chamber 203 and may be designed to evenly disperse the precursor material in order to minimize undesired process conditions that may arise from uneven dispersal. In some embodiments, showerhead 217 may have a circular design with openings dispersed evenly around showerhead 217 to allow for the dispersal of the desired precursor material into deposition chamber 203.
  • However, as one of ordinary skill in the art will recognize, the introduction of precursor materials to deposition chamber 203 through a single showerhead 217 or through a single point of introduction as described above is intended to be illustrative only and is not intended to be limiting to the embodiments. Any number of separate and independent showerheads 217 or other openings to introduce precursor materials into deposition chamber 203 may alternatively be utilized. All such combinations of showerheads and other points of introduction are fully intended to be included within the scope of the embodiments.
  • Deposition chamber 203 may receive the desired precursor materials and expose the precursor materials to underlayer 114, and deposition chamber 203 may be any desired shape that may be suitable for dispersing the precursor materials and contacting the precursor materials with underlayer 114. In the embodiment illustrated in FIG. 2, deposition chamber 203 has a cylindrical sidewall and a bottom. However, deposition chamber 203 is not limited to a cylindrical shape, and any other suitable shape, such as a hollow square tube, an octagonal shape, or the like, may be utilized. Furthermore, deposition chamber 203 may be surrounded by a housing 219 made of material that is inert to the various process materials. As such, while housing 219 may be any suitable material that can withstand the chemistries and pressures involved in the deposition process, in an embodiment housing 219 may be steel, stainless steel, nickel, aluminum, alloys of these, combinations of these, and like.
  • Within deposition chamber 203, substrate 102 may be placed on a mounting platform 221 in order to position and control substrate 102 and underlayer 114 during the deposition processes. Mounting platform 221 may be disposed on the bottom of deposition chamber 203, and may include heating mechanisms in order to heat substrate 102 during the deposition processes. Furthermore, while a single mounting platform 221 is illustrated in FIG. 2, any number of mounting platforms 221 may additionally be included within deposition chamber 203.
  • In some embodiments, mounting platform 221 may also include a first electrode 230 coupled to a first RF generator 232. First electrode 220 may be electrically biased by first RF generator 232 (under control of control unit 215) at a RF voltage during a deposition process such as a PEALD process. By being electrically biased, first electrode 230 is used to provide a bias to the incoming gases (e.g., precursor materials and/or carrier gases) and assist to ignite them into a plasma. In some embodiments, a carrier gas may be ignited into a plasma along with a precursor material. Additionally, first electrode 230 is also used to maintain a plasma during a deposition by maintaining the bias.
  • Deposition chamber 203 also includes an upper electrode 231, for use as a plasma generator. In an embodiment the plasma generator may be a transformer coupled plasma generator and may be, e.g., a coil. The coil may be attached to a RF generator 233 that is used to provide power to the upper electrode 231 (under control of control unit 215) in order to ignite gases into a plasma.
  • However, while the upper electrode 231 is described above as a transformer coupled plasma generator, embodiments are not intended to be limited to a transformer coupled plasma generator. Rather, any suitable method of generating the plasma, such as inductively coupled plasma systems, magnetically enhanced reactive ion etching, electron cyclotron resonance, a remote plasma generator, or the like, may alternatively be utilized. For example, in some embodiments, incoming gases may be ignited into a plasma by a plasma generator located in a separate chamber that is connected to deposition chamber 203, or incoming gases may be ignited into a plasma in deposition chamber 203 by a plasma generator that is not coupled to mounting platform 221. All such methods are fully intended to be included within the scope of the embodiments.
  • Additionally, deposition chamber 203 and mounting platform 221 may be part of a cluster tool system (not shown). The cluster tool system may be used in conjunction with an automated handling system in order to position and place substrate 102 into deposition chamber 203 prior to the deposition processes, position, hold substrate 102 during deposition processes, and remove substrate 102 from deposition chamber 203 after the deposition processes.
  • Deposition chamber 203 may also have an exhaust outlet 225 for exhaust gases to exit deposition chamber 203. A vacuum pump 223 may be connected to exhaust outlet 225 of deposition chamber 203 in order to help evacuate the exhaust gases. Vacuum pump 223, under control of control unit 215, may also be utilized to reduce and control the pressure within deposition chamber 203 to a desired pressure and may also be utilized to evacuate precursor materials from deposition chamber 203 in preparation for the introduction of the next precursor material.
  • FIG. 3 illustrates an embodiment of control unit 215 that may be utilized to control precursor gas controller 213, vacuum pump 223, or RF generators 232, 233. Control unit 215 may be any form of computer processor that can be used in an industrial setting for controlling process machines. In an embodiment, control unit 215 may include a processing unit 301, such as a desktop computer, a workstation, a laptop computer, the like, or a dedicated unit customized for a particular application. Control unit 215 may be equipped with a display 303 and one or more input/output components 305, such as instruction outputs, sensor inputs, a mouse, a keyboard, printer, combinations of these, or the like. Processing unit 301 may include a central processing unit (CPU) 306, memory 308, a mass storage device 310, a video adapter 314, and an I/O interface 316 connected to a bus 312.
  • Bus 312 may be one or more of any type of several bus architectures including a memory bus or memory controller, a peripheral bus, or video bus. CPU 306 may include any type of electronic data processor, and memory 308 may include any type of system memory, such as static random access memory (SRAM), dynamic random access memory (DRAM), or read-only memory (ROM). Mass storage device 310 may include any type of storage device configured to store data, programs, or other information and configured to make the data, programs, or other information accessible via bus 312. Mass storage device 310 may include, for example, one or more of a hard disk drive, a magnetic disk drive, or an optical disc drive.
  • The video adapter 314 and the I/O interface 316 provide interfaces to couple external input and output devices to the processing unit 301. As illustrated in FIG. 3, examples of input and output devices include the display 303 coupled to the video adapter 314 and the I/O component 305, such as a mouse, keyboard, printer, and the like, coupled to the I/O interface 316. Other devices may be coupled to the processing unit 301, and additional or fewer interface cards may be utilized. For example, a serial interface card (not shown) may be used to provide a serial interface for a printer. The processing unit 301 also may include a network interface 318 that may be a wired link to a local area network (LAN) or a wide area network (WAN) 320 and/or a wireless link. It should be noted that control unit 215 may include other components. For example, control unit 215 may include power supplies, cables, a motherboard, removable storage media, cases, and the like. These other components, although not shown in FIG. 3, are considered part of control unit 215.
  • In some cases, an oxygen plasma presenuring deposition of a material over a photoresist or polymer underlayer can damage the underlayer surface. In some cases, the underlayer is damaged or consumed as oxygen radicals (O*) formed in the plasma break carbon-carbon bonds (C—C, C═C) or carbon-hydrogen bonds (C—H) within the underlayer. For example, the oxygen plasma may react with the underlayer according to:

  • C=C+O*→CO2 or CO

  • C—H+O*→CO2+H2O,
  • though other reactions may be possible.
  • In a PEALD process, the use of oxygen-containing precursor materials for the deposition of an oxygen-containing film can form an oxygen plasma during deposition that damages the underlayer. In some cases, the oxygen plasma consumes or etches part of the underlayer, which can result in a reduction of the thickness of the underlayer with respect to the as-deposited thickness. For example, oxygen plasma damage to underlayer 114 due to the deposition of LTD film 116 may reduce the thickness of underlayer 114 from its initial as-deposited thickness T1 to a smaller thickness T2. This underlayer 114 thickness change is shown representatively in FIG. 1B by thickness change DT1. In some cases, the amount of thickness change DT1 is determined by the material of underlayer 114 and the characteristics of the oxygen plasma and the PEALD process. This damage can result in reduced process uniformity or reproducibility, and in some cases may affect the dimensions of patterned structures or other features.
  • In some embodiments, the use of an oxygen-containing precursor other than an allotrope of oxygen (e.g., gaseous oxygen O2, ozone O3, etc.) for the deposition of LTD film 116 allows for the formation of LTD film 116 with a reduction of oxygen plasma present during deposition. In some embodiments, instead of or in addition to an oxygen allotrope precursor, precursors such as CO2, N2O, or N2O2 may be used. In some embodiments, one or more alcohols may be used as a precursor, such as ethanol or other alcohols or combinations of alcohols. In some embodiments, more than one precursor may be used, and in some embodiments more than one precursor may be used in combination with or without the use of an oxygen allotrope precursor as another precursor material. The use of precursors with less oxygen content (e.g., precursors without gaseous oxygen O2, ozone O3, etc.) can form an oxygen-containing LTD film 116 over underlayer 114 with less damage to underlayer 114.
  • FIG. 4 illustrates a graph 400 showing example results of experiments of depositing an LTD film on an underlayer, producing a multilayer structure similar to the structure shown in FIG. 1B. Graph 400 shows curves 410, 420, 430 plotting the changes in the thickness of an underlayer against the thicknesses of different LTD films deposited directly on that underlayer. In some cases, the amount of change in underlayer thickness may be indicative of the amount of underlayer damage due to an oxygen plasma. In the experiments shown in graph 400, three different LTD films are deposited, represented by curves 410, 420, and 430. Curves 410, 420, and 430 show that deposition of the LTD films cause the underlayer thickness to decrease. In general, the change in underlayer thickness becomes greater as the thickness of the deposited LTD film increases. The LTD films of curves 410 and 420 were deposited using O2 as a precursor. However, the LTD film of curve 430 was deposited using CO2 as a precursor instead of O2, and curve 430 indicates that less underlayer damage has occurred during its LTD film deposition than for curves 410 and 420. For example, the underlayer thickness change of curve 430 is at least approximately half of the underlayer thickness change of curve 410. Thus, graph 400 shows an example of how the techniques described by some embodiments herein may reduce damage to a photoresist or polymer underlayer when an oxygen-containing dielectric film is deposited directly on the underlayer.
  • Returning now to FIG. 1B, a deposition system such as deposition system 200 may be utilized to deposit LTD film 116 onto underlayer 114. In some embodiments, the formation of LTD film 116 may be initiated by introducing precursor materials into the first precursor delivery system 205 or the second precursor delivery system 206. In some embodiments, first precursor materials include CO2, N2O, N2O2, one or more alcohols, other materials, or a combination. In some embodiments, second precursor materials include tris(dimethylamino)silane (3DMAS), tetrakis(dimethylamino)titanium (TDMAT), bis(tertiary-butyl-amino) silane (BTBAS), bis(diethylamino)silane (BDEAS), other materials, or a combination. In some embodiments, an oxygen allotrope precursor may be used as a third precursor material in combination with another first precursor material.
  • Once a first precursor material and a second precursor material have been placed into first precursor delivery system 205 and second precursor delivery system 206, the formation of LTD film 116 may be initiated by control unit 215 sending an instruction to precursor gas controller 213 to sequentially or alternately connect first precursor delivery system 205 and second precursor delivery system 206 to deposition chamber 203. Once connected, first precursor delivery system 205 and second precursor delivery system 206 can deliver the first precursor material and the second precursor material to showerhead 217 through precursor gas controller 213 and manifold 216. Showerhead 217 can then disperse the first precursor material and the second precursor material into deposition chamber 203, in which the first precursor material and the second precursor material can form LTD film 116 on underlayer 114. Control unit 215 can also ignite a precursor material into a plasma within deposition chamber 203, for example, using RF generators 232, 233. In some embodiments, a first precursor material such as those described above may be ignited into a plasma during deposition of LTD film 116. In some cases, LTD film 116 may be deposited in the same deposition chamber 203 as other processing steps such as deposition processes or etching processes. For example, a plasma etching process or another plasma-enhanced deposition process may also be performed on semiconductor device 100 within deposition chamber 203.
  • In some embodiments, for the formation of LTD film 116, first precursor material may be flowed into deposition chamber 203 at a flow rate of between about 10 sccm and about 5000 sccm, and second precursor material may be flowed into deposition chamber 203 at a flow rate of between about 10 sccm and about 5000 sccm. For example, CO2 as a first precursor material may be flowed into deposition chamber 203 at a flow rate of between about 10 sccm and about 5000 sccm. Additionally, deposition chamber 203 may be held at a pressure of between about 0.1 Torr and about 10 Torr and a temperature of between about 0° C. and about 200° C., such as about 90° C. In some embodiments, LTD film 116 is formed using an RF power of between about 1 Watt and about 2000 Watts. In some embodiments, LTD film 116 is formed using a DC power of between about 1 Watt and about 2000 Watts. However, as one of ordinary skill in the art will recognize, these process conditions are only intended to be illustrative, as any suitable process conditions may be utilized while remaining within the scope of the embodiments.
  • Turning to FIG. 1C, an upper layer 118 is formed over LTD film 116. In some embodiments, upper layer 118 may be a photoresist. In some cases, upper layer 118 may be part of a multi-layer photoresist stack. For example, upper layer 118 may be the uppermost layer of a multi-layer photoresist stack and LTD film 116 may be a middle layer of the multi-layer photoresist stack. Upper layer 118 may be formed by a spin-on process or another suitable process. In some embodiments, an additional layer such as an adhesion layer may be formed over LTD film 116 prior to forming upper layer 118. In FIG. 1D, upper layer 118 is patterned using a photolithographic process to form openings within upper layer 118.
  • As shown in FIG. 1E, the patterned upper layer 118 is used as an etching mask for patterning of LTD film 116. The pattern of upper layer 118 may be transferred to LTD film 116 via an etching process. In some cases, the etching process is anisotropic, so that the openings in upper layer 118 are extended through LTD film 116 and have about the same sizes in LTD film 116 as they do in upper layer 118. In some embodiments, one or more etching processes are performed in the same chamber (e.g., deposition chamber 203) as the deposition of LTD film 116.
  • As shown in FIG. 1F, patterned LTD film 116 is used as an etching mask for patterning of underlayer 114. The pattern of LTD film 116 may be transferred to underlayer 114 via an etching process. In some cases, the etching process is anisotropic, so that the openings in LTD film 116 are extended through underlayer 114 and have about the same sizes in underlayer 114 as they do in LTD film 116. In some cases, as part of etching underlayer 114, some of or all of upper layer 118 may be consumed. As shown in FIG. 1G, patterned underlayer 114 is then used as an etching mask for patterning of ILD layer 112. The pattern of underlayer 114 may be transferred to ILD layer 112 via an etching process. In some cases, as part of etching ILD layer 112, some of or all of LTD film 116 or underlayer 114 may be consumed. In embodiments when underlayer 114 is not completely consumed while etching ILD layer 112, an ashing process may be performed to remove remaining residue of the underlayer 114.
  • In FIG. 1H, etched portions of ILD layer 112 are filled with a conductive material. Any suitable conductive material may be used, such as copper, aluminum, or another metal, and the conductive material may be formed using a plating process or another suitable process. In some embodiments, one or more additional layers may be deposited over the etched portions of ILD layer 112 before forming the conductive material, such as barrier layers, adhesion layers, seed layers, or other layers. After filling the etched portions, a planarization process, such as a chemical mechanical polish (CMP), may be performed to remove excess portions of the conductive material over the ILD layer 112 to form conductive lines 120.
  • While the example embodiment of FIGS. 1A-1H shows an LTD film 116 used to pattern an ILD layer 112, in other embodiments, the ILD layer 112 may be another type of layer. For example, ILD layer 112 may be a semiconductor substrate used to form devices such as FinFETs rather than an ILD layer. In some cases, ILD layer 112 includes one or more layers, such as additional inter-layer dielectric layers, other types of dielectric layers, semiconductor layers, conductive layers, etc. As such, ILD layer 112 is an example layer representative of one or more different kinds of layers that may be present during the formation of a semiconductor device 100.
  • In some cases, the presence of LTD film 116 may improve adhesion of an overlying layer such as upper layer 118. In some cases, the presence of LTD film 116 may reduce the chance of peeling of patterned features formed in upper layer 118. For example, using LTD film 116 may improve adhesion of patterned upper layer 118, shown in FIG. 1D.
  • By using a deposition process having lower temperatures and reduced oxygen plasma, the techniques described herein allow for the deposition of an oxide LTD film on an underlayer with less damage to the underlayer. In particular, oxygen plasma damage to a photoresist or polymer underlayer may be reduced. In some cases, the use of an LTD film as described may allow for critical dimension shrinkage for patterned structures to enable smaller feature sizes. The deposition of an LTD film as described can enable finely patterned features to be formed with greater process control, decreased feature size, and increased yield.
  • In other embodiments, LTD film as described herein may be used as a gap-fill material deposited within gaps or openings during processing of a semiconductor device. For example, in accordance with an exemplary embodiment, FIGS. 5A-5C illustrate cross-sectional views of intermediate stages of forming a semiconductor device 500 using an LTD film 516 as a gap-fill material. In FIG. 5A, an optional bottom layer 512 is formed over a substrate 502. In some embodiments, substrate 502 may be similar to substrate 102 and bottom layer 512 may be similar to ILD layer 112, described above with respect to FIGS. 1A-1G.
  • FIG. 5A also illustrates an underlayer 514 formed over bottom layer 512. In some embodiments, underlayer 514 is a photoresist or polymer material, and underlayer 514 may be similar to underlayer 114 described previously with respect to FIGS. 1A-1G. In some embodiments, underlayer 514 is formed to have an as-deposited thickness T3 between about 5 nm and about 1000 nm. Underlayer 514 may be formed by a spin-on process or another suitable process.
  • FIG. 5A also illustrates an upper layer 518 formed over underlayer 514. As shown in FIG. 5A, upper layer 518 has been patterned using a photolithographic process to form openings within upper layer 518. In some embodiments, upper layer 518 is an oxygen-containing dielectric material similar to LTD film 116, but upper layer 518 may be another dielectric material in other embodiments. As shown in FIG. 5B, patterned upper layer 518 is used as an etching mask for a patterning of underlayer 514. The pattern of upper layer 518 may be transferred to underlayer 514 via an etching process, forming openings 522 within underlayer 514. In some cases, the etching process is anisotropic, so that openings in upper layer 518 are extended through underlayer 514 as openings 522 and have about the same sizes in underlayer 514 as they do in upper layer 518. In some cases, as part of etching underlayer 514, some of or all of upper layer 518 may be consumed, as shown in FIG. 5B. FIG. 5B also illustrates that openings 522 patterned into underlayer 514 may have an initial width W1. In some embodiments, the initial width W1 may be between about 5 nm and about 100 nm. In some embodiments, openings 522 may be considered recesses in underlayer 514.
  • In FIG. 5C, an LTD film 516 is deposited over the underlayer 514 and into the openings 522 as a gap-fill material. In some embodiments, LTD film 516 is also deposited over remaining portions of upper layer 518. In some embodiments, LTD film 516 may be used as a gap-fill material, sacrificial material, or a reverse material. In some embodiments, LTD film 516 may be deposited conformally to form on sidewalls and a bottom surface of openings 522, but in other embodiments film 516 is not deposited conformally. As deposition continues, portions of LTD film 516 on opposing sidewalls of the openings 522 may merge, which fills the openings 522. In some embodiments, a top surface of LTD film 516 may not be planar, as shown in FIG. 5C.
  • In some embodiments, LTD film 516 may be similar to LTD film 116 described previously with respect to FIG. 1A through 4. For example, LTD film 516 may be deposited by a PEALD process without using O2 as a precursor material in order to reduce possible damage to underlayer 514. By forming LTD film 516 using one or more precursors that reduce oxygen plasma during deposition as described herein, damage to the underlayer 514 can be reduced during formation of LTD film 516. For example, the formation of LTD film 516 over underlayer 514 may reduce the thickness of underlayer 514 from a thickness T3 to a smaller thickness T4, shown representatively in FIG. 5C as thickness change DT3. By depositing LTD film 516 using the techniques described herein, the thickness change DT3 may be less than using other techniques in which more oxygen plasma is present during deposition. In some cases, deposition of LTD film 516 may also consume portions of the underlayer 514 sidewalls of openings 522. For example, as shown in FIG. 5C, the initial width W1 of openings 522 may increase to W2 after deposition of LTD film 516. In some embodiments, the increase in width from W1 to W2 during formation of LTD film 516 may be less than about 5 nm, such as about 3 nm. In some cases, the change in width after depositing an LTD film as described herein may be as much as about 5 nm less than the change in width after depositing a dielectric film using an O2 precursor.
  • In some embodiments, the device 500 shown in FIGS. 5A-5C may be processed further. For example, LTD film 516 may be subsequently planarized using a CMP process. The remaining portions of underlayer 514 may then be removed, leaving portions of LTD film 516 remaining on the bottom layer 512. The remaining portions of LTD film 516 may then be used as an etch mask for the patterning of the bottom layer 512. A conductive material may be deposited over the patterned bottom layer 512 to form conductive lines, contacts, vias, or the like. This is an example process, and other processes using an LTD film as a gap-fill material are within the scope of this disclosure.
  • An LTD film as described herein may also be used for reducing feature sizes in a BEOL process of a semiconductor device. In accordance with another exemplary embodiment, FIGS. 6A-6J illustrate cross-sectional views of intermediate stages of forming conductive lines in a semiconductor device 600. In particular, FIGS. 6A-6J illustrate an embodiment in which a LTD film 616 is used as a conformal material to decrease patterned feature size during processing of semiconductor device 600. In FIG. 6A, ILD layer 612 is formed over a substrate 602. In some embodiments, substrate 602 may be similar to substrate 102 or substrate 502 and ILD layer 612 may be similar to ILD layer 112 or bottom layer 512, described above with respect to FIGS. 1A-1H and FIGS. 5A-5C. In some cases, substrate 602 may be a partially processed semiconductor device. The embodiment shown in FIGS. 6A through 6J may, for example, be part of a BEOL process or part of another process.
  • FIG. 6A also illustrates an underlayer 614 formed over ILD layer 612. In some embodiments, underlayer 614 is a photoresist material, and underlayer 614 may be similar to underlayer 114 or underlayer 514 described previously with respect to FIGS. 1A-1H and FIG. 5A-5C. In some embodiments, underlayer 614 is formed to have an as-deposited thickness T5 between about 5 nm and about 1000 nm. Underlayer 614 may be formed by a spin-on process or another suitable process.
  • FIG. 6A also illustrates an upper layer 618 formed over underlayer 614. As shown in FIG. 6A, upper layer 618 has been patterned using a photolithographic process to form openings within upper layer 618. In some embodiments, upper layer 618 is an oxygen-containing dielectric material similar to LTD film 116, but upper layer 618 may be another dielectric material in other embodiments. As shown in FIG. 6B, patterned upper layer 618 is used as an etching mask for patterning of underlayer 614. The pattern of upper layer 618 may be transferred to underlayer 614 via an etching process, forming first openings 622 within underlayer 614. In some cases, the etching process is anisotropic, so that openings in upper layer 618 are extended through underlayer 614 as openings 622 and have about the same sizes in underlayer 614 as they do in upper layer 618. In some cases, as part of etching underlayer 614, some of or all of upper layer 618 may be consumed, as shown in FIG. 6B. FIG. 6B also illustrates that openings 622 patterned into underlayer 614 may have an initial width W3. In some embodiments, openings 622 may be considered recesses in underlayer 614.
  • In some cases, the sidewalls of openings 622 may have rough surfaces after patterning. As an illustrated example, FIG. 6C shows a cross-sectional view of an example opening 622, similar to openings 622 shown in FIG. 6B. FIG. 6D shows the opening 622 of FIG. 6C in a plan view, and the cross-sectional view of FIG. 6C is along line 6C-6C′ shown in the plan view of FIG. 6D. As shown in FIG. 6D, the opening 622 sidewalls also exhibit roughness in a plan view. In some cases, the roughness may be characterized from one or more measurements of the deviation of the opening 622 sidewall edge from a fixed position, shown as Edge Roughness ER1 in FIG. 6D. In some cases, the roughness may be characterized from one or more measurements of the distance across the opening 622, shown as Width Roughness WR1 in FIG. 6D. In some cases, the use of LTD film 616 may allow for improved width roughness or improved edge roughness of openings, patterned layers, or subsequently formed features. An example is described below in FIGS. 6F-6J.
  • Turning to FIG. 6E, an LTD film 616 is deposited over the underlayer 614 and into first openings 622. In some embodiments, LTD film 616 is also deposited over remaining portions of upper layer 618 (when present). As shown in FIG. 6C, LTD film 616 may be deposited conformally to form on sidewalls and a bottom surface of openings 622. In some embodiments, LTD film 616 may have a thickness between about 0.1 nm and about 100 nm. In some embodiments, LTD film 516 may be similar to LTD film 116 or LTD film 516 described previously with respect to FIGS. 1A-4 and FIGS. 5A-5C. For example, LTD film 616 may be deposited by a PEALD process without using O2 as a precursor material in order to reduce possible damage to underlayer 614.
  • Depositing LTD film 616 conformally within first openings 622 forms second openings 624. Due to the LTD film 616, second openings 624 have a width W5 that is smaller than the initial width W3 of first openings 622. The use of LTD 616 in this manner may allow for smaller feature sizes to be patterned into target layer 612, described below in greater detail with respect to FIG. 6D.
  • Additionally, by forming LTD film 616 using one or more precursors that reduce oxygen plasma during deposition as described herein, damage to the underlayer 614 can be reduced during formation of LTD film 616. For example, the formation of LTD film 616 over underlayer 614 may reduce the thickness of underlayer 614 from a thickness T5 to a smaller thickness T6, similar to underlayer 514 as described above with respect to FIGS. 5A-5C. In some cases, deposition of LTD film 616 may also consume portions of the underlayer 614 sidewalls of openings 622. For example, as shown in FIG. 6C, the initial width W3 of openings 622 may increase to W4 after deposition of LTD film 616. In some embodiments, during formation of a LTD film 616 that is about 3 nm thick, the increase in width from W3 to W4 may be less than about 50 nm, such as about 3 nm. In some embodiments, the thickness of an LTD film 616 deposited on a surface of the underlayer 614 may be less than, greater than, or about the same as the thickness of underlayer 614 at that surface that is consumed during the deposition of the LTD film 616. By reducing the possible damage to the sidewalls of openings 622, openings 624 may be formed having smaller widths W5, which can reduce subsequently patterned feature sizes and allow for improved process control.
  • In some cases, forming LTD film 616 over the underlayer 614 and within openings 622 may improve sidewall roughness. As an illustrated example, FIGS. 6F-6G show a cross-sectional view and a plan view of the example opening 622 shown in FIGS. 6C-6D after forming an LTD film 616 within the opening 622, forming opening 624. The opening 624 shown in FIGS. 6F-6G is similar to openings 624 shown in FIG. 6E. The cross-sectional view of FIG. 6F is along line 6F-6F′ shown in the plan view of FIG. 6G. The LTD film 616 may fill small recesses in the rough sidewalls of the underlayer 614 and may have a smoother surface as deposited than the rough sidewalls of the underlayer 614. Thus, as shown in FIG. 6F, the LTD film 616 of the sidewalls of openings 624 may be less rough than the sidewalls of the underlayer 614. In some cases, an Edge Roughness ER2 of a sidewall of an opening 624 may be less than an Edge Roughness ER1 of a sidewall of an opening 622. In some cases, a Width Roughness WR2 of a sidewall of an opening 624 may be less than a Width Roughness WR1 of a sidewall of an opening 622. The use of an LTD film to reduce sidewall roughness in this manner may allow subsequently formed features to have less roughness or more uniformity.
  • Turning to FIG. 6H, openings 624 may be transferred to target layer 612 via an etching process. In some cases, the etching process is anisotropic, so that the openings 624 are extended through target layer 612 and have about the same sizes in target layer 612 as they do in openings 624. The etching process may also etch top surfaces of LTD film 616 over underlayer 614, as shown in FIG. 6H. In some cases, the use of an LTD film deposition process as described herein can allow for smaller feature sizes when used to decrease the size of openings formed in a previous patterning step. A planarization process (e.g., a chemical mechanical polish (CMP), dry etching, combinations thereof, or the like) may optionally be performed to remove portions of LTD film 616 covering underlayer 614. In some cases, upper portions of underlayer 614 may also be removed by the planarization process.
  • In some embodiments, further processing may be performed. For example, in some embodiments, the openings 624 in target layer 612 may subsequently be filled with a conductive material to form vias, conductive lines, or other conductive features. As shown in FIGS. 6I-6J, the openings 624 in target layer 612 are filled with a conductive material 630 to form conductive lines 632. The cross-sectional view of FIG. 61 is along line 61-61′ shown in the plan view of FIG. 6J. After the conductive material 630 is formed, the LTD film 616, underlayer 614, and excess portions of conductive material 630 may be removed by a planarization process such as CMP or by an etching process. In some embodiments, the LTD film 616 is removed before forming the conductive material 630. In this manner, the LTD film 616 may be used to form features having smaller dimensions, such as conductive lines 632. The embodiment shown in FIGS. 6A-6J is for illustrative purposes, and other embodiments may include additional layers, features, or process steps.
  • Using LTD film 616 to improve the roughness of openings 622 may then also reduce roughness of features patterned from the openings 622. For example, using LTD film 616 to reduce sidewall roughness of openings 622 may reduce a Line Edge Roughness LER or a Line Width Roughness LWR of conductive lines 632, shown in FIG. 6J. In this manner, the use of an LTD film to reduce the roughness of patterned features may allow subsequently formed features to have less roughness or more uniformity.
  • An LTD film as described herein may be used may also be used as a gap-fill material deposited within gaps or openings in a BEOL process of a semiconductor device. In accordance with an exemplary embodiment, FIGS. 7A through 15B illustrate cross-sectional views and/or plan views of intermediate stages in the formation of conductive features in an ILD layer 702 of a semiconductor device 700. The process shown in FIGS. 7A through 15B illustrate an embodiment in which an LTD film 736 may be used as a gap-fill material, and LTD film 736 may be used as a gap-fill material similar to LTD film 516 described above with respect to FIGS. 5A-5C. The semiconductor device 700 is shown in FIG. 7A (in cross-sectional view) and FIG. 7B (in plan view). The cross-sectional view of FIG. 7A is along line A-A′ shown in the plan view of FIG. 7B. In FIGS. 7A-7B, ILD layer 702 is formed over a substrate 704. In some embodiments, substrate 704 may be similar to other substrates described above, or ILD layer 702 may be similar to other layers described above, such as ILD layer 112 as described with respect to FIG. 1A, or may be another type of layer. The embodiment shown in FIGS. 7A through 15B may, for example, be part of a BEOL process or part of another process.
  • FIGS. 7A-7B illustrate mask regions 724 formed over ILD layer 702. The mask regions 724 may be formed, for example, by patterning a blanket dielectric layer using a photolithographic process. In a plan view, gaps between mask regions 724 define regions in which conductive features may be formed in ILD layer 702. In FIG. 8, masking layer 728 is formed over mask regions 724 and ILD layer 702. In some embodiments, masking layer 728 is a photoresist or polymer material, and may be similar to underlayer 114, underlayer 514, or underlayer 614 described previously with respect to FIGS. 1A-1G, FIGS. 5A-5C, or FIGS. 6A-6J. In some embodiments, masking layer 728 includes multiple layers and multiple materials.
  • In FIGS. 9A-9C, masking layer 728 is patterned using a photolithographic process to form openings 734. The cross-sectional view of FIG. 9A is along line A-A′ shown in the plan view of FIG. 9B, which is also shown in the perspective view of FIG. 9C. In the perspective view of FIG. 9C, portions of masking layer 728 have been shown as transparent so that an opening 734 may be more clearly displayed.
  • In FIGS. 10A-10C, LTD material 736 is formed in openings 734 and over masking layer 728. The cross-sectional view of FIG. 10A is along line A-A′ shown in the plan view of FIG. 10B, which is also shown in the perspective view of FIG. 10C. In the perspective view of FIG. 10C, portions of masking layer 728 have been shown as transparent so that the LTD material 736 formed within an opening 734 may be more clearly displayed. In some embodiments, LTD material 736 may be used as a gap-fill material or as a sacrificial material. In some embodiments, LTD material 736 may be similar to LTD film 116, LTD film 516, or LTD film 616 described previously with respect to FIGS. 1A-4, FIGS. 5A-5C, and FIGS. 6A-6J. For example, LTD material 736 may be deposited by a PEALD process without using O2 as a precursor material in order to reduce possible damage to underlayer 728. In some embodiments, LTD material 736 may be deposited conformally to fill opening 734.
  • As will be described in greater detail below, in subsequent processing the LTD material 736 will be further patterned, and the patterned LTD material 736 may be used to define a line cut between two adjacent conductive lines that will be formed in the ILD layer 702. Although FIG. 10 depicts the forming of LTD material 736 in a single opening 734, in some embodiments only one or more than two openings 734 may be present and LTD material 736 may be formed in the one or more than two openings 734 (for example, to form less line cuts or additional line cuts).
  • Next, in FIG. 11, a planarization process (e.g., CMP, dry etching, combinations thereof, or the like) may be performed to remove excess portions of LTD material 736 outside of openings 734. Next, in FIGS. 12A-12B, remaining portions of masking layer 728 are removed. The cross-sectional view of FIG. 12A is along line A-A′ shown in the plan view of FIG. 12B. In some embodiments, the masking layer 728 may be removed using an ashing process. After the masking layer 728 is removed, LTD material 736 remains and covers a portion of the mask regions 724 and ILD layer 702.
  • Next, referring to FIGS. 13A-13C, a planarization process is performed to remove excess portions of LTD material 736 and planarize a top surface of LTD material 736 to be level with top surfaces of the mask regions 724. The cross-sectional view of FIG. 13A is along line A-A′ shown in the plan view of FIG. 13B, which is also shown in the perspective view of FIG. 13C. In some embodiments, the planarization process includes one or more etching processes. For example, a dry etching process or a wet etching process may be used. In other embodiments, a grinding process such as CMP may be used. The resulting structure is depicted in FIGS. 13A and 13B. As can be seen in FIGS. 13A-13B, the planarization of LTD material 736 has created discrete portions of the LTD material 736, where each discrete portion overlies a gap between two adjacent mask regions 724. In some embodiments, each discrete portion overlies a region in which a line cut between two adjacent portions of conductive line will be formed.
  • Subsequently, in FIGS. 14A and 14B, LTD material 736 and mask regions 724 are used as an etching mask to form openings 750 into the ILD layer 702. Etching the ILD layer 702 may include an anisotropic dry etch process or a wet etch process. Remaining portions of the ILD layer 702 may have a same pattern as the mask regions 724 and the LTD material 736 of FIGS. 14A-14B.
  • Next, as shown in FIGS. 15A and 15B, remaining portions of the openings 750 may be filled with a conductive material 764. Conductive material 764 may be used, such as copper, aluminum, or another metal, and conductive material 764 may be formed using a plating process or another suitable process. In some cases, conductive material 764 may be initially deposited to overfill openings 750. After filling the openings 750, a planarization process, such as a chemical mechanical polish (CMP), may be performed to remove excess portions of conductive material 764. In some embodiments, a liner material may be formed within openings 750 before forming the conductive material 764.
  • As shown in FIGS. 15A-15B, a planarization process may be performed to remove excess portions of the conductive material 764 over the ILD layer 702. Thus, conductive features may be formed in the ILD layer 702. In some embodiments where the conductive features in the ILD layer 702 are conductive lines, areas that are underneath the portions of LTD material 736 when ILD layer 702 is patterned are areas where the conductive lines have gaps, or “line cuts.” For example, using LTD material 736, line cut 770 separates first conductive line 772 from second conductive line 774. The use of LTD material 736 as described may allow for improved line width variation or improved line edge roughness, which may allow for smaller conductive features and smaller line cuts between conductive features.
  • An LTD film as described herein may be used for forming features in a Front End of Line (FEOL) process of a semiconductor device. In accordance with an exemplary embodiment, FIGS. 16-25 illustrate intermediate stages in a FEOL process using an LTD layer 862 to form fins 868 of a FinFET device. FIG. 16 illustrates an example of a FinFET in a three-dimensional view, according to some embodiments. FIGS. 17 through 25 illustrate cross-sectional views of intermediate stages of forming fins of FinFETs according to some embodiments. The cross-sectional views of FIGS. 17 through 25 are taken along the line B-B′ shown in the three-dimensional view of FIG. 16. The example FinFET shown in FIG. 16 includes a fin 874 on a substrate 850. Isolation regions 872 are on substrate 850, and fin 874 protrudes above and from between neighboring isolation regions 872. A gate dielectric layer 818 is along sidewalls and over a top surface of fin 874, and a gate electrode 820 is over gate dielectric layer 818. Source/drain regions 802 are disposed in opposite sides of fin 874 with respect to gate dielectric layer 818 and gate electrode 820. Some embodiments discussed herein are discussed in the context of FinFETs formed using a gate-last process. In other embodiments, a gate-first process may be used. Also, some embodiments contemplate aspects used in planar devices, such as planar FETs. The embodiment shown in FIGS. 16 through 25 may, for example, be part of a Front End of Line (FEOL) process or part of another process.
  • FIG. 17 illustrates a substrate 850 according to some embodiments. The substrate 850 has a first region 850B and a second region 850C. The first region 850B can be for forming n-type devices, such as NMOS transistors, e.g., n-type FinFETs. Second region 850C can be for forming p-type devices, such as PMOS transistors, e.g., p-type FinFETs. In some embodiments, both first region 850B and second region 850C are used to form the same type of devices, such as both regions being for n-type devices or p-type devices. First region 850B and second region 850C may be physically separated from each other, and any number of structures (e.g., isolation regions, active devices, etc.) may be disposed between first region 850B and second region 850C. Substrate 850 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g., with a p-type or an n-type dopant) or undoped. Substrate 850 may be a wafer, such as a silicon wafer. Generally, an SOI substrate is a layer of a semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates, such as a multi-layered or gradient substrate may also be used. In some embodiments, the semiconductor material of substrate 850 may include silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
  • In FIG. 18, a film stack is formed over substrate 850. The film stack is used during processing to form features in substrate 850 that may be a fraction of the minimum photolithographic pitch. In some embodiments, the process is a self-aligned double patterning (SADP) process, where the features formed are one half the minimum photolithographic pitch. In other embodiments, the process may be a self-aligned quadruple patterning (SAQP) process, where the features formed are one quarter of the minimum photolithographic pitch. The film stack includes an anti-reflective coating (ARC) 852, a mask layer 854, and a mandrel layer 856. In other embodiments, the film stack may include more or fewer layers.
  • ARC 852 is formed over substrate 850, and aids in the exposure and focus of overlying photoresist layers (discussed below) during patterning of the photoresist layers. In some embodiments, ARC 852 may be formed from SiON, SiC, materials doped with oxygen (O) and nitrogen (N), or the like. In some embodiments, ARC 852 is substantially free from nitrogen, and may be formed from an oxide.
  • Mask layer 854 is formed over ARC 852. In some embodiments, the mask layer 854 is an LTD film, which may be similar to LTD film 116, LTD film 516, LTD film 616, or LTD material 736 described previously with respect to FIGS. 1A-4, FIGS. 5A-5C, FIGS. 6A-6E, and FIGS. 7A-15B. In some cases, using an LTD film for the mask layer 854 may improve adhesion of an overlying layer or overlying features. In some cases, using an LTD film for the mask layer 854 instead of another material for the mask layer 854 may reduce the chance of peeling of patterned features formed on the mask layer 854. For example, using an LTD film for the mask layer 854 may improve adhesion of a mandrel layer 856 or mandrels 858, described below. In other embodiments, mask layer 854 may be formed of a hard masking material, and may include a metal and/or a dielectric. In embodiments where mask layer 854 includes a metal, it may be formed of titanium nitride, titanium, tantalum nitride, tantalum, or the like. In embodiments where mask layer 854 includes a dielectric, it may be formed of an oxide, a nitride, or the like. Mask layer 854 may be formed by PVD, Radio Frequency PVD (RFPVD), Atomic Layer Deposition (ALD), or the like. In subsequent processing steps, a pattern is formed in the mask layer 854 as part of the SADP process. Mask layer 854 is then used as an etching mask, where the pattern of mask layer 854 is transferred to substrate 850.
  • Mandrel layer 856 is a sacrificial layer formed over mask layer 854. In some embodiments, mandrel layer 856 is a photoresist or polymer material, and may be similar to underlayer 114, underlayer 514, underlayer 614, or masking layer 728 described previously with respect to FIGS. 1A-1G, FIGS. 5A-5C, FIGS. 6A-6F, or FIGS. 7A-14B. In some embodiments, mandrel layer 856 may formed of a material that has a high etching selectivity with the underlying layer, e.g., with mask layer 854. In some embodiments, mandrel layer 856 may be formed of a material such as amorphous silicon, polysilicon, silicon nitride, silicon oxide, the like, or a combination thereof, and may be formed using a process such as a chemical vapor deposition (CVD), PECVD, or the like. In an embodiment, mandrel layer 856 is formed of polysilicon.
  • In FIG. 19, mandrel layer 856 is patterned to form mandrels 858. Mandrel layer 856 may be patterned using any suitable photolithography technique. As an example of patterning mandrel layer 856, a tri-layer photoresist (not shown) may be formed over the film stack. The tri-layer photoresist may include a bottom layer, a middle layer, and an upper layer. The upper layer may be formed of a photosensitive material, such as a photoresist, which may comprise organic materials. The bottom layer may be a bottom anti-reflective coating (BARC). The middle layer may be formed of or include an inorganic material, which may be a nitride (such as silicon nitride), an oxynitride (such as silicon oxynitride), an oxide (such as silicon oxide), or the like. The middle layer has a high etching selectivity relative to the upper layer and the bottom layer. As a result, the upper layer is used as an etching mask for the patterning of the middle layer, and the middle layer is used as an etching mask for the patterning of the bottom layer.
  • After the pattern is transferred to the bottom layer, an etching process is performed to transfer the pattern of the bottom layer to mandrel layer 856. The etching process may remove the portions of mandrel layer 856 exposed by the middle and bottom layers. In an embodiment, the etching process may be a dry etch where mandrel layer 856 is exposed to a plasma source and one or more etchant gases. The etch may be an inductively coupled plasma (ICR) etch, a transformer coupled plasma (TCP) etch, an electron cyclotron resonance (ECR) etch, a reactive ion etch (RIE), or the like. Remaining portions of mandrel layer 856 form mandrels 858. In some embodiments, the etching process used to transfer the pattern to mandrel layer 856 may remove the middle layer and partially remove portions of the bottom layer. An ashing process may be performed to remove remaining residue of the middle and/or bottom layers.
  • In FIG. 20, a LTD layer 862 is formed over mask layer 854 and mandrels 858. After formation, LTD layer 862 may extend along top surfaces of mask layer 854 and mandrels 858, and along sidewalls of mandrels 858. In some embodiments, LTD layer 862 may be similar to LTD film 116, LTD film 516, LTD film 616, or LTD material 736 described previously with respect to FIGS. 1A-4, FIGS. 5A-5C, FIGS. 6A-6E, and FIGS. 7A-14B. For example, LTD layer 862 may be deposited by a PEALD process without using O2 as a precursor material in order to reduce possible damage to mandrels 858. Reducing damage to mandrels 858 may improve process uniformity during formation of fins 874, described below.
  • In FIG. 21, a suitable etching process is performed to remove the horizontal portions of LTD layer 862. In some embodiments, the etchant used to etch the horizontal portions of the LTD layer 862 is Cl2, CH4, N2, Ar, the like, or a combination thereof. After the etching process, the vertical portions of LTD layer 862 may remain along the sides of mandrels 858, and are referred to as spacers 864 hereinafter. The etching process may be anisotropic, so that the width of spacers 864 does not significantly decrease.
  • In FIG. 22, mandrels 858 are removed. Mandrels 858 may be removed by a suitable etching processes, such as an etching process including etchants such as CF4, CH3F, H2, N2, Ar, the like, or a combination thereof, an ashing process, or any other suitable etching process that can remove mandrels 858 without substantially damaging spacers 864. Further, a wet clean process may also be applied to substrate 850 to remove residual spacer and mandrel material. In some embodiments, the spacer etch and the mandrel removal processes are performed in a same process chamber.
  • In FIG. 23, spacers 864 are used as an etching mask to pattern mask layer 854. A suitable etching process, such as an anisotropic etch, may be performed with any suitable chemical, such as CF4, HBr, Cl2, O2, Ar, the like, or a combination thereof. The pattern of spacers 864 is therefore transferred to the mask layer 854 to form openings in mask layer 854.
  • In FIG. 24, fins 868 are formed in the substrate 850. Fins 868 are formed by using the patterned mask layer 854 as an etching mask to etch ARC 852 and substrate 850, thereby forming trenches in substrate 850. The resulting semiconductor strips between the trenches form fins 868. The etching may be any acceptable etch process, and may use etchants such as Cl2, N2, CH4, the like, or a combination thereof. The etch may be anisotropic. The spacers 864, patterned mask layer 854, and patterned ARC 852 may be consumed in this process. In some embodiments, a cleaning process may be performed to remove any residual material of spacers 864, patterned mask layer 854, or patterned ARC 852.
  • In FIG. 25, an insulation material is formed over substrate 850 and between neighboring fins 868. The insulation material may be an oxide, such as silicon oxide, a nitride, the like, or a combination thereof. The insulation material is then recessed to form Shallow Trench Isolation (STI) regions 872. The insulation material is recessed such that fins 874 in the first region 850B and in the second region 850C protrude from between neighboring STI regions 872. The insulation material may be recessed to form STI regions 872 using an acceptable etching process, such as one that is selective to the material of the insulation material. The fins 874 may be similar to fin 874 shown in FIG. 16, above. After forming fins 874, other processing steps may be performed to form FinFETs such as that shown in FIG. 16. For example, a gate dielectric layer 818 and a gate electrode 820 may be formed over each fin 874, and source/drain regions 802 may be formed on opposite sides of each fin 874. This is an illustrative example, and other embodiments of forming a FinFET, including those using additional or other processing steps, are within the scope of this disclosure.
  • Although the process illustrated in FIGS. 17 through 25 is used to form the fins 874, it should be appreciated that the fabrication steps shown in FIGS. 17 through 25 may be used in other processes. For example, spacers formed from an LTD layer could be formed over and used to pattern other semiconductor device elements such as polysilicon gates, metal gates, dummy gates, isolation regions, interconnect structures, gate spacers, a contact etch stop layer (CESL), and the like.
  • Various embodiments described above provide the use of a process for depositing a low-temperature dielectric (LTD) film. The LTD film described may be deposited over a photoresist or polymer layer with little or no damage to the photoresist or polymer layer. In some embodiments, the LTD film described may be used as an etching mask for photoresist, polymer, or other material. In some embodiments, the LTD film described can be used as a pad layer for as an adhesion improvement layer. For example, a layer (e.g., a photoresist, dielectric, or other type of layer) deposited on an LTD film may have better adhesion than if the layer is deposited on a different material. The use of an LTD film may improve width variation or edge roughness of some features, such as metal lines or other features. The LTD film described may be used as part of a FEOL process or as part of a BEOL process.
  • In an embodiment, a method of manufacturing a semiconductor device includes depositing a layer over a semiconductor substrate placing the substrate in a deposition chamber, and depositing an oxide layer over and contacting the layer. Depositing the oxide layer includes flowing first precursor materials into the deposition chamber, within the deposition chamber, forming a portion of the oxide layer from the first precursor materials, igniting second precursor materials into a plasma, the second precursor materials being free of allotropes of oxygen, and within the deposition chamber, forming a portion of the oxide layer from the plasma. In an embodiment, the method further includes patterning an opening in the layer. In an embodiment, depositing the oxide layer includes depositing the oxide layer within the opening in the layer. In an embodiment, the method further includes patterning the oxide layer to extend the opening into the oxide layer. In an embodiment, the oxide layer includes a process temperature of less than about 200° C. In an embodiment, the second precursor materials are free of gaseous oxygen. In an embodiment, the second precursor materials include CO2. In an embodiment, the oxide layer includes SiOC.
  • In another embodiment, a method of manufacturing a semiconductor device includes a dielectric layer over a substrate, performing a first patterning to form a recess in the dielectric layer, and depositing an oxide film over and contacting the dielectric layer and within the recess in the dielectric layer, wherein the oxide film is formed from multiple precursors, wherein the precursors of the multiple precursors are free of O2, and wherein depositing the oxide film includes forming a plasma of a first precursor of the multiple precursors. In an embodiment, the oxide film is deposited to fill the recess in the dielectric layer. In an embodiment, the recess has a first interior width, and depositing the oxide film within the recess forms a trench within the recess having a second interior width that is smaller than the first interior width. In an embodiment, the oxide film is deposited conformally within the recess. In an embodiment, the dielectric layer is a photoresist material or a polymer material. In an embodiment, the oxide film is SiOC. In an embodiment, the first precursor of the multiple precursors is CO2.
  • In another embodiment, a method includes forming a dielectric layer over a semiconductor substrate, patterning a photoresist over the dielectric layer, placing the semiconductor substrate and the photoresist into a process chamber, transferring the pattern of the photoresist to the dielectric layer using an etching process, providing precursors of multiple precursors to the process chamber, wherein the precursors of the multiple precursors are free of allotropes of oxygen, and forming an oxide layer over and contacting the dielectric layer within the process chamber, including igniting at least one precursor of the multiple precursors into a plasma. In an embodiment, the method further includes etching the oxide layer using an etching process performed within the process chamber. In an embodiment, the multiple precursors include CO2, N2O, or ethanol. In an embodiment, the oxide layer includes SiON. In an embodiment, forming an oxide layer includes using a Plasma-Enhanced Atomic Layer Deposition (PEALD) process.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method of manufacturing a semiconductor device, the method comprising:
depositing a dielectric layer over a substrate;
depositing a masking layer covering the dielectric layer;
performing a patterning on the masking layer to form a recess that exposes a top surface of the dielectric layer, wherein the recess has a first interior width;
depositing an oxide film over the masking layer and within the recess, wherein the oxide film is formed from a plurality of precursors, wherein the precursors of the plurality of precursors are free of allotropes of oxygen, and wherein depositing the oxide film comprises forming a plasma of a first precursor of the plurality of precursors, wherein depositing the oxide film within the recess forms a trench within the recess having a second interior width that is smaller than the first interior width; and
performing an etching process to extend the trench into the dielectric layer.
2. The method of claim 1, wherein the sidewalls of the trench within the recess have a roughness that is less than the roughness of the sidewalls of the recess.
3. The method of claim 1, wherein the plurality of precursors includes H2O, CO2, or H2O2.
4. The method of claim 1, wherein the oxide film is silicon oxycarbide.
5. The method of claim 1, wherein depositing the oxide film comprises a process temperature of less than 200° C.
6. The method of claim 1, wherein the masking layer comprises a photoresist.
7. The method of claim 1, wherein the oxide film is deposited conformally.
8. The method claim 1, wherein the oxide film has a thickness between 0.1 nm and 100 nm.
9. A method comprising:
forming a first dielectric layer over a semiconductor substrate;
forming a second dielectric layer over the first dielectric layer;
forming a third dielectric layer over the second dielectric layer;
patterning the third dielectric layer;
etching openings in the second dielectric layer using the patterned third dielectric layer as an etch mask, wherein the sidewalls of the openings in the second dielectric layer have a first roughness;
depositing a low-temperature dielectric (LTD) layer on the second dielectric layer, wherein the LTD layer extends over a top surface of the second dielectric layer and on the sidewalls of the openings in the second dielectric layer, wherein first portions of the LTD layer on the sidewalls of the openings in the second dielectric layer have a second roughness that is less than the first roughness; and
etching openings in the first dielectric layer using the second dielectric layer and the first portions of the LTD layer as a combined etch mask.
10. The method of claim 9, wherein the LTS layer is an oxide material.
11. The method of claim 10, wherein the third dielectric layer is the oxide material.
12. The method of claim 9, wherein the second dielectric layer is a polymer.
13. The method of claim 9, wherein the openings in the second dielectric layer expose regions of the first dielectric layer, and wherein second portions of the LTD layer cover the regions of the first dielectric layer.
14. The method of claim 13, wherein etching openings in the first dielectric layer comprises etching through the second portions of the LTD layer.
15. The method of claim 9, wherein depositing the LTD layer comprises performing a plasma-enhanced atomic layer deposition (PEALD) process using a plurality of precursors, wherein the plurality of precursors are free of O2.
16. A method comprising:
forming an inter-layer dielectric (ILD) layer over a semiconductor substrate, the semiconductor substrate comprising an active device;
forming a mask layer over the ILD layer;
patterning the mask layer to form a first opening that exposes the ILD layer, wherein the mask layer has a first width between opposite surfaces of the mask layer within the first opening;
conformally depositing an oxide layer on the mask layer, within the first opening, and on the exposed ILD layer, wherein the oxide layer has a second width between opposite surfaces of the oxide layer within the first opening, wherein the second width is less than the first width; and
performing an etching process to etch through the oxide layer on the exposed ILD layer and into the ILD layer to form a second opening in the ILD layer, wherein the second opening in the ILD layer has the second width between opposite surfaces of the ILD layer within the second opening.
17. The method of claim 16, wherein, after depositing the oxide layer on the mask layer, opposite surfaces of the mask layer within the first opening have third width that is between 0 nm and 50 nm greater than the first width.
18. The method of claim 16, further comprising forming a contact to the active device in the second opening.
19. The method of claim 16, wherein surfaces of oxide layer within the first opening have a smaller edge roughness than surfaces of the mask layer within the first opening.
20. The method of claim 16, wherein conformally depositing the oxide layer comprises forming a plasma from precursors, wherein the precursors are free of allotopes of oxygen.
US16/939,702 2017-09-29 2020-07-27 Method for Manufacturing a Semiconductor Device Pending US20200357634A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/939,702 US20200357634A1 (en) 2017-09-29 2020-07-27 Method for Manufacturing a Semiconductor Device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762566044P 2017-09-29 2017-09-29
US15/967,480 US10727045B2 (en) 2017-09-29 2018-04-30 Method for manufacturing a semiconductor device
US16/939,702 US20200357634A1 (en) 2017-09-29 2020-07-27 Method for Manufacturing a Semiconductor Device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/967,480 Division US10727045B2 (en) 2017-09-29 2018-04-30 Method for manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
US20200357634A1 true US20200357634A1 (en) 2020-11-12

Family

ID=65896194

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/967,480 Active US10727045B2 (en) 2017-09-29 2018-04-30 Method for manufacturing a semiconductor device
US16/939,702 Pending US20200357634A1 (en) 2017-09-29 2020-07-27 Method for Manufacturing a Semiconductor Device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/967,480 Active US10727045B2 (en) 2017-09-29 2018-04-30 Method for manufacturing a semiconductor device

Country Status (3)

Country Link
US (2) US10727045B2 (en)
CN (1) CN109585280B (en)
TW (1) TWI734031B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
TW202115273A (en) * 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
CN112992784B (en) * 2019-12-02 2024-01-12 长鑫存储技术有限公司 Semiconductor structure and forming method thereof
WO2021146123A1 (en) * 2020-01-14 2021-07-22 Tokyo Electron Limited Multiple patterning with selective mandrel formation
US11276639B2 (en) 2020-01-22 2022-03-15 International Business Machines Corporation Conductive lines with subtractive cuts

Citations (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US20020136905A1 (en) * 1999-11-24 2002-09-26 Medwick Paul A. Low shading coefficient and low emissivity coatings and coated articles
US6465360B2 (en) * 2000-02-16 2002-10-15 United Microelectronics Corp. Method for fabricating an ultra small opening
US20030064585A1 (en) * 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
US20030087517A1 (en) * 2001-06-08 2003-05-08 Promos Technologies, Inc. A new consolidation method of junction contact etch for below 150 nanometer deep trench-based dram devices
US20030232509A1 (en) * 2002-06-12 2003-12-18 Chia-Chi Chung Method for reducing pitch
US20040002217A1 (en) * 2002-06-27 2004-01-01 Martin Mazur Method of defining the dimensions of circuit elements by using spacer deposition techniques
US20040018734A1 (en) * 2002-07-24 2004-01-29 Lockheed Martin Corporation Anisotropic dry etching technique for deep bulk silicon etching
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US20040072443A1 (en) * 2002-10-11 2004-04-15 Lam Research Corporation Method for plasma etching performance enhancement
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US20040166447A1 (en) * 2003-02-26 2004-08-26 Vencent Chang Method for shrinking pattern photoresist
US20050048785A1 (en) * 2003-08-26 2005-03-03 Lam Research Corporation Reduction of feature critical dimensions
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US6887627B2 (en) * 2002-04-26 2005-05-03 Macronix International Co., Ltd. Method of fabricating phase shift mask
US20050136682A1 (en) * 2003-04-09 2005-06-23 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US6946400B2 (en) * 2002-12-24 2005-09-20 Macronix International Co., Ltd. Patterning method for fabricating integrated circuit
US20060046205A1 (en) * 2004-07-22 2006-03-02 Jung-Hwan Hah Mask pattern for semiconductor device fabrication, method of forming the same, and method of fabricating finely patterned semiconductor device
US20060063384A1 (en) * 2004-09-23 2006-03-23 Jung-Hwan Hah Mask patterns for semiconductor device fabrication and related methods and structures
US20060166108A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US20060266478A1 (en) * 2005-05-31 2006-11-30 Lam Research Corporation Critical dimension reduction and roughness control
US20070026677A1 (en) * 2002-10-11 2007-02-01 Lam Research Corporation Method for plasma etching performance enhancement
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US20070082477A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Integrated circuit fabricating techniques employing sacrificial liners
US7205226B1 (en) * 2005-02-24 2007-04-17 Lam Research Corporation Sacrificial layer for protection during trench etch
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US20070202690A1 (en) * 2006-02-27 2007-08-30 Taiwan Semiconductor Manufacturing Co., Ltd., Method of making openings in a layer of a semiconductor device
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US20070269721A1 (en) * 2006-05-17 2007-11-22 Lam Research Corporation Method and apparatus for providing mask in semiconductor processing
US20080045022A1 (en) * 2004-09-17 2008-02-21 Masaru Kurihara Semiconductor Device Manufacturing Method
US20080085601A1 (en) * 2006-09-27 2008-04-10 Sung-Chan Park Method of forming fine contact hole and method of fabricating semiconductor device using block copolymers
US20080286698A1 (en) * 2007-05-18 2008-11-20 Haoren Zhuang Semiconductor device manufacturing methods
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
US20090111281A1 (en) * 2007-10-26 2009-04-30 Christopher Dennis Bencher Frequency doubling using a photo-resist template mask
US20090163035A1 (en) * 2005-03-08 2009-06-25 Lam Research Corporation Etch with high etch rate resist mask
US20090162793A1 (en) * 2007-12-22 2009-06-25 Choi Kwang Seon Method of Manufacturing Metal Interconnection of Semiconductor Device
US20090209097A1 (en) * 2008-02-15 2009-08-20 Thomas Schulz Method of forming interconnects
US20100009543A1 (en) * 2008-07-10 2010-01-14 Eun-Sang Cho Method For Manufacturing Semiconductor Device
US20100048026A1 (en) * 2008-08-25 2010-02-25 Tokyo Electron Limited Substrate processing method
US20100055621A1 (en) * 2008-09-03 2010-03-04 Shin-Etsu Chemical Co., Ltd. Patterning process
US20100068885A1 (en) * 2008-09-18 2010-03-18 Lam Research Corporation Sidewall forming processes
US20100068656A1 (en) * 2008-09-15 2010-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. High etch resistant material for double patterning
US20100099046A1 (en) * 2008-10-21 2010-04-22 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
US20100170871A1 (en) * 2009-01-07 2010-07-08 Tokyo Electron Limited Fine pattern forming method
US7799503B2 (en) * 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US8084353B2 (en) * 2004-02-03 2011-12-27 Macronix International Co., Ltd. Methods for pitch reduction formation
US8172948B2 (en) * 2006-10-10 2012-05-08 Lam Research Corporation De-fluoridation process
US8173358B2 (en) * 2008-10-09 2012-05-08 Samsung Electronics Co., Ltd. Method of forming fine patterns of a semiconductor device
US20130137269A1 (en) * 2011-11-30 2013-05-30 Globalfoundries Inc. Patterning method for fabrication of a semiconductor device
US20140038412A1 (en) * 2012-07-31 2014-02-06 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US20150056540A1 (en) * 2010-12-28 2015-02-26 Asm Japan K.K. Method of Forming Metal Oxide Hardmask
US20150118852A1 (en) * 2013-10-30 2015-04-30 Samsung Electronics Co., Ltd. Method of forming pattern of semiconductor device
US20150170966A1 (en) * 2013-12-17 2015-06-18 United Microelectronics Corp. Method for manufacturing contact plugs for semiconductor devices
US20150179414A1 (en) * 2013-12-20 2015-06-25 Seagate Technology Llc Apparatus with sidewall protection for features
US20150243520A1 (en) * 2014-02-25 2015-08-27 Samsung Electronics Co., Ltd. Methods of forming a pattern of a semiconductor device
US20150279685A1 (en) * 2014-03-28 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and Methods for In Situ Maintenance of a Thin Hardmask During an Etch Process
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9202749B2 (en) * 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
US20160064245A1 (en) * 2014-08-29 2016-03-03 Tokyo Electron Limited Etching method
US20160062232A1 (en) * 2014-08-27 2016-03-03 Rohm And Haas Electronic Materials Llc Multiple-pattern forming methods
US9305774B2 (en) * 2013-03-22 2016-04-05 Semiconductor Energy Laboratory Co., Ltd. Method for processing thin film and method for manufacturing semiconductor device
US20160187782A1 (en) * 2014-12-31 2016-06-30 Dow Global Technologies Llc Photolithographic methods
US20160190169A1 (en) * 2014-12-24 2016-06-30 Shenzhen China Star Optoelectronics Technology Co. Ltd. LTPS TFT Substrate Structure and Method of Forming the Same
US20160202612A1 (en) * 2015-01-09 2016-07-14 Shin-Etsu Chemical Co., Ltd. Pattern forming process and shrink agent
US20160225639A1 (en) * 2015-01-30 2016-08-04 Tokyo Electron Limited Method of processing target object
US20160293439A1 (en) * 2015-03-31 2016-10-06 Tokyo Electron Limited Etching method
US20160314982A1 (en) * 2015-04-27 2016-10-27 Tokyo Electron Limited Method for processing target object
US20160314985A1 (en) * 2015-04-24 2016-10-27 Lam Research Corporation Cobalt etch back
US20160379824A1 (en) * 2015-06-23 2016-12-29 Lam Research Corporation Low roughness euv lithography
US20170170008A1 (en) * 2015-12-09 2017-06-15 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US20170271155A1 (en) * 2016-03-16 2017-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
US20170269478A1 (en) * 2016-03-17 2017-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-Containing Photoresist for Lithography
US20180090370A1 (en) * 2016-09-29 2018-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Directional Patterning Methods
US20180138034A1 (en) * 2016-11-15 2018-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure using patterning stacks
US10079178B1 (en) * 2017-03-17 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd Formation method of semiconductor device structure using multilayer resist layer
US20180323056A1 (en) * 2017-05-08 2018-11-08 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US20180337046A1 (en) * 2017-05-16 2018-11-22 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US20180337044A1 (en) * 2017-05-19 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Grafting Design for Pattern Post-Treatment in Semiconductor Manufacturing
US20190103272A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Manufacturing a Semiconductor Device
US20190157095A1 (en) * 2017-11-21 2019-05-23 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US20190157066A1 (en) * 2017-11-21 2019-05-23 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US20190267282A1 (en) * 2016-12-23 2019-08-29 Intel Corporation Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
US20190304774A1 (en) * 2015-11-26 2019-10-03 Tokyo Electron Limited Etching method
US20190385902A1 (en) * 2018-06-15 2019-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning Methods for Semiconductor Devices
US20190393035A1 (en) * 2018-06-22 2019-12-26 Tokyo Electron Limited Selective atomic layer deposition (ald) of protective caps to enhance extreme ultra-violet (euv) etch resistance
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US20200135483A1 (en) * 2018-10-26 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch selectivity improved by laser beam
US20200144051A1 (en) * 2018-11-07 2020-05-07 Tokyo Electron Limited Processing method and substrate processing apparatus
US20200251344A1 (en) * 2019-01-31 2020-08-06 Tokyo Electron Limited Method for processing substrates
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
US20230018151A1 (en) * 2019-10-01 2023-01-19 Tokyo Electron Limited Substrate processing method and plasma processing apparatus
US20230162987A1 (en) * 2021-11-23 2023-05-25 Wuhan Xinxin Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing method

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257593B2 (en) * 1999-02-05 2002-02-18 日本電気株式会社 Method for manufacturing semiconductor device
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP2005109203A (en) * 2003-09-30 2005-04-21 Seiko Epson Corp Semiconductor substrate, method of manufacturing the same semiconductor device, and method of manufacturing the same
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
KR101014858B1 (en) 2006-03-30 2011-02-15 미쯔이 죠센 가부시키가이샤 Method and apparatus for growing plasma atomic layer
US7696094B2 (en) * 2006-12-27 2010-04-13 Spansion Llc Method for improved planarization in semiconductor devices
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7851135B2 (en) * 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8048795B2 (en) * 2009-07-10 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembly pattern for semiconductor integrated circuit
WO2011026064A1 (en) 2009-08-31 2011-03-03 The Penn State Research Foundation Improved plasma enhanced atomic layer deposition process
US8399180B2 (en) * 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
KR20110087976A (en) * 2010-01-28 2011-08-03 삼성전자주식회사 Method of forming a metal wiring and manufacturing a non-volatile semiconductor device using the same
KR20110111809A (en) * 2010-04-05 2011-10-12 삼성전자주식회사 Methods for forming stair-typed structures and methods for manufacturing nonvolatile memory devices using the same
US8476160B2 (en) * 2010-10-27 2013-07-02 International Business Machines Corporation Sublithographic patterning employing image transfer of a controllably damaged dielectric sidewall
KR101865296B1 (en) * 2011-06-15 2018-06-07 삼성전자주식회사 Method for fabricating of semiconductor device
US8822137B2 (en) * 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
FR2990794B1 (en) * 2012-05-16 2016-11-18 Commissariat Energie Atomique METHOD FOR PRODUCING A SUBSTRATE WITH VARIED ACTIVE ZONES AND PLANAR AND THREE DIMENSIONAL TRANSISTORS
CN103681269B (en) * 2012-09-03 2016-06-29 中芯国际集成电路制造(上海)有限公司 The method of selectively formed high-K dielectric layer
US9581899B2 (en) * 2012-11-27 2017-02-28 International Business Machines Corporation 2-dimensional patterning employing tone inverted graphoepitaxy
US9153478B2 (en) * 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US20150024597A1 (en) * 2013-07-16 2015-01-22 HGST Netherlands B.V. Method for sidewall spacer line doubling using polymer brush material as a sacrificial layer
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
WO2015047321A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
TWI667782B (en) * 2013-09-27 2019-08-01 群創光電股份有限公司 Organic light emitting diode display panel and organic light emitting diode display device containing the same
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9209077B2 (en) * 2013-12-20 2015-12-08 Intel Corporation Diagonal hardmasks for improved overlay in fabricating back end of line (BEOL) interconnects
US10163652B2 (en) * 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
KR102377372B1 (en) * 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 Method for forming interconnects
US9117822B1 (en) * 2014-04-29 2015-08-25 Globalfoundries Inc. Methods and structures for back end of line integration
KR20160020622A (en) * 2014-08-13 2016-02-24 삼성디스플레이 주식회사 Polarizer and method for fabricating the same
JP6366454B2 (en) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 Method for processing an object
US9613850B2 (en) * 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
CN107112212B (en) * 2014-12-22 2021-03-12 东京毅力科创株式会社 Patterning substrates using grafted polymeric materials
US9418868B1 (en) * 2015-03-13 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
KR102293134B1 (en) * 2015-04-17 2021-08-26 삼성전자주식회사 Method for forming fine patterns of semiconductor device
JP6050860B1 (en) * 2015-05-26 2016-12-21 株式会社日本製鋼所 Plasma atomic layer growth equipment
US9523148B1 (en) * 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10211088B2 (en) * 2015-09-10 2019-02-19 Intel Corporation Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (BEOL) interconnects
KR102634069B1 (en) * 2015-09-30 2024-02-05 도쿄엘렉트론가부시키가이샤 Method for patterning a substrate using extreme ultraviolet lithography
US9793164B2 (en) * 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
WO2017111953A1 (en) * 2015-12-22 2017-06-29 Intel Corporation Metal via processing schemes with via critical dimension (cd) control for back end of line (beol) interconnects and the resulting structures
US9728407B2 (en) * 2015-12-30 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming features with various dimensions
US9484258B1 (en) * 2016-03-16 2016-11-01 Globalfoundries Inc. Method for producing self-aligned vias
US10559529B2 (en) * 2016-03-28 2020-02-11 Intel Corporation Pitch division patterning approaches with increased overlay margin for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
TWI661466B (en) * 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 Method for patterning a substrate using a layer with multiple materials
US10276377B2 (en) * 2016-05-20 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning interconnects
US10867853B2 (en) * 2016-05-27 2020-12-15 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US9991156B2 (en) * 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US11527433B2 (en) * 2016-09-30 2022-12-13 Intel Corporation Via and plug architectures for integrated circuit interconnects and methods of manufacture
US9881794B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10163690B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
JP6804277B2 (en) * 2016-11-30 2020-12-23 東京エレクトロン株式会社 Processing method and processing equipment
US9887127B1 (en) * 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10002786B1 (en) * 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10199265B2 (en) * 2017-02-10 2019-02-05 Globalfoundries Inc. Variable space mandrel cut for self aligned double patterning
US10163633B2 (en) * 2017-03-13 2018-12-25 Globalfoundries Inc. Non-mandrel cut formation
US10262865B2 (en) * 2017-04-14 2019-04-16 Asm Ip Holding B.V. Methods for manufacturing semiconductor devices
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US20180371612A1 (en) * 2017-06-27 2018-12-27 Wonik Materials Co., Ltd. Low Temperature Process for Forming Silicon-Containing Thin Layer
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10707073B2 (en) * 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method
US10559492B2 (en) * 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom
US10497565B2 (en) * 2017-11-21 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation

Patent Citations (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US20020136905A1 (en) * 1999-11-24 2002-09-26 Medwick Paul A. Low shading coefficient and low emissivity coatings and coated articles
US6465360B2 (en) * 2000-02-16 2002-10-15 United Microelectronics Corp. Method for fabricating an ultra small opening
US20030087517A1 (en) * 2001-06-08 2003-05-08 Promos Technologies, Inc. A new consolidation method of junction contact etch for below 150 nanometer deep trench-based dram devices
US20030064585A1 (en) * 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US20040132225A1 (en) * 2001-10-18 2004-07-08 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US6887627B2 (en) * 2002-04-26 2005-05-03 Macronix International Co., Ltd. Method of fabricating phase shift mask
US20030232509A1 (en) * 2002-06-12 2003-12-18 Chia-Chi Chung Method for reducing pitch
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US20040002217A1 (en) * 2002-06-27 2004-01-01 Martin Mazur Method of defining the dimensions of circuit elements by using spacer deposition techniques
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US20040018734A1 (en) * 2002-07-24 2004-01-29 Lockheed Martin Corporation Anisotropic dry etching technique for deep bulk silicon etching
US6790779B2 (en) * 2002-07-24 2004-09-14 Lockheed Martin Corporation Anisotropic dry etching technique for deep bulk silicon etching
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20070026677A1 (en) * 2002-10-11 2007-02-01 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20040072443A1 (en) * 2002-10-11 2004-04-15 Lam Research Corporation Method for plasma etching performance enhancement
US6946400B2 (en) * 2002-12-24 2005-09-20 Macronix International Co., Ltd. Patterning method for fabricating integrated circuit
US20040166447A1 (en) * 2003-02-26 2004-08-26 Vencent Chang Method for shrinking pattern photoresist
US20050136682A1 (en) * 2003-04-09 2005-06-23 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20050048785A1 (en) * 2003-08-26 2005-03-03 Lam Research Corporation Reduction of feature critical dimensions
US7541291B2 (en) * 2003-08-26 2009-06-02 Lam Research Corporation Reduction of feature critical dimensions
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US8084353B2 (en) * 2004-02-03 2011-12-27 Macronix International Co., Ltd. Methods for pitch reduction formation
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US20060046205A1 (en) * 2004-07-22 2006-03-02 Jung-Hwan Hah Mask pattern for semiconductor device fabrication, method of forming the same, and method of fabricating finely patterned semiconductor device
US20080045022A1 (en) * 2004-09-17 2008-02-21 Masaru Kurihara Semiconductor Device Manufacturing Method
US20060063384A1 (en) * 2004-09-23 2006-03-23 Jung-Hwan Hah Mask patterns for semiconductor device fabrication and related methods and structures
US20060166108A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7205226B1 (en) * 2005-02-24 2007-04-17 Lam Research Corporation Sacrificial layer for protection during trench etch
US20090163035A1 (en) * 2005-03-08 2009-06-25 Lam Research Corporation Etch with high etch rate resist mask
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US20060266478A1 (en) * 2005-05-31 2006-11-30 Lam Research Corporation Critical dimension reduction and roughness control
US20070082477A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Integrated circuit fabricating techniques employing sacrificial liners
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20070202690A1 (en) * 2006-02-27 2007-08-30 Taiwan Semiconductor Manufacturing Co., Ltd., Method of making openings in a layer of a semiconductor device
US20070269721A1 (en) * 2006-05-17 2007-11-22 Lam Research Corporation Method and apparatus for providing mask in semiconductor processing
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
US20080085601A1 (en) * 2006-09-27 2008-04-10 Sung-Chan Park Method of forming fine contact hole and method of fabricating semiconductor device using block copolymers
US8172948B2 (en) * 2006-10-10 2012-05-08 Lam Research Corporation De-fluoridation process
US7799503B2 (en) * 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US20080286698A1 (en) * 2007-05-18 2008-11-20 Haoren Zhuang Semiconductor device manufacturing methods
US20090111281A1 (en) * 2007-10-26 2009-04-30 Christopher Dennis Bencher Frequency doubling using a photo-resist template mask
US20090162793A1 (en) * 2007-12-22 2009-06-25 Choi Kwang Seon Method of Manufacturing Metal Interconnection of Semiconductor Device
US20090209097A1 (en) * 2008-02-15 2009-08-20 Thomas Schulz Method of forming interconnects
US20100009543A1 (en) * 2008-07-10 2010-01-14 Eun-Sang Cho Method For Manufacturing Semiconductor Device
US20100048026A1 (en) * 2008-08-25 2010-02-25 Tokyo Electron Limited Substrate processing method
US20100055621A1 (en) * 2008-09-03 2010-03-04 Shin-Etsu Chemical Co., Ltd. Patterning process
US20100068656A1 (en) * 2008-09-15 2010-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. High etch resistant material for double patterning
US20100068885A1 (en) * 2008-09-18 2010-03-18 Lam Research Corporation Sidewall forming processes
US8173358B2 (en) * 2008-10-09 2012-05-08 Samsung Electronics Co., Ltd. Method of forming fine patterns of a semiconductor device
US20100099046A1 (en) * 2008-10-21 2010-04-22 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
US20100170871A1 (en) * 2009-01-07 2010-07-08 Tokyo Electron Limited Fine pattern forming method
US20150056540A1 (en) * 2010-12-28 2015-02-26 Asm Japan K.K. Method of Forming Metal Oxide Hardmask
US20130137269A1 (en) * 2011-11-30 2013-05-30 Globalfoundries Inc. Patterning method for fabrication of a semiconductor device
US20140038412A1 (en) * 2012-07-31 2014-02-06 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US9305774B2 (en) * 2013-03-22 2016-04-05 Semiconductor Energy Laboratory Co., Ltd. Method for processing thin film and method for manufacturing semiconductor device
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US20150118852A1 (en) * 2013-10-30 2015-04-30 Samsung Electronics Co., Ltd. Method of forming pattern of semiconductor device
US20150170966A1 (en) * 2013-12-17 2015-06-18 United Microelectronics Corp. Method for manufacturing contact plugs for semiconductor devices
US20150179414A1 (en) * 2013-12-20 2015-06-25 Seagate Technology Llc Apparatus with sidewall protection for features
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9202749B2 (en) * 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
US20150243520A1 (en) * 2014-02-25 2015-08-27 Samsung Electronics Co., Ltd. Methods of forming a pattern of a semiconductor device
US20150279685A1 (en) * 2014-03-28 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and Methods for In Situ Maintenance of a Thin Hardmask During an Etch Process
US20160062232A1 (en) * 2014-08-27 2016-03-03 Rohm And Haas Electronic Materials Llc Multiple-pattern forming methods
US20160064245A1 (en) * 2014-08-29 2016-03-03 Tokyo Electron Limited Etching method
US9779961B2 (en) * 2014-08-29 2017-10-03 Tokyo Electron Limited Etching method
US20160190169A1 (en) * 2014-12-24 2016-06-30 Shenzhen China Star Optoelectronics Technology Co. Ltd. LTPS TFT Substrate Structure and Method of Forming the Same
US20160187782A1 (en) * 2014-12-31 2016-06-30 Dow Global Technologies Llc Photolithographic methods
US20160202612A1 (en) * 2015-01-09 2016-07-14 Shin-Etsu Chemical Co., Ltd. Pattern forming process and shrink agent
US20160225639A1 (en) * 2015-01-30 2016-08-04 Tokyo Electron Limited Method of processing target object
US20160293439A1 (en) * 2015-03-31 2016-10-06 Tokyo Electron Limited Etching method
US20160314985A1 (en) * 2015-04-24 2016-10-27 Lam Research Corporation Cobalt etch back
US20160314982A1 (en) * 2015-04-27 2016-10-27 Tokyo Electron Limited Method for processing target object
US20160379824A1 (en) * 2015-06-23 2016-12-29 Lam Research Corporation Low roughness euv lithography
US20190304774A1 (en) * 2015-11-26 2019-10-03 Tokyo Electron Limited Etching method
US10607835B2 (en) * 2015-11-26 2020-03-31 Tokyo Electron Limited Etching method
US20170170008A1 (en) * 2015-12-09 2017-06-15 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US20170271155A1 (en) * 2016-03-16 2017-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
US20170269478A1 (en) * 2016-03-17 2017-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-Containing Photoresist for Lithography
US20180090370A1 (en) * 2016-09-29 2018-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Directional Patterning Methods
US20180138034A1 (en) * 2016-11-15 2018-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure using patterning stacks
US20190267282A1 (en) * 2016-12-23 2019-08-29 Intel Corporation Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
US10079178B1 (en) * 2017-03-17 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd Formation method of semiconductor device structure using multilayer resist layer
US20180269102A1 (en) * 2017-03-17 2018-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure using multilayer resist layer
US20180323056A1 (en) * 2017-05-08 2018-11-08 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US20180337046A1 (en) * 2017-05-16 2018-11-22 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US20180337044A1 (en) * 2017-05-19 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Grafting Design for Pattern Post-Treatment in Semiconductor Manufacturing
US20190103272A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Manufacturing a Semiconductor Device
US20190157066A1 (en) * 2017-11-21 2019-05-23 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US20190157095A1 (en) * 2017-11-21 2019-05-23 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US20190385902A1 (en) * 2018-06-15 2019-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning Methods for Semiconductor Devices
US20190393035A1 (en) * 2018-06-22 2019-12-26 Tokyo Electron Limited Selective atomic layer deposition (ald) of protective caps to enhance extreme ultra-violet (euv) etch resistance
US20200135483A1 (en) * 2018-10-26 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch selectivity improved by laser beam
US20200144051A1 (en) * 2018-11-07 2020-05-07 Tokyo Electron Limited Processing method and substrate processing apparatus
US11380545B2 (en) * 2018-11-07 2022-07-05 Tokyo Electron Limited Processing method and substrate processing apparatus
US20200251344A1 (en) * 2019-01-31 2020-08-06 Tokyo Electron Limited Method for processing substrates
US20230018151A1 (en) * 2019-10-01 2023-01-19 Tokyo Electron Limited Substrate processing method and plasma processing apparatus
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
US20230162987A1 (en) * 2021-11-23 2023-05-25 Wuhan Xinxin Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing method

Also Published As

Publication number Publication date
CN109585280A (en) 2019-04-05
US10727045B2 (en) 2020-07-28
CN109585280B (en) 2021-05-04
US20190103272A1 (en) 2019-04-04
TW201916106A (en) 2019-04-16
TWI734031B (en) 2021-07-21

Similar Documents

Publication Publication Date Title
US20200357634A1 (en) Method for Manufacturing a Semiconductor Device
US11309210B2 (en) Self aligned buried power rail
TWI746900B (en) Method for manufacturing semiconductor devices and structures thereof
TWI671855B (en) Semiconductor devices and methods for fabricating the same
US10361079B2 (en) Multi-angled deposition and masking for custom spacer trim and selected spacer removal
US11676852B2 (en) Patterning methods for semiconductor devices
TW201946100A (en) Method of forming semiconductor device
CN107527798A (en) The forming method of semiconductor device
TW202109618A (en) Patterning method for semiconductor devices
US9543502B2 (en) Small pitch and high density contact array
TWI760989B (en) Semiconductor device and depositing method thereof
TW202203295A (en) Methods for forming semiconductor devices and method for manufacturing an integrated circuit using the same
US20240079265A1 (en) Deposition Method for Semiconductor Device
US10943816B2 (en) Mask removal for tight-pitched nanostructures
US20140374920A1 (en) Cd control

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED