US20180047663A1 - Standalone interface for stacked silicon interconnect (ssi) technology integration - Google Patents

Standalone interface for stacked silicon interconnect (ssi) technology integration Download PDF

Info

Publication number
US20180047663A1
US20180047663A1 US15/237,384 US201615237384A US2018047663A1 US 20180047663 A1 US20180047663 A1 US 20180047663A1 US 201615237384 A US201615237384 A US 201615237384A US 2018047663 A1 US2018047663 A1 US 2018047663A1
Authority
US
United States
Prior art keywords
die
programmable
interposer
interface
package
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/237,384
Other versions
US10784121B2 (en
Inventor
Rafael C. Camarota
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xilinx Inc
Original Assignee
Xilinx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xilinx Inc filed Critical Xilinx Inc
Assigned to XILINX, INC. reassignment XILINX, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CAMAROTA, RAFAEL C.
Priority to US15/237,384 priority Critical patent/US10784121B2/en
Priority to CN201780050182.5A priority patent/CN109564914B/en
Priority to JP2019508260A priority patent/JP7084377B2/en
Priority to KR1020197004856A priority patent/KR102381158B1/en
Priority to PCT/US2017/043096 priority patent/WO2018034787A1/en
Priority to EP17746595.2A priority patent/EP3497722B1/en
Publication of US20180047663A1 publication Critical patent/US20180047663A1/en
Publication of US10784121B2 publication Critical patent/US10784121B2/en
Application granted granted Critical
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

Definitions

  • Examples of the present disclosure generally relate to integrated circuits and, more particularly, to integrated circuit packaging using stacked silicon interconnect (SSI) technology.
  • SSI stacked silicon interconnect
  • ICs integrated circuits
  • These integrated circuits are typically implemented as semiconductor dies packaged in integrated circuit packages.
  • the semiconductor dies may include memory, logic, and/or any of various other suitable circuit types.
  • bumps such as a ball grid array (BGA), for surface mounting packages to a circuit board (e.g., printed circuit board (PCB).
  • BGA ball grid array
  • PCB printed circuit board
  • C4 controlled collapse chip connection
  • microbumps as used in stacked silicon interconnect (SSI) applications
  • the IC package generally includes a package substrate; at least one interposer disposed above the package substrate and comprising a plurality of interconnection lines; a programmable IC die disposed above the interposer; a fixed feature die disposed above the interposer; and an interface die disposed above the interposer and configured to couple the programmable IC die to the fixed feature die using a first set of interconnection lines routed through the interposer between the programmable IC die and the interface die and a second set of interconnection lines routed through the interposer between the interface die and the fixed feature die.
  • the method generally includes providing a mask for a programmable IC die paired with an interface die, the interface die for coupling the programmable IC die to a fixed feature die; generating, using the mask, a wafer having a plurality of the paired programmable IC and interface dies; dicing the wafer to detach a wafer section comprising one of the plurality of the paired programmable IC and interface dies; and disposing the wafer section above an interposer comprising a plurality of interconnection lines, wherein a first set of the interconnection lines is routed through the interposer for electrically connecting the paired programmable IC and interface dies in the wafer section and wherein a second set of the interconnection lines is routed through the interposer for electrically connecting the interface die and the fixed feature die.
  • the package generally includes a package substrate; at least one interposer disposed above the package substrate and comprising a plurality of interconnection lines; at least one field programmable gate array (FPGA) die disposed above the interposer; one or more high bandwidth memory (HBM) dies disposed above the interposer; and an HBM buffer die disposed above the interposer and configured to couple the FPGA die to the one or more HBM dies using a first set of interconnection lines routed through the interposer between the FPGA die and the HBM buffer die and a second set of interconnection lines routed through the interposer between the HBM buffer die and the one or more HBM dies.
  • the FPGA die and the HBM buffer die share the same wafer-level substrate.
  • the FPGA die and the HBM buffer die are separated on the wafer-level substrate by a scribe line.
  • the wafer generally includes a plurality of logic regions, wherein each logic region comprises a programmable IC die paired with an interface die, the interface die for coupling the programmable IC die to a fixed feature die.
  • FIG. 1 is a block diagram illustrating an example architecture for a programmable device.
  • FIG. 2 is a cross-sectional view of an example integrated circuit (IC) package utilizing stacked silicon interconnect (SSI) technology, in accordance with the prior art.
  • IC integrated circuit
  • SSI stacked silicon interconnect
  • FIGS. 3A and 3B illustrate a top view and a cross-sectional view, respectively, of a portion of an example IC package comprising a programmable IC die coupled to a fixed feature die via an interface die, in accordance with examples of the present disclosure.
  • FIG. 4 illustrates an example mask for a pair of logic regions, each logic region including a programmable IC die and a high bandwidth memory (HBM) buffer die, in accordance with an example of the present disclosure.
  • HBM high bandwidth memory
  • FIG. 5A is a top view of a wafer with programmable IC dies paired with HBM buffer dies, illustrating the scribe cuts where the HBM buffer dies are separated from the programmable IC dies to create logic regions, in accordance with an example of the present disclosure.
  • FIG. 5B is a top view of the wafer of FIG. 5A , illustrating the scribe cuts where the wafer is diced, leaving the HBM buffer die paired with the programmable IC die to create a logic region with an HBM interface, in accordance with an example of the present disclosure.
  • FIG. 6 illustrates a top view of a portion of an example IC package utilizing SSI technology comprising a first logic region, a second logic region with an HBM interface, and HBM dies, in accordance with an example of the present disclosure.
  • FIG. 7 is a block diagram of an example HBM buffer die, in accordance with an example of the present disclosure.
  • FIG. 8 is a flow diagram of example operations for fabricating an IC package, in accordance with an example of the present disclosure.
  • Examples of the present disclosure provide techniques and apparatus for adding one or more features (e.g., high bandwidth memory (HBM)) to an existing qualified stacked silicon interconnect (SSI) technology logic circuit (e.g., a programmable integrated circuit (IC), such as a super logic region (SLR)) without changing the logic circuit (e.g., adding or removing blocks).
  • HBM high bandwidth memory
  • SSI stacked silicon interconnect
  • IC programmable integrated circuit
  • SLR super logic region
  • the application interface and plug-in additions to the logic circuit e.g., an HBM buffer and controller
  • the connection between the application plug-in and the interface die may be made over the scribe using interposer interconnections.
  • An integrated circuit (IC) die is typically disposed in a package for electrical connection with a circuit board (e.g., a printed circuit board (PCB)).
  • a circuit board e.g., a printed circuit board (PCB)
  • PCB printed circuit board
  • FIG. 2 is a cross-sectional view of an example IC package 200 utilizing stacked silicon interconnect (SSI) technology.
  • the IC package 200 includes a package substrate 202 , an interposer 204 disposed above the substrate 202 , a plurality of IC dies 206 disposed above the interposer 204 , and an encapsulation material (not shown).
  • the encapsulation material may be any of various suitable substances (e.g., resin) for encapsulating and protecting the IC dies 206 .
  • the IC dies 206 may include any of various suitable dies, including highly manufacturable field programmable gate array (FPGA) die slices, referred to as super logic regions (SLRs).
  • FPGA field programmable gate array
  • IC dies 206 (IC0 to IC3) are illustrated in the example IC package 200 of FIG. 2 , although the package may include more or less than four IC dies.
  • SSI technology also allows dies of different types or silicon processes to be interconnected on the interposer 204 .
  • the interposer 204 acts as an interconnect vehicle on which the IC dies 206 are set side by side and interconnected.
  • the interposer 204 may be a passive silicon interposer, for example. Although only one interposer 204 is illustrated in FIG. 2 , the IC package 200 may be implemented with multiple interposers in place of interposer 204 for some examples.
  • the interposer 204 may include a plurality of interconnect lines 208 , which may provide high-bandwidth, low-latency connections through the interposer 204 .
  • a plurality of microbumps 210 may be disposed above the interposer 204 for connecting electrically conductive pads of the IC dies 206 to the interconnect lines 208 .
  • the interposer 204 may also include a plurality of through-silicon vias (TSVs) 212 for routing connections between the IC dies 206 and a plurality of eutectic bumps 214 (e.g., controlled-collapse chip connection (C4) bumps) disposed between the interposer 204 and the package substrate 202 .
  • the TSVs 212 may provide the connections between the IC dies 206 and the substrate 202 for the parallel and serial I/O, power/ground, clocking, configuration signals, and the like.
  • the plurality of eutectic bumps 214 electrically connect the interposer 204 to the substrate 202 , and more particularly to conductive elements on the surface of and vias in the substrate.
  • the IC package 200 also has a plurality of solder balls 216 disposed below the package substrate 202 .
  • the solder balls 216 may be arranged, for example, in an array of rows and columns for making electrical contact with a matching arrangement of conductive pads disposed on a surface of a circuit board (e.g., a PCB).
  • IC dies 206 may be disposed on the interposer 204 and packaged in the IC package 200 .
  • a programmable IC such as a field programmable gate array (FPGA).
  • FPGA field programmable gate array
  • An FPGA typically includes an array of programmable tiles. These programmable files may include, for example, input/output blocks (IOBs), configurable logic blocks (CLBs), dedicated random access memory blocks (BRAM), multipliers, digital signal processing blocks (DSPs), processors, clock managers, delay lock loops (DLLs), and so forth.
  • IOBs input/output blocks
  • CLBs configurable logic blocks
  • BRAM dedicated random access memory blocks
  • DSPs digital signal processing blocks
  • processors processors
  • clock managers delay lock loops
  • DLLs delay lock loops
  • a CPLD includes two or more “function blocks” connected together and to input/output (I/O) resources by an interconnect switch matrix.
  • Each function block of the CPLD includes a two-level AND/OR structure similar to those used in programmable logic arrays (PLAs) and programmable array logic (PAL) devices.
  • Other programmable ICs are programmed by applying a processing layer, such as a metal layer, that programmably interconnects the various elements on the device. These programmable ICs are known as mask programmable devices.
  • the phrase “programmable IC” can also encompass devices that are only partially programmable, such as application-specific integrated circuits (ASICs).
  • FIG. 1 is a block diagram illustrating an example architecture 100 for a programmable device, which may be implemented in the IC package 200 of FIG. 2 .
  • the architecture 100 may be implemented within a field programmable gate array (FPGA), for example.
  • FPGA field programmable gate array
  • the architecture 100 includes several different types of programmable circuitry, e.g., logic, blocks.
  • the architecture 100 may include a large number of different programmable tiles including multi-gigabit transceivers (MGTs) 101 , configurable logic blocks (CLBs) 102 , random access memory blocks (BRAMs) 103 , input/output blocks (IOBs) 104 , configuration and clocking logic (CONFIG/CLOCKS) 105 , digital signal processing (DSP) blocks 106 , specialized I/O blocks 107 (e.g., configuration ports and clock ports), and other programmable logic 108 , such as digital clock managers, analog-to-digital converters (ADCs), system monitoring logic, and the like.
  • MTTs multi-gigabit transceivers
  • CLBs configurable logic blocks
  • BRAMs random access memory blocks
  • IOBs input/output blocks
  • DSP digital signal processing
  • specialized I/O blocks 107 e.g., configuration ports and clock ports
  • other programmable logic 108 such as digital clock managers, analog-to-digital converters (
  • each programmable tile includes a programmable interconnect element (INT) 111 having standardized connections to and from a corresponding INT 111 in each adjacent tile. Therefore, the INTs 111 , taken together, implement the programmable interconnect structure for the illustrated FPGA.
  • Each INT 111 also includes the connections to and from the programmable logic element within the same tile, as shown by the examples included at the far right of FIG. 1 .
  • a CLB 102 may include a configurable logic element (CLE) 112 that can be programmed to implement user logic plus a single INT 111 .
  • a BRAM 103 may include a BRAM logic element (BRL) 113 in addition to one or more INTs 111 .
  • BRAM logic element BRAM logic element
  • the number of INTs 111 included in a tile depends on the width of the tile. In the pictured example, a BRAM tile has the same width as five CLBs, but other numbers (e.g., four) can also be used.
  • a DSP block 106 may include a DSP logic element (DSPL) 114 in addition to an appropriate number of INTs 111 .
  • DSPL DSP logic element
  • An IOB 104 may include, for example, two instances of an I/O logic element (IOL) 115 in addition to one instance of an INT 111 .
  • IOL I/O logic element
  • the actual I/O pads connected, for example, to the IOL 115 typically are not confined to the area of the IOL 115 .
  • a horizontal area near the center of the die (shown shaded in FIG. 1 ) is used for configuration, clock, and other control logic (CONFIG/CLOCKS 105 ).
  • Other vertical areas 109 extending from this central area may be used to distribute the clocks and configuration signals across the breadth of the FPGA.
  • Some FPGAs utilizing the architecture 100 illustrated in FIG. 1 include additional logic blocks that disrupt the regular row structure making up a large part of the FPGA.
  • the additional logic blocks may be programmable blocks and/or dedicated circuitry.
  • PROC 110 spans several rows of CLBs 102 and BRAMs 103 .
  • the PROC 110 may be implemented as a hard-wired processor that is fabricated as part of the die that implements the programmable circuitry of the FPGA.
  • the PROC 110 may represent any of a variety of different processor types and/or systems ranging in complexity from an individual processor (e.g., a single core capable of executing program code) to an entire processing system having one or more cores, modules, co-processors, interfaces, or the like.
  • the PROC 110 may include one or more cores (e.g., central processing units), cache memories, a memory controller, unidirectional and/or bidirectional interfaces configurable to couple directly to I/O pins (e.g., I/O pads) of the IC and/or couple to the programmable circuitry of the FPGA.
  • cores e.g., central processing units
  • cache memories e.g., DDR4 cache memories
  • memory controller unidirectional and/or bidirectional interfaces configurable to couple directly to I/O pins (e.g., I/O pads) of the IC and/or couple to the programmable circuitry of the FPGA.
  • programmable circuitry can refer to programmable circuit elements within an IC (e.g., the various programmable or configurable circuit blocks or tiles described herein) as well as the interconnect circuitry that selectively couples the various circuit blocks, tiles, and/or elements according to configuration data that is loaded into the FPGA.
  • FIG. 1 is intended to illustrate an example architecture 100 that can be used to implement an FPGA that includes programmable circuitry (e.g., a programmable fabric) and a processing system.
  • programmable circuitry e.g., a programmable fabric
  • FIG. 1 is intended to illustrate an example architecture 100 that can be used to implement an FPGA that includes programmable circuitry (e.g., a programmable fabric) and a processing system.
  • the number of logic blocks in a row the relative width of the rows, the number and order of rows, the types of logic blocks included in the rows, the relative sizes of the logic blocks, and the interconnect/logic implementations included at the right of FIG. 1 are exemplary.
  • more than one adjacent row of CLBs 102 is typically included wherever the CLBs appear, in an effort to facilitate the efficient implementation of a user circuit design.
  • the number of adjacent CLB rows can vary with the overall size of the FPGA.
  • the size and/or positioning of the PROC 110 within the FPGA is for purposes
  • stacked silicon interconnect (SSI) technology devices use an interposer to connect multiple integrated circuit (IC) dies together using fine microbumps and metal traces much denser than what is available in conventional IC package technology or PCB technology. It may be desirable to take advantage of SSI technology for certain applications by connecting a fixed feature die (e.g., an ASIC) with an additional capability to a programmable IC die (e.g., an FPGA SLR) with connections substantially denser and faster than allowed using traditional I/O connected to package pins over a PCB.
  • a fixed feature die e.g., an ASIC
  • a programmable IC die e.g., an FPGA SLR
  • additional circuitry e.g., buffers
  • additional circuitry may need to be added to the programmable IC die that is connected to the fixed feature die to interface with the microbumps with a function and pattern consistent with the fixed feature die.
  • the large number of additional connections it would take to support the new capability may be very disruptive to the programmable IC architecture, and may involve the removal of logic and/or DSP blocks and alterations to the clocking network.
  • An interface die is designed that is compatible with the interposer interconnect data and clocking microbumps and interconnect pattern of the programmable IC die (e.g., an FPGA SLR) on one side and with the microbumps and interconnect pattern of the fixed feature die(s) on the other side.
  • the interface die functions to convert the fixed feature protocol to an interposer interconnection compatible protocol.
  • the programmable IC die and the interface die may share the same wafer-level substrate (e.g., the same monolith of semiconducting material) after wafer dicing, but may be separated by a scribe line (a standard scribe).
  • FIGS. 3A and 3B illustrate a top view and a cross-sectional view, respectively, of a portion of an example IC package (e.g., at the interposer level) comprising a programmable IC die 302 coupled to a fixed feature die 304 via an interface die 306 , in accordance with examples of the present disclosure.
  • a mask may be used to generate a wafer with the several instances of the programmable IC die 302 paired with the interface die 306 . After dicing, the programmable IC die 302 and the interface die 306 may share the same wafer-level substrate, as illustrated in FIG. 3B .
  • a scribe line 308 may separate the interface die 306 from the programmable IC die 302 , and there may be no direct electrical connections between the two dies through the wafer section. Instead, a first set of interconnect lines 310 through the interposer 204 may be used to electrically connect circuits in the programmable IC die 302 and the interface die 306 . One side of the interface die 306 is designed to be compatible with a pattern of the microbumps 210 and the first set of interconnect lines 310 for the programmable IC die 302 . A second set of interconnect lines 312 routed through the interposer 204 may be used to electrically connect circuits in the fixed feature die 304 and the interface die 306 .
  • Another side of the interface die is designed to be compatible with a pattern of the microbumps 210 and the second set of interconnect lines 312 for the fixed feature die 304 .
  • the design of the interface die 306 and the use of the interconnect lines 208 in the interposer 204 permit integrating the additional capability of the fixed feature die 304 into the SSI technology IC package, without any change to the programmable IC die 302 . Therefore, an existing, working programmable IC die need not be requalified.
  • HBM High Bandwidth Memory
  • RAM high-performance random access memory
  • DRAM three-dimensional stacked dynamic RAM
  • TSVs through-silicon vias
  • microbumps microbumps
  • HBM devices may take advantage of SSI technology to connect DRAM to an programmable IC die (e.g., an FPGA die) eight to ten times (8 to 10 ⁇ ) denser and faster than traditional DRAM allows using traditional I/O connected to package pins over a PCB.
  • a programmable IC die e.g., an FPGA die
  • 8 to 10 ⁇ 8 to 10 ⁇
  • HBM is to be added to an FPGA
  • the data coming from an HBM would have a very high bandwidth (e.g., 6 terabits per second (Tbps) per HDM device).
  • Adding the tens of thousands of desired connections to the FPGA would be very disruptive to the FPGA architecture, involving removal of logic and DSP blocks from the regularity of the FPGA fabric to add connections from the HBM buffer. The addition may also disrupt the FPGA clocking network. Besides having to design a new HBM buffer, the IC manufacturer may also develop new blocks related to clocking, CLE, and/or DSP in an effort to add HBM support. As described above, the qualification of an FPGA (SLR) is very complex. Furthermore, it is expected that the HBM standard will change over the life of a typical FPGA product. A change to the standard may entail the redesign of the HBM buffer and the entire FPGA (SLR) and a complete requalification.
  • SLR FPGA
  • An HBM buffer die may be designed that is compatible with the interposer interconnect data and clocking microbumps and interconnect pattern of the FPGA (SLR) on one side and with the microbumps and interconnect pattern of the HBM memory (or memories) on the other side.
  • the function of the HBM buffer die is to convert HBM protocol to an interposer interconnect compatible protocol like AXI (Advanced eXtensible Interface).
  • the FPGA and HBM buffer devices may share the same wafer-level substrate, but may be separated by a scribe line, as described above.
  • FIG. 4 illustrates an example mask 400 for a pair of logic regions, in accordance with an example of the present disclosure.
  • Each logic region includes a programmable IC die 402 and an HBM buffer die 404 .
  • a pair of logic regions are illustrated in the example mask 400 of FIG. 4 , a mask may have only one logic region or more than two logic regions.
  • FIG. 4 shows the programmable IC die 402 and the HBM buffer die 404 as having the same width 405 and being separated by a scribe line 406 . This scribe line 406 may have a standard width.
  • the HBM buffer die 404 may have a different width than the programmable IC die 402 .
  • the HBM buffer die 404 and the programmable IC die 402 may have compatible interposer interconnect microbump patterns.
  • the mask 400 in FIG. 4 may be used to make the wafers shown in FIGS. 5A and 5B .
  • FIGS. 5A and 5B illustrate how one mask set can be used to make two different logic regions.
  • FIG. 5A is a top view of a wafer 500 with logic regions (e.g., SLRs) including programmable IC die 502 paired with an HBM buffer die 504 , in accordance with an example of the present disclosure.
  • the dashed lines 506 represent scribe cuts where the wafer 500 is diced, and the HBM buffer die 504 is separated from the programmable IC (PIC) die 502 .
  • PIC programmable IC
  • the wafer 500 in FIG. 5A can be used to produce, for example, traditional SSI technology FPGA SLRs.
  • the separated HBM buffer dies 504 may be discarded.
  • FIG. 5B is a top view of a wafer 520 with an HBM interface, in accordance with an example of the present disclosure.
  • the wafer 520 may be the same starting wafer as the wafer 500 of FIG. 5A , but the scribe cuts are different.
  • the wafer 520 in FIG. 5B is diced such that the PL die 502 and the HBM buffer die 504 are paired together as a single logic region (e.g., SLR).
  • the HBM buffer and PL portions may be separated by a scribe and may not have any direct electrical connections in the diced wafer section. Rather, the connections between the two portions may be made by interconnect lines in the SSI interposer.
  • interconnect lines 310 in the interposer 204 are designed to create wide, high-bandwidth connections between dies. Further, the interconnect lines 310 may be designed to distribute the bandwidth of the connection over enough of the programmable logic (e.g., FPGA) to absorb the extraordinary bandwidth of HBM.
  • the separation (e.g., by a scribe line) of the programmable IC die and the HBM buffer die also serves to reduce the risk and increase vendor flexibility. In this manner, a problem with the HBM or HBM buffer die or a change in the HBM standard will not impact the usefulness of the programmable logic. Further, if the HBM design is changed due to HBM vendor differences or the evolution of the HBM standard, the programmable logic need not be disturbed.
  • HBM-to-PIC connection over the interposer interconnect lines 310 may be soft and may not involve a mask change to modify.
  • This soft connection over the interconnect lines 310 may be implemented with bidirectional drivers at the ends of each interconnect line, which can be controlled to adjust which lines are connected.
  • FIG. 6 illustrates a top view of a portion of an example IC package (e.g., at the interposer level) utilizing SSI technology, in accordance with an example of the present disclosure.
  • the portion of the IC package includes a first logic region 602 (comprising a first programmable IC die) coupled to a second logic region 608 (comprising a second programmable IC die 604 paired with an HBM buffer die 606 ), where the second logic region may be referred to as a logic region with an HBM interface.
  • the HBM buffer die 606 is coupled to two HBM dies 610 , 612 .
  • the programmable IC die 604 and the HBM buffer die 606 are independent, these dies may be diced from a wafer as a single logic region 608 .
  • the two dies 604 , 606 in the second logic region 608 may be connected using a first set of interconnection lines 614 routed through the interposer 615 .
  • the interconnection lines 614 routed between the programmable IC die 604 and the HBM buffer die 606 may be the same type as the interconnect lines 208 used between the IC dies 206 in FIG. 2 .
  • the HBM channel may entail 1440 interconnect signals at 500 megabits per second (Mbps) with 8 channels per device.
  • interconnect channels there may be 16 programmable IC interconnect channels with 1440 signals between the logic region 602 and the logic region 608 .
  • the interconnection lines 616 between the HBM buffer die 606 and the HBM dies 610 , 612 may use the HBM JEDEC standard on the interposer 204 .
  • FIG. 7 shows the top level design of an example HBM buffer die 700 , in accordance with an example of the present disclosure.
  • the HBM buffer die 700 may be one example implementation of the HBM buffer die 606 in FIG. 6 .
  • the signal count and bandwidth coming from the HBM die 610 , 612 are nicely balanced by the interconnection signal bandwidth to the programmable IC (e.g., an FPGA).
  • the circuit may have a switch network 702 (e.g., an AXI switch network) between the HBM channels 704 and the programmable IC interconnect channels 706 .
  • the HBM design also allows the HBM placement and the programmable IC interconnect placement to be independent.
  • Each master unit (MU) 708 in FIG. 7 may be, for example, a 512-bit AXI bus running at 500 MHz, which may take up most of the 1440 signals in a programmable IC interconnect channel 706 .
  • the slave unit (SU) 710 in each HBM output may also be, for example, a 512-bit AXI bus operating at 500 MHz.
  • a HBM application of 128 bits at 2 gigabits per second (Gbps) may result in an AXI 512-bit interface at 500 MHz.
  • HBM memory or another suitable capability can be added to an existing qualified SSI technology logic circuit without changing the logic circuit (e.g., adding or removing blocks).
  • the application interface and plug-in additions to the logic circuit e.g., an HBM buffer and controller
  • the application interface and plug-in additions to the logic circuit may be designed on the same mask set as if these were a single die, with a standard scribe line separating the application plug-in portion of the logic circuit die and the interface die.
  • the connection between the application plug-in and the interface die may be made over the scribe using interposer interconnections.
  • the interface die e.g., the HBM buffer die
  • the interface die can be added to any tapeout including production with no additional mask cost.
  • Introducing support for additional features (e.g., HBM support) to a programmable IC (e.g., an FPGA) need not involve designing a new programmable IC and the subsequent modeling and qualification.
  • adding capabilities e.g., HBM
  • HBM high-power block memory
  • the interface die e.g., the HBM buffer die
  • the design guidelines e.g., the HBM standard
  • the design may also be very portable to an outside vendor. Future changes to the design (e.g., revisions to the HBM standard) need not impact programmable IC design or qualification.
  • the standalone interface design may be applied to any logic circuit with interconnect support.
  • FIG. 8 is a flow diagram of example operations 800 for fabricating an IC package, in accordance with an example of the present disclosure.
  • the operations 800 may be performed, for example, by a system for fabricating the IC package, which may include a semiconductor processing chamber.
  • the operations 800 may begin, at block 802 , by providing a mask for a programmable IC die paired with an interface die.
  • the interface die is for coupling the programmable IC die to at least one fixed feature die.
  • the mask is used to generate a wafer having a plurality of the paired programmable IC and interface dies.
  • the wafer may be diced to detach a wafer section comprising one of the plurality of the paired programmable IC and interface dies.
  • the wafer section may be disposed above an interposer comprising a plurality of interconnection lines.
  • a first set of the interconnection lines may be routed through the interposer for electrically connecting the paired programmable IC and interface dies in the wafer section.
  • a second set of the interconnection lines may be routed through the interposer for electrically connecting the interface die and the fixed feature die.
  • the operations 800 may further entail disposing the fixed feature die above the interposer.
  • the operations may further involve disposing the interposer above a package substrate and/or encapsulating the fixed feature die, the wafer section, the interposer, and at least a portion of the package substrate to form the integrated circuit package.
  • a plurality of microbumps may be disposed above the interposer. In this case, the plurality of microbumps may electrically connect the interconnection lines routed through the interposer with circuits in the programmable IC die, the interface die, and the fixed feature die.
  • the interface die in the wafer section is compatible with a first pattern of the microbumps and the first set of interconnection lines for the programmable IC die and compatible with a second pattern of the microbumps and the second set of interconnection lines for the fixed feature die.
  • the operations 800 may further include forming a scribe line between the programmable IC die and the interface die in each of the paired programmable IC and interface dies.
  • the paired programmable IC and interface dies in the wafer section share the same wafer-level substrate.
  • the fixed feature die comprises an HBM die.
  • the interface die may comprise an HBM buffer die.
  • the second set of interconnection lines is in accordance with the HBM JEDEC standard.
  • the fixed feature die comprises an application-specific integrated circuit (ASIC).
  • ASIC application-specific integrated circuit
  • a phrase referring to “at least one of” a list of items refers to any combination of those items, including single members.
  • “at least one of: x, y, and z” is intended to cover: x, y, z, x-y, x-z, y-z, x-y-z, and any combination thereof (e.g., x-y-y and x-x-y-z).

Abstract

Methods and apparatus are described for adding one or more features (e.g., high bandwidth memory (HBM)) to an existing qualified stacked silicon interconnect (SSI) technology programmable IC die (e.g., a super logic region (SLR)) without changing the programmable IC die (e.g., adding or removing blocks). One example integrated circuit (IC) package generally includes a package substrate; at least one interposer disposed above the package substrate and comprising a plurality of interconnection lines; a programmable IC die disposed above the interposer; a fixed feature die disposed above the interposer; and an interface die disposed above the interposer and configured to couple the programmable IC die to the fixed feature die using a first set of interconnection lines routed through the interposer between the programmable IC die and the interface die and a second set of interconnection lines routed through the interposer between the interface die and the fixed feature die.

Description

    TECHNICAL FIELD
  • Examples of the present disclosure generally relate to integrated circuits and, more particularly, to integrated circuit packaging using stacked silicon interconnect (SSI) technology.
  • BACKGROUND
  • Electronic devices (e.g., computers, laptops, tablets, copiers, digital cameras, smart phones, and the like) often employ integrated circuits (ICs, also known as “chips”). These integrated circuits are typically implemented as semiconductor dies packaged in integrated circuit packages. The semiconductor dies may include memory, logic, and/or any of various other suitable circuit types.
  • Many integrated circuits and other semiconductor devices utilize an arrangement of bumps, such as a ball grid array (BGA), for surface mounting packages to a circuit board (e.g., printed circuit board (PCB). Any of various suitable package pin structures, such as controlled collapse chip connection (C4) bumps or microbumps (as used in stacked silicon interconnect (SSI) applications), may be used to conduct electrical signals between a channel on an integrated circuit (IC) die (or other package device) and the circuit board on which the package is mounted.
  • SUMMARY
  • One example of the present disclosure is an integrated circuit (IC) package. The IC package generally includes a package substrate; at least one interposer disposed above the package substrate and comprising a plurality of interconnection lines; a programmable IC die disposed above the interposer; a fixed feature die disposed above the interposer; and an interface die disposed above the interposer and configured to couple the programmable IC die to the fixed feature die using a first set of interconnection lines routed through the interposer between the programmable IC die and the interface die and a second set of interconnection lines routed through the interposer between the interface die and the fixed feature die.
  • Another example of the present disclosure is a method of fabricating an integrated circuit package. The method generally includes providing a mask for a programmable IC die paired with an interface die, the interface die for coupling the programmable IC die to a fixed feature die; generating, using the mask, a wafer having a plurality of the paired programmable IC and interface dies; dicing the wafer to detach a wafer section comprising one of the plurality of the paired programmable IC and interface dies; and disposing the wafer section above an interposer comprising a plurality of interconnection lines, wherein a first set of the interconnection lines is routed through the interposer for electrically connecting the paired programmable IC and interface dies in the wafer section and wherein a second set of the interconnection lines is routed through the interposer for electrically connecting the interface die and the fixed feature die.
  • Yet another example of the present disclosure is an integrated circuit package. The package generally includes a package substrate; at least one interposer disposed above the package substrate and comprising a plurality of interconnection lines; at least one field programmable gate array (FPGA) die disposed above the interposer; one or more high bandwidth memory (HBM) dies disposed above the interposer; and an HBM buffer die disposed above the interposer and configured to couple the FPGA die to the one or more HBM dies using a first set of interconnection lines routed through the interposer between the FPGA die and the HBM buffer die and a second set of interconnection lines routed through the interposer between the HBM buffer die and the one or more HBM dies. For some examples, the FPGA die and the HBM buffer die share the same wafer-level substrate. For some examples, the FPGA die and the HBM buffer die are separated on the wafer-level substrate by a scribe line.
  • Yet another example of the present disclosure is a wafer. The wafer generally includes a plurality of logic regions, wherein each logic region comprises a programmable IC die paired with an interface die, the interface die for coupling the programmable IC die to a fixed feature die.
  • These and other aspects may be understood with reference to the following detailed description.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above-recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to examples, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical examples of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective examples.
  • FIG. 1 is a block diagram illustrating an example architecture for a programmable device.
  • FIG. 2 is a cross-sectional view of an example integrated circuit (IC) package utilizing stacked silicon interconnect (SSI) technology, in accordance with the prior art.
  • FIGS. 3A and 3B illustrate a top view and a cross-sectional view, respectively, of a portion of an example IC package comprising a programmable IC die coupled to a fixed feature die via an interface die, in accordance with examples of the present disclosure.
  • FIG. 4 illustrates an example mask for a pair of logic regions, each logic region including a programmable IC die and a high bandwidth memory (HBM) buffer die, in accordance with an example of the present disclosure.
  • FIG. 5A is a top view of a wafer with programmable IC dies paired with HBM buffer dies, illustrating the scribe cuts where the HBM buffer dies are separated from the programmable IC dies to create logic regions, in accordance with an example of the present disclosure.
  • FIG. 5B is a top view of the wafer of FIG. 5A, illustrating the scribe cuts where the wafer is diced, leaving the HBM buffer die paired with the programmable IC die to create a logic region with an HBM interface, in accordance with an example of the present disclosure.
  • FIG. 6 illustrates a top view of a portion of an example IC package utilizing SSI technology comprising a first logic region, a second logic region with an HBM interface, and HBM dies, in accordance with an example of the present disclosure.
  • FIG. 7 is a block diagram of an example HBM buffer die, in accordance with an example of the present disclosure.
  • FIG. 8 is a flow diagram of example operations for fabricating an IC package, in accordance with an example of the present disclosure.
  • DETAILED DESCRIPTION
  • Examples of the present disclosure provide techniques and apparatus for adding one or more features (e.g., high bandwidth memory (HBM)) to an existing qualified stacked silicon interconnect (SSI) technology logic circuit (e.g., a programmable integrated circuit (IC), such as a super logic region (SLR)) without changing the logic circuit (e.g., adding or removing blocks). The application interface and plug-in additions to the logic circuit (e.g., an HBM buffer and controller) may be designed on the same mask set as if these were a single die, with a standard scribe line separating the application plug-in portion of the logic circuit die and the interface die. The connection between the application plug-in and the interface die may be made over the scribe using interposer interconnections.
  • Example Integrated Circuit Package
  • An integrated circuit (IC) die is typically disposed in a package for electrical connection with a circuit board (e.g., a printed circuit board (PCB)). The package protects the integrated circuit die from potential physical damage and moisture, which may lead to corrosion.
  • FIG. 2 is a cross-sectional view of an example IC package 200 utilizing stacked silicon interconnect (SSI) technology. The IC package 200 includes a package substrate 202, an interposer 204 disposed above the substrate 202, a plurality of IC dies 206 disposed above the interposer 204, and an encapsulation material (not shown). The encapsulation material may be any of various suitable substances (e.g., resin) for encapsulating and protecting the IC dies 206. The IC dies 206 may include any of various suitable dies, including highly manufacturable field programmable gate array (FPGA) die slices, referred to as super logic regions (SLRs). Four IC dies 206 (IC0 to IC3) are illustrated in the example IC package 200 of FIG. 2, although the package may include more or less than four IC dies. SSI technology also allows dies of different types or silicon processes to be interconnected on the interposer 204.
  • The interposer 204 acts as an interconnect vehicle on which the IC dies 206 are set side by side and interconnected. The interposer 204 may be a passive silicon interposer, for example. Although only one interposer 204 is illustrated in FIG. 2, the IC package 200 may be implemented with multiple interposers in place of interposer 204 for some examples. The interposer 204 may include a plurality of interconnect lines 208, which may provide high-bandwidth, low-latency connections through the interposer 204. A plurality of microbumps 210 may be disposed above the interposer 204 for connecting electrically conductive pads of the IC dies 206 to the interconnect lines 208. The interposer 204 may also include a plurality of through-silicon vias (TSVs) 212 for routing connections between the IC dies 206 and a plurality of eutectic bumps 214 (e.g., controlled-collapse chip connection (C4) bumps) disposed between the interposer 204 and the package substrate 202. The TSVs 212 may provide the connections between the IC dies 206 and the substrate 202 for the parallel and serial I/O, power/ground, clocking, configuration signals, and the like. The plurality of eutectic bumps 214 electrically connect the interposer 204 to the substrate 202, and more particularly to conductive elements on the surface of and vias in the substrate.
  • The IC package 200 also has a plurality of solder balls 216 disposed below the package substrate 202. The solder balls 216 may be arranged, for example, in an array of rows and columns for making electrical contact with a matching arrangement of conductive pads disposed on a surface of a circuit board (e.g., a PCB).
  • Example Programmable Integrated Circuits
  • Many different types of integrated circuit (IC) dies 206 may be disposed on the interposer 204 and packaged in the IC package 200. One suitable type of IC is a programmable IC, such as a field programmable gate array (FPGA). An FPGA typically includes an array of programmable tiles. These programmable files may include, for example, input/output blocks (IOBs), configurable logic blocks (CLBs), dedicated random access memory blocks (BRAM), multipliers, digital signal processing blocks (DSPs), processors, clock managers, delay lock loops (DLLs), and so forth. Another type of programmable IC is the complex programmable logic device, or CPLD. A CPLD includes two or more “function blocks” connected together and to input/output (I/O) resources by an interconnect switch matrix. Each function block of the CPLD includes a two-level AND/OR structure similar to those used in programmable logic arrays (PLAs) and programmable array logic (PAL) devices. Other programmable ICs are programmed by applying a processing layer, such as a metal layer, that programmably interconnects the various elements on the device. These programmable ICs are known as mask programmable devices. The phrase “programmable IC” can also encompass devices that are only partially programmable, such as application-specific integrated circuits (ASICs).
  • FIG. 1 is a block diagram illustrating an example architecture 100 for a programmable device, which may be implemented in the IC package 200 of FIG. 2. The architecture 100 may be implemented within a field programmable gate array (FPGA), for example. As shown, the architecture 100 includes several different types of programmable circuitry, e.g., logic, blocks. For example, the architecture 100 may include a large number of different programmable tiles including multi-gigabit transceivers (MGTs) 101, configurable logic blocks (CLBs) 102, random access memory blocks (BRAMs) 103, input/output blocks (IOBs) 104, configuration and clocking logic (CONFIG/CLOCKS) 105, digital signal processing (DSP) blocks 106, specialized I/O blocks 107 (e.g., configuration ports and clock ports), and other programmable logic 108, such as digital clock managers, analog-to-digital converters (ADCs), system monitoring logic, and the like.
  • In some FPGAs, each programmable tile includes a programmable interconnect element (INT) 111 having standardized connections to and from a corresponding INT 111 in each adjacent tile. Therefore, the INTs 111, taken together, implement the programmable interconnect structure for the illustrated FPGA. Each INT 111 also includes the connections to and from the programmable logic element within the same tile, as shown by the examples included at the far right of FIG. 1.
  • For example, a CLB 102 may include a configurable logic element (CLE) 112 that can be programmed to implement user logic plus a single INT 111. A BRAM 103 may include a BRAM logic element (BRL) 113 in addition to one or more INTs 111. Typically, the number of INTs 111 included in a tile depends on the width of the tile. In the pictured example, a BRAM tile has the same width as five CLBs, but other numbers (e.g., four) can also be used. A DSP block 106 may include a DSP logic element (DSPL) 114 in addition to an appropriate number of INTs 111. An IOB 104 may include, for example, two instances of an I/O logic element (IOL) 115 in addition to one instance of an INT 111. As will be clear to a person having ordinary skill in the art, the actual I/O pads connected, for example, to the IOL 115 typically are not confined to the area of the IOL 115.
  • In the example architecture 100 depicted in FIG. 1, a horizontal area near the center of the die (shown shaded in FIG. 1) is used for configuration, clock, and other control logic (CONFIG/CLOCKS 105). Other vertical areas 109 extending from this central area may be used to distribute the clocks and configuration signals across the breadth of the FPGA.
  • Some FPGAs utilizing the architecture 100 illustrated in FIG. 1 include additional logic blocks that disrupt the regular row structure making up a large part of the FPGA. The additional logic blocks may be programmable blocks and/or dedicated circuitry. For example, a processor block depicted as PROC 110 spans several rows of CLBs 102 and BRAMs 103.
  • The PROC 110 may be implemented as a hard-wired processor that is fabricated as part of the die that implements the programmable circuitry of the FPGA. The PROC 110 may represent any of a variety of different processor types and/or systems ranging in complexity from an individual processor (e.g., a single core capable of executing program code) to an entire processing system having one or more cores, modules, co-processors, interfaces, or the like.
  • In a more complex arrangement, for example, the PROC 110 may include one or more cores (e.g., central processing units), cache memories, a memory controller, unidirectional and/or bidirectional interfaces configurable to couple directly to I/O pins (e.g., I/O pads) of the IC and/or couple to the programmable circuitry of the FPGA. The phrase “programmable circuitry” can refer to programmable circuit elements within an IC (e.g., the various programmable or configurable circuit blocks or tiles described herein) as well as the interconnect circuitry that selectively couples the various circuit blocks, tiles, and/or elements according to configuration data that is loaded into the FPGA. For example, portions shown in FIG. 1 that are external to the PROC 110 may be considered part of the, or the, programmable circuitry of the FPGA.
  • FIG. 1 is intended to illustrate an example architecture 100 that can be used to implement an FPGA that includes programmable circuitry (e.g., a programmable fabric) and a processing system. For example, the number of logic blocks in a row, the relative width of the rows, the number and order of rows, the types of logic blocks included in the rows, the relative sizes of the logic blocks, and the interconnect/logic implementations included at the right of FIG. 1 are exemplary. In an actual FPGA, for example, more than one adjacent row of CLBs 102 is typically included wherever the CLBs appear, in an effort to facilitate the efficient implementation of a user circuit design. The number of adjacent CLB rows, however, can vary with the overall size of the FPGA. Further, the size and/or positioning of the PROC 110 within the FPGA is for purposes of illustration only and is not intended as a limitation of the one or more examples of the present disclosure.
  • Example Standalone Interface for SSI Technology Integration
  • As described above, stacked silicon interconnect (SSI) technology devices use an interposer to connect multiple integrated circuit (IC) dies together using fine microbumps and metal traces much denser than what is available in conventional IC package technology or PCB technology. It may be desirable to take advantage of SSI technology for certain applications by connecting a fixed feature die (e.g., an ASIC) with an additional capability to a programmable IC die (e.g., an FPGA SLR) with connections substantially denser and faster than allowed using traditional I/O connected to package pins over a PCB. For some examples, if the additional capability is to be added to the programmable IC die, then additional circuitry (e.g., buffers) may need to be added to the programmable IC die that is connected to the fixed feature die to interface with the microbumps with a function and pattern consistent with the fixed feature die. For certain applications, the large number of additional connections it would take to support the new capability may be very disruptive to the programmable IC architecture, and may involve the removal of logic and/or DSP blocks and alterations to the clocking network. When such changes are made to an existing, working programmable IC die, it may be desirable to completely requalify the entirely die, which is complex and costly.
  • Examples of the present disclosure avoid this disruption and requalification of the programmable IC architecture and instead leave the programmable IC die unchanged. An interface die is designed that is compatible with the interposer interconnect data and clocking microbumps and interconnect pattern of the programmable IC die (e.g., an FPGA SLR) on one side and with the microbumps and interconnect pattern of the fixed feature die(s) on the other side. The interface die functions to convert the fixed feature protocol to an interposer interconnection compatible protocol. The programmable IC die and the interface die may share the same wafer-level substrate (e.g., the same monolith of semiconducting material) after wafer dicing, but may be separated by a scribe line (a standard scribe).
  • FIGS. 3A and 3B illustrate a top view and a cross-sectional view, respectively, of a portion of an example IC package (e.g., at the interposer level) comprising a programmable IC die 302 coupled to a fixed feature die 304 via an interface die 306, in accordance with examples of the present disclosure. A mask may be used to generate a wafer with the several instances of the programmable IC die 302 paired with the interface die 306. After dicing, the programmable IC die 302 and the interface die 306 may share the same wafer-level substrate, as illustrated in FIG. 3B. A scribe line 308 may separate the interface die 306 from the programmable IC die 302, and there may be no direct electrical connections between the two dies through the wafer section. Instead, a first set of interconnect lines 310 through the interposer 204 may be used to electrically connect circuits in the programmable IC die 302 and the interface die 306. One side of the interface die 306 is designed to be compatible with a pattern of the microbumps 210 and the first set of interconnect lines 310 for the programmable IC die 302. A second set of interconnect lines 312 routed through the interposer 204 may be used to electrically connect circuits in the fixed feature die 304 and the interface die 306. Another side of the interface die is designed to be compatible with a pattern of the microbumps 210 and the second set of interconnect lines 312 for the fixed feature die 304. In this manner, the design of the interface die 306 and the use of the interconnect lines 208 in the interposer 204 permit integrating the additional capability of the fixed feature die 304 into the SSI technology IC package, without any change to the programmable IC die 302. Therefore, an existing, working programmable IC die need not be requalified.
  • One example application that may utilize SSI technology includes High Bandwidth Memory (HBM). HBM is a high-performance random access memory (RAM) instance for three-dimensional (3-D) stacked dynamic RAM (DRAM), which may be used in any of various suitable applications, such as high-performance graphics accelerators and network devices. In HBM, up to eight DRAM dies may be stacked, which may be interconnected by through-silicon vias (TSVs) and microbumps.
  • HBM devices may take advantage of SSI technology to connect DRAM to an programmable IC die (e.g., an FPGA die) eight to ten times (8 to 10×) denser and faster than traditional DRAM allows using traditional I/O connected to package pins over a PCB. If HBM is to be added to an FPGA, then it may be desirable to add buffers to the FPGA that is connected to the HBM. These buffers would drive the microbumps with a function and pattern consistent with a neighboring HBM. The data coming from an HBM would have a very high bandwidth (e.g., 6 terabits per second (Tbps) per HDM device). Adding the tens of thousands of desired connections to the FPGA would be very disruptive to the FPGA architecture, involving removal of logic and DSP blocks from the regularity of the FPGA fabric to add connections from the HBM buffer. The addition may also disrupt the FPGA clocking network. Besides having to design a new HBM buffer, the IC manufacturer may also develop new blocks related to clocking, CLE, and/or DSP in an effort to add HBM support. As described above, the qualification of an FPGA (SLR) is very complex. Furthermore, it is expected that the HBM standard will change over the life of a typical FPGA product. A change to the standard may entail the redesign of the HBM buffer and the entire FPGA (SLR) and a complete requalification.
  • Examples of the present disclosure leave the FPGA (SLR) unchanged. An HBM buffer die may be designed that is compatible with the interposer interconnect data and clocking microbumps and interconnect pattern of the FPGA (SLR) on one side and with the microbumps and interconnect pattern of the HBM memory (or memories) on the other side. The function of the HBM buffer die is to convert HBM protocol to an interposer interconnect compatible protocol like AXI (Advanced eXtensible Interface). The FPGA and HBM buffer devices may share the same wafer-level substrate, but may be separated by a scribe line, as described above. Although an HBM application and an HBM buffer die are used as examples throughout the present disclosure, it is to be understood that any suitable application (and application-specific integrated circuit (ASIC) die) may be integrated in an SSI technology IC package using a suitable interface die.
  • FIG. 4 illustrates an example mask 400 for a pair of logic regions, in accordance with an example of the present disclosure. Each logic region includes a programmable IC die 402 and an HBM buffer die 404. Although a pair of logic regions are illustrated in the example mask 400 of FIG. 4, a mask may have only one logic region or more than two logic regions. FIG. 4 shows the programmable IC die 402 and the HBM buffer die 404 as having the same width 405 and being separated by a scribe line 406. This scribe line 406 may have a standard width. For other examples, the HBM buffer die 404 may have a different width than the programmable IC die 402. The HBM buffer die 404 and the programmable IC die 402 may have compatible interposer interconnect microbump patterns. The mask 400 in FIG. 4 may be used to make the wafers shown in FIGS. 5A and 5B.
  • FIGS. 5A and 5B illustrate how one mask set can be used to make two different logic regions. FIG. 5A is a top view of a wafer 500 with logic regions (e.g., SLRs) including programmable IC die 502 paired with an HBM buffer die 504, in accordance with an example of the present disclosure. The dashed lines 506 represent scribe cuts where the wafer 500 is diced, and the HBM buffer die 504 is separated from the programmable IC (PIC) die 502. The wafer 500 in FIG. 5A can be used to produce, for example, traditional SSI technology FPGA SLRs. The separated HBM buffer dies 504 may be discarded.
  • FIG. 5B is a top view of a wafer 520 with an HBM interface, in accordance with an example of the present disclosure. The wafer 520 may be the same starting wafer as the wafer 500 of FIG. 5A, but the scribe cuts are different. The wafer 520 in FIG. 5B is diced such that the PL die 502 and the HBM buffer die 504 are paired together as a single logic region (e.g., SLR). The HBM buffer and PL portions may be separated by a scribe and may not have any direct electrical connections in the diced wafer section. Rather, the connections between the two portions may be made by interconnect lines in the SSI interposer.
  • These interconnect lines 310 in the interposer 204 are designed to create wide, high-bandwidth connections between dies. Further, the interconnect lines 310 may be designed to distribute the bandwidth of the connection over enough of the programmable logic (e.g., FPGA) to absorb the astounding bandwidth of HBM. The separation (e.g., by a scribe line) of the programmable IC die and the HBM buffer die also serves to reduce the risk and increase vendor flexibility. In this manner, a problem with the HBM or HBM buffer die or a change in the HBM standard will not impact the usefulness of the programmable logic. Further, if the HBM design is changed due to HBM vendor differences or the evolution of the HBM standard, the programmable logic need not be disturbed. This will save an immense amount of qualification time, especially since the HBM-to-PIC connection over the interposer interconnect lines 310 may be soft and may not involve a mask change to modify. This soft connection over the interconnect lines 310 may be implemented with bidirectional drivers at the ends of each interconnect line, which can be controlled to adjust which lines are connected.
  • FIG. 6 illustrates a top view of a portion of an example IC package (e.g., at the interposer level) utilizing SSI technology, in accordance with an example of the present disclosure. The portion of the IC package includes a first logic region 602 (comprising a first programmable IC die) coupled to a second logic region 608 (comprising a second programmable IC die 604 paired with an HBM buffer die 606), where the second logic region may be referred to as a logic region with an HBM interface. The HBM buffer die 606 is coupled to two HBM dies 610, 612. Although the programmable IC die 604 and the HBM buffer die 606 are independent, these dies may be diced from a wafer as a single logic region 608. The two dies 604, 606 in the second logic region 608 may be connected using a first set of interconnection lines 614 routed through the interposer 615. The interconnection lines 614 routed between the programmable IC die 604 and the HBM buffer die 606 may be the same type as the interconnect lines 208 used between the IC dies 206 in FIG. 2. For some examples, the HBM channel may entail 1440 interconnect signals at 500 megabits per second (Mbps) with 8 channels per device. Thus, there may be 16 programmable IC interconnect channels with 1440 signals between the logic region 602 and the logic region 608. The interconnection lines 616 between the HBM buffer die 606 and the HBM dies 610, 612 may use the HBM JEDEC standard on the interposer 204. For some examples, there may be 212 interconnection lines per HBM channel at 2 gigabits per second (Gbps) with 8 channels per HBM device.
  • The interposer interconnections on a suitable process (e.g., 65 nm or smaller) may be very compatible with HBM bandwidth and density. FIG. 7 shows the top level design of an example HBM buffer die 700, in accordance with an example of the present disclosure. The HBM buffer die 700 may be one example implementation of the HBM buffer die 606 in FIG. 6. The signal count and bandwidth coming from the HBM die 610, 612 are nicely balanced by the interconnection signal bandwidth to the programmable IC (e.g., an FPGA). The circuit may have a switch network 702 (e.g., an AXI switch network) between the HBM channels 704 and the programmable IC interconnect channels 706. The HBM design also allows the HBM placement and the programmable IC interconnect placement to be independent.
  • Each master unit (MU) 708 in FIG. 7 may be, for example, a 512-bit AXI bus running at 500 MHz, which may take up most of the 1440 signals in a programmable IC interconnect channel 706. The slave unit (SU) 710 in each HBM output may also be, for example, a 512-bit AXI bus operating at 500 MHz. A HBM application of 128 bits at 2 gigabits per second (Gbps) may result in an AXI 512-bit interface at 500 MHz.
  • With examples of the present disclosure, HBM memory or another suitable capability can be added to an existing qualified SSI technology logic circuit without changing the logic circuit (e.g., adding or removing blocks). The application interface and plug-in additions to the logic circuit (e.g., an HBM buffer and controller) may be designed on the same mask set as if these were a single die, with a standard scribe line separating the application plug-in portion of the logic circuit die and the interface die. The connection between the application plug-in and the interface die may be made over the scribe using interposer interconnections.
  • There are numerous advantages provided by the standalone interface approach, according to examples of the present disclosure. Since programmable IC dies may have multiple tapeouts (e.g., engineering samples and production), the interface die (e.g., the HBM buffer die) can be added to any tapeout including production with no additional mask cost. Introducing support for additional features (e.g., HBM support) to a programmable IC (e.g., an FPGA) need not involve designing a new programmable IC and the subsequent modeling and qualification. Since interposer interconnections are already supported and modeled, adding capabilities (e.g., HBM) need not entail any additional work from various groups at the IC package designer and/or manufacturer. It may be possible for only one group to design the interface die (e.g., the HBM buffer die) knowing the design guidelines (e.g., the HBM standard) and the pattern for the interconnection signals on the programmable IC. The design may also be very portable to an outside vendor. Future changes to the design (e.g., revisions to the HBM standard) need not impact programmable IC design or qualification. The standalone interface design may be applied to any logic circuit with interconnect support.
  • Example Operations for Fabricating an IC Package
  • FIG. 8 is a flow diagram of example operations 800 for fabricating an IC package, in accordance with an example of the present disclosure. The operations 800 may be performed, for example, by a system for fabricating the IC package, which may include a semiconductor processing chamber.
  • The operations 800 may begin, at block 802, by providing a mask for a programmable IC die paired with an interface die. The interface die is for coupling the programmable IC die to at least one fixed feature die. At block 804, the mask is used to generate a wafer having a plurality of the paired programmable IC and interface dies. At block 806, the wafer may be diced to detach a wafer section comprising one of the plurality of the paired programmable IC and interface dies. At block 808, the wafer section may be disposed above an interposer comprising a plurality of interconnection lines. A first set of the interconnection lines may be routed through the interposer for electrically connecting the paired programmable IC and interface dies in the wafer section. A second set of the interconnection lines may be routed through the interposer for electrically connecting the interface die and the fixed feature die.
  • According to some examples, the operations 800 may further entail disposing the fixed feature die above the interposer. For some examples, the operations may further involve disposing the interposer above a package substrate and/or encapsulating the fixed feature die, the wafer section, the interposer, and at least a portion of the package substrate to form the integrated circuit package. For some examples, a plurality of microbumps may be disposed above the interposer. In this case, the plurality of microbumps may electrically connect the interconnection lines routed through the interposer with circuits in the programmable IC die, the interface die, and the fixed feature die. For some examples, the interface die in the wafer section is compatible with a first pattern of the microbumps and the first set of interconnection lines for the programmable IC die and compatible with a second pattern of the microbumps and the second set of interconnection lines for the fixed feature die.
  • According to some examples, the operations 800 may further include forming a scribe line between the programmable IC die and the interface die in each of the paired programmable IC and interface dies.
  • According to some examples, the paired programmable IC and interface dies in the wafer section share the same wafer-level substrate.
  • According to some examples, the fixed feature die comprises an HBM die. In this case, the interface die may comprise an HBM buffer die. For some examples, the second set of interconnection lines is in accordance with the HBM JEDEC standard.
  • According to some examples, the fixed feature die comprises an application-specific integrated circuit (ASIC).
  • According to some examples, there are no electrical connections between the paired programmable IC and interface dies in the wafer section, other than through the interconnection lines routed through the interposer.
  • As used herein (including the claims that follow), a phrase referring to “at least one of” a list of items refers to any combination of those items, including single members. As an example, “at least one of: x, y, and z” is intended to cover: x, y, z, x-y, x-z, y-z, x-y-z, and any combination thereof (e.g., x-y-y and x-x-y-z).
  • While the foregoing is directed to examples of the present disclosure, other and further examples of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (12)

1. An integrated circuit (IC) package comprising:
a package substrate;
at least one interposer disposed above the package substrate and comprising a plurality of interconnection lines;
a programmable IC die disposed above the interposer;
a fixed feature die disposed above the interposer; and
an interface die disposed above the interposer and configured to couple the programmable IC die to the fixed feature die using a first set of interconnection lines routed through the interposer between the programmable IC die and the interface die and a second set of interconnection lines routed through the interposer between the interface die and the fixed feature die.
2. The package of claim 1, wherein the programmable IC die and the interface die share the same wafer-level substrate.
3. The package of claim 2, wherein the programmable IC die and the interface die are separated on the wafer-level substrate by a scribe line.
4. The package of claim 1, further comprising a plurality of microbumps electrically connecting the interconnection lines routed through the interposer with circuits in the programmable IC die, the interface die, and the fixed feature die.
5. The package of claim 4, wherein the interface die is compatible with a first pattern of the microbumps and the first set of interconnection lines for the programmable IC die and is compatible with a second pattern of the microbumps and the second set of interconnection lines for the fixed feature die.
6. The package of claim 1, wherein the programmable IC die comprises a field programmable gate array (FPGA) die, wherein the fixed feature die comprises a high bandwidth memory (HBM) die, and wherein the interface die comprises an HBM buffer die.
7. The package of claim 6, wherein the second set of interconnection lines is in accordance with the HBM JEDEC standard.
8. The package of claim 1, wherein the fixed feature die comprises an application-specific integrated circuit (ASIC).
9. The package of claim 1, wherein there are no electrical connections between the programmable IC die and the interface die, other than through the interconnection lines routed through the interposer.
10-18. (canceled)
19. A wafer comprising a plurality of logic regions, wherein each logic region comprises a programmable integrated circuit (IC) die paired with an interface die, the interface die for coupling the programmable IC die to a fixed feature die.
20. The wafer of claim 19, wherein the programmable IC die is separated from the interface die by a scribe line.
US15/237,384 2016-08-15 2016-08-15 Standalone interface for stacked silicon interconnect (SSI) technology integration Active US10784121B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US15/237,384 US10784121B2 (en) 2016-08-15 2016-08-15 Standalone interface for stacked silicon interconnect (SSI) technology integration
PCT/US2017/043096 WO2018034787A1 (en) 2016-08-15 2017-07-20 Standalone interface for stacked silicon interconnect (ssi) technology integration
JP2019508260A JP7084377B2 (en) 2016-08-15 2017-07-20 Stand-alone interface for integrated Silicon Interconnect (SSI) technology
KR1020197004856A KR102381158B1 (en) 2016-08-15 2017-07-20 Standalone interface for integrating stacked silicon interconnect (SSI) technology
CN201780050182.5A CN109564914B (en) 2016-08-15 2017-07-20 Independent interface for Stacked Silicon Interconnect (SSI) technology integration
EP17746595.2A EP3497722B1 (en) 2016-08-15 2017-07-20 Standalone interface for stacked silicon interconnect (ssi) technology integration

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/237,384 US10784121B2 (en) 2016-08-15 2016-08-15 Standalone interface for stacked silicon interconnect (SSI) technology integration

Publications (2)

Publication Number Publication Date
US20180047663A1 true US20180047663A1 (en) 2018-02-15
US10784121B2 US10784121B2 (en) 2020-09-22

Family

ID=61160397

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/237,384 Active US10784121B2 (en) 2016-08-15 2016-08-15 Standalone interface for stacked silicon interconnect (SSI) technology integration

Country Status (1)

Country Link
US (1) US10784121B2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190115269A1 (en) * 2017-03-08 2019-04-18 Mediatek Inc. Semiconductor package having a stiffener ring
US20190115293A1 (en) * 2018-12-12 2019-04-18 Intel Corporation Multiple ball grid array (bga) configurations for a single integrated circuit (ic) package
US20190227590A1 (en) * 2019-03-28 2019-07-25 Intel Corporation Techniques For Clock Signal Transmission In Integrated Circuits And Interposers
US20190319627A1 (en) * 2019-06-28 2019-10-17 Intel Corporation Distributed I/O Interfaces in Modularized Integrated Circuit Devices
WO2020005666A1 (en) * 2018-06-27 2020-01-02 Intel Corporation Microelectronic assemblies having interposers
US20200294182A1 (en) * 2019-03-15 2020-09-17 Intel Corporation On chip dense memory for temporal buffering
US10782759B1 (en) 2019-04-23 2020-09-22 Arbor Company, Lllp Systems and methods for integrating batteries with stacked integrated circuit die elements
US10802735B1 (en) 2019-04-23 2020-10-13 Arbor Company, Lllp Systems and methods for reconfiguring dual-function cell arrays
WO2020236379A1 (en) * 2019-05-21 2020-11-26 Arbor Company Lllp Systems and methods for integrating batteries with stacked integrated circuit die elements
CN112513827A (en) * 2018-07-27 2021-03-16 赛灵思公司 High bandwidth chip-to-chip interface using HBM physical interface
US11114394B2 (en) * 2019-08-09 2021-09-07 Intel Corporation Signal routing carrier
US11270947B2 (en) * 2019-11-27 2022-03-08 Intel Corporation Composite interposer structure and method of providing same
US11463524B2 (en) 2020-06-29 2022-10-04 Arbor Company, Lllp Mobile IoT edge device using 3D-die stacking re-configurable processor module with 5G processor-independent modem
US20220328454A1 (en) * 2019-10-18 2022-10-13 Samsung Electronics Co., Ltd. System-in-package module

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5724497A (en) * 1992-01-30 1998-03-03 A/N Inc. Programmable graphics processor having pixel to character conversion hardware for use in a video game system or the like
US6686768B2 (en) * 2001-07-05 2004-02-03 Alan Elbert Comer Electrically-programmable interconnect architecture for easily-configurable stacked circuit arrangements
US20060050488A1 (en) * 2004-09-03 2006-03-09 Staktel Group, L.P. High capacity thin module system and method
US7892885B2 (en) * 2007-10-30 2011-02-22 International Business Machines Corporation Techniques for modular chip fabrication
US8018065B2 (en) * 2008-02-28 2011-09-13 Atmel Corporation Wafer-level integrated circuit package with top and bottom side electrical connections
US8081527B1 (en) * 2009-05-08 2011-12-20 Juniper Networks, Inc. Per-bit de-skew mechanism for a memory interface controller
US8384417B2 (en) * 2008-09-10 2013-02-26 Qualcomm Incorporated Systems and methods utilizing redundancy in semiconductor chip interconnects
US20130141442A1 (en) * 2011-12-06 2013-06-06 John W. Brothers Method and apparatus for multi-chip processing
US20130159587A1 (en) * 2011-12-15 2013-06-20 Aaron Nygren Interconnect Redundancy for Multi-Interconnect Device
US20130200511A1 (en) * 2012-02-08 2013-08-08 Xilinx, Inc. Reducing stress in multi-die integrated circuit structures
US20130214432A1 (en) * 2012-02-17 2013-08-22 Xilinx, Inc. Stacked die assembly
US8546955B1 (en) * 2012-08-16 2013-10-01 Xilinx, Inc. Multi-die stack package
US20130292840A1 (en) * 2011-12-02 2013-11-07 Kenneth Shoemaker Stacked memory allowing variance in device interconnects
US8611159B1 (en) * 2010-11-18 2013-12-17 Xilinx, Inc. Memory write interface in an integrated circuit and method of providing same
US20130333921A1 (en) * 2012-06-19 2013-12-19 Xilinx, Inc. Oversized interposer
US20140042643A1 (en) * 2012-08-10 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer System and Method
US20140049932A1 (en) * 2012-08-16 2014-02-20 Xilinx, Inc. Flexible sized die for use in multi-die integrated circuit
US20140108891A1 (en) * 2010-01-27 2014-04-17 Fusion-Io, Inc. Managing non-volatile media
US20140177626A1 (en) * 2012-12-23 2014-06-26 Advanced Micro Devices, Inc. Die-stacked device with partitioned multi-hop network
US9099999B1 (en) * 2012-05-31 2015-08-04 Altera Corporation Adjustable drive strength input-output buffer circuitry
US20150347032A1 (en) * 2013-03-27 2015-12-03 Hitachi, Ltd. Dram having sdram interface and flash memory consolidated memory module
US20160098061A1 (en) * 2014-10-02 2016-04-07 Altera Corporation Scalable 2.5d interface architecture
US20160307365A1 (en) * 2015-04-15 2016-10-20 Mediatek Singapore Pte. Ltd. Optimizing shading process for mixed order-sensitive and order-insensitive shader operations
US20160379686A1 (en) * 2015-06-29 2016-12-29 Microsoft Technology Licensing, Llc Server systems with hardware accelerators including stacked memory
US20170062383A1 (en) * 2015-08-31 2017-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package Structures and Methods of Making the Same
US20170161942A1 (en) * 2015-12-02 2017-06-08 Samsung Electronics Co., Ltd. Method and device for processing graphics data in graphics processing unit
US20180024935A1 (en) * 2016-07-21 2018-01-25 Advanced Micro Devices, Inc. Data Block Sizing for Channels in a Multi-Channel High-Bandwidth Memory

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3724197A1 (en) 1987-07-22 1989-02-02 Merck Patent Gmbh PROCESS FOR REDUCING KETONES
US7587549B1 (en) * 2005-09-13 2009-09-08 Agere Systems Inc. Buffer management method and system with access grant based on queue score
US8417867B2 (en) 2010-11-17 2013-04-09 Xilinx, Inc. Multichip module for communications
US9064715B2 (en) 2010-12-09 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Networking packages based on interposers
US8539420B2 (en) 2011-07-05 2013-09-17 Xilinx, Inc. Method and apparatus for self-annealing multi-die interconnect redundancy control
CN104471708B (en) 2012-02-08 2017-05-24 吉林克斯公司 Stacked die assembly with multiple interposers
US9213866B1 (en) 2014-04-01 2015-12-15 Xilinx, Inc. Circuits for and methods of preventing unauthorized access in an integrated circuit
JP6507975B2 (en) * 2014-10-02 2019-05-08 日立金属株式会社 Semiconductor package circuit board and semiconductor package using the same

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5724497A (en) * 1992-01-30 1998-03-03 A/N Inc. Programmable graphics processor having pixel to character conversion hardware for use in a video game system or the like
US6686768B2 (en) * 2001-07-05 2004-02-03 Alan Elbert Comer Electrically-programmable interconnect architecture for easily-configurable stacked circuit arrangements
US20060050488A1 (en) * 2004-09-03 2006-03-09 Staktel Group, L.P. High capacity thin module system and method
US7892885B2 (en) * 2007-10-30 2011-02-22 International Business Machines Corporation Techniques for modular chip fabrication
US8018065B2 (en) * 2008-02-28 2011-09-13 Atmel Corporation Wafer-level integrated circuit package with top and bottom side electrical connections
US8384417B2 (en) * 2008-09-10 2013-02-26 Qualcomm Incorporated Systems and methods utilizing redundancy in semiconductor chip interconnects
US8081527B1 (en) * 2009-05-08 2011-12-20 Juniper Networks, Inc. Per-bit de-skew mechanism for a memory interface controller
US20140108891A1 (en) * 2010-01-27 2014-04-17 Fusion-Io, Inc. Managing non-volatile media
US8611159B1 (en) * 2010-11-18 2013-12-17 Xilinx, Inc. Memory write interface in an integrated circuit and method of providing same
US20130292840A1 (en) * 2011-12-02 2013-11-07 Kenneth Shoemaker Stacked memory allowing variance in device interconnects
US20130141442A1 (en) * 2011-12-06 2013-06-06 John W. Brothers Method and apparatus for multi-chip processing
US20130159587A1 (en) * 2011-12-15 2013-06-20 Aaron Nygren Interconnect Redundancy for Multi-Interconnect Device
US20130200511A1 (en) * 2012-02-08 2013-08-08 Xilinx, Inc. Reducing stress in multi-die integrated circuit structures
US20130214432A1 (en) * 2012-02-17 2013-08-22 Xilinx, Inc. Stacked die assembly
US9099999B1 (en) * 2012-05-31 2015-08-04 Altera Corporation Adjustable drive strength input-output buffer circuitry
US20130333921A1 (en) * 2012-06-19 2013-12-19 Xilinx, Inc. Oversized interposer
US20140042643A1 (en) * 2012-08-10 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer System and Method
US20140049932A1 (en) * 2012-08-16 2014-02-20 Xilinx, Inc. Flexible sized die for use in multi-die integrated circuit
US8546955B1 (en) * 2012-08-16 2013-10-01 Xilinx, Inc. Multi-die stack package
US20140177626A1 (en) * 2012-12-23 2014-06-26 Advanced Micro Devices, Inc. Die-stacked device with partitioned multi-hop network
US9065722B2 (en) * 2012-12-23 2015-06-23 Advanced Micro Devices, Inc. Die-stacked device with partitioned multi-hop network
US20150347032A1 (en) * 2013-03-27 2015-12-03 Hitachi, Ltd. Dram having sdram interface and flash memory consolidated memory module
US20160098061A1 (en) * 2014-10-02 2016-04-07 Altera Corporation Scalable 2.5d interface architecture
US20160307365A1 (en) * 2015-04-15 2016-10-20 Mediatek Singapore Pte. Ltd. Optimizing shading process for mixed order-sensitive and order-insensitive shader operations
US20160379686A1 (en) * 2015-06-29 2016-12-29 Microsoft Technology Licensing, Llc Server systems with hardware accelerators including stacked memory
US20170062383A1 (en) * 2015-08-31 2017-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package Structures and Methods of Making the Same
US20170161942A1 (en) * 2015-12-02 2017-06-08 Samsung Electronics Co., Ltd. Method and device for processing graphics data in graphics processing unit
US20180024935A1 (en) * 2016-07-21 2018-01-25 Advanced Micro Devices, Inc. Data Block Sizing for Channels in a Multi-Channel High-Bandwidth Memory

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11728232B2 (en) 2017-03-08 2023-08-15 Mediatek Inc. Semiconductor package having a stiffener ring
US20190115269A1 (en) * 2017-03-08 2019-04-18 Mediatek Inc. Semiconductor package having a stiffener ring
US11302592B2 (en) * 2017-03-08 2022-04-12 Mediatek Inc. Semiconductor package having a stiffener ring
WO2020005666A1 (en) * 2018-06-27 2020-01-02 Intel Corporation Microelectronic assemblies having interposers
US11462480B2 (en) 2018-06-27 2022-10-04 Intel Corporation Microelectronic assemblies having interposers
JP2021531594A (en) * 2018-07-27 2021-11-18 ザイリンクス インコーポレイテッドXilinx Incorporated High bandwidth chip-to-chip interface using HBM physical interface
JP7358452B2 (en) 2018-07-27 2023-10-10 ザイリンクス インコーポレイテッド High bandwidth chip-to-chip interface using HBM physical interface
CN112513827A (en) * 2018-07-27 2021-03-16 赛灵思公司 High bandwidth chip-to-chip interface using HBM physical interface
US20190115293A1 (en) * 2018-12-12 2019-04-18 Intel Corporation Multiple ball grid array (bga) configurations for a single integrated circuit (ic) package
US20200294182A1 (en) * 2019-03-15 2020-09-17 Intel Corporation On chip dense memory for temporal buffering
US20190227590A1 (en) * 2019-03-28 2019-07-25 Intel Corporation Techniques For Clock Signal Transmission In Integrated Circuits And Interposers
US11500412B2 (en) * 2019-03-28 2022-11-15 Intel Corporation Techniques for clock signal transmission in integrated circuits and interposers
US10802735B1 (en) 2019-04-23 2020-10-13 Arbor Company, Lllp Systems and methods for reconfiguring dual-function cell arrays
US11435800B2 (en) 2019-04-23 2022-09-06 Arbor Company, Lllp Systems and methods for reconfiguring dual-function cell arrays
US11061455B2 (en) 2019-04-23 2021-07-13 Arbor Company, Lllp Systems and methods for integrating batteries with stacked integrated circuit die elements
US11797067B2 (en) 2019-04-23 2023-10-24 Arbor Company, Lllp Systems and methods for reconfiguring dual-function cell arrays
US10969977B2 (en) 2019-04-23 2021-04-06 Arbor Company, Lllp Systems and methods for reconfiguring dual function cell arrays
US10782759B1 (en) 2019-04-23 2020-09-22 Arbor Company, Lllp Systems and methods for integrating batteries with stacked integrated circuit die elements
CN114402271A (en) * 2019-05-21 2022-04-26 乔木有限责任合伙公司 System and method for integrating a battery with stacked integrated circuit die elements
JP2022531983A (en) * 2019-05-21 2022-07-12 アーバー・カンパニー・エルエルエルピイ Stacked Integrated Circuits Systems and Methods for Integrating Die Elements and Batteries
WO2020236379A1 (en) * 2019-05-21 2020-11-26 Arbor Company Lllp Systems and methods for integrating batteries with stacked integrated circuit die elements
US10879903B2 (en) * 2019-06-28 2020-12-29 Intel Corporation Distributed I/O interfaces in modularized integrated circuit devices
US20190319627A1 (en) * 2019-06-28 2019-10-17 Intel Corporation Distributed I/O Interfaces in Modularized Integrated Circuit Devices
US11114394B2 (en) * 2019-08-09 2021-09-07 Intel Corporation Signal routing carrier
US20220328454A1 (en) * 2019-10-18 2022-10-13 Samsung Electronics Co., Ltd. System-in-package module
US11837577B2 (en) * 2019-10-18 2023-12-05 Samsung Electronics Co., Ltd. System-in-package module
US11652059B2 (en) 2019-11-27 2023-05-16 Intel Corporation Composite interposer structure and method of providing same
US11270947B2 (en) * 2019-11-27 2022-03-08 Intel Corporation Composite interposer structure and method of providing same
US11463524B2 (en) 2020-06-29 2022-10-04 Arbor Company, Lllp Mobile IoT edge device using 3D-die stacking re-configurable processor module with 5G processor-independent modem
US11895191B2 (en) 2020-06-29 2024-02-06 Arbor Company, Lllp Mobile IoT edge device using 3D-die stacking re-configurable processor module with 5G processor-independent modem

Also Published As

Publication number Publication date
US10784121B2 (en) 2020-09-22

Similar Documents

Publication Publication Date Title
US10784121B2 (en) Standalone interface for stacked silicon interconnect (SSI) technology integration
US9911465B1 (en) High bandwidth memory (HBM) bandwidth aggregation switch
EP3497722B1 (en) Standalone interface for stacked silicon interconnect (ssi) technology integration
EP3472861B1 (en) Heterogeneous ball pattern package
JP5749854B2 (en) Interposer with inductor
US10916516B2 (en) High bandwidth memory (HBM) bandwidth aggregation switch
EP2885813B1 (en) Flexible sized die for use in multi-die integrated circuit
US11670630B2 (en) Multi-chip structure including a memory die stacked on die having programmable integrated circuit
KR102385763B1 (en) Stacked Columnar Integrated Circuits
US10032682B1 (en) Multi-die wafer-level test and assembly without comprehensive individual die singulation
US9780040B1 (en) Integrated circuit package substrates having a common die dependent region and methods for designing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: XILINX, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CAMAROTA, RAFAEL C.;REEL/FRAME:039438/0265

Effective date: 20160815

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4