US20150143307A1 - Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design - Google Patents

Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design Download PDF

Info

Publication number
US20150143307A1
US20150143307A1 US14/196,089 US201414196089A US2015143307A1 US 20150143307 A1 US20150143307 A1 US 20150143307A1 US 201414196089 A US201414196089 A US 201414196089A US 2015143307 A1 US2015143307 A1 US 2015143307A1
Authority
US
United States
Prior art keywords
flop
flip
pipeline
design
identified
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/196,089
Inventor
Solaiman Rahim
Mohammad H. Movahed-Ezazi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Atrenta Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atrenta Inc filed Critical Atrenta Inc
Priority to US14/196,089 priority Critical patent/US20150143307A1/en
Assigned to ATRENTA, INC. reassignment ATRENTA, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOVAHED-EZAZI, MOHAMMAD H., RAHIM, SOLAIMAN
Publication of US20150143307A1 publication Critical patent/US20150143307A1/en
Assigned to ATRENTA INC. reassignment ATRENTA INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: SILICON VALLEY BANK
Assigned to SYNOPSYS, INC. reassignment SYNOPSYS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATRENTA INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • G06F17/505
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/04Clock gating

Definitions

  • the present invention relates to the field of circuit design, synthesis and verification.
  • it relates to very large integrated circuit design synthesis and verification, and even more particularly it relates to a system, method and computer program product for synthesis and verification of clock gating on system on chip integrated circuits.
  • SOC system on chip
  • IC integrated circuit
  • Typical clock gating can be split into two classes, combinational clock gating and sequential clock gating.
  • Combinational clock gating is the process of computing an explicit enable for a flop and use this enable to gate the clock to the flop. This requires only a combinational analysis of the design, leading to synthesis of a gating circuit for the clock to the flop. Synthesis tools easily perform any required combinational clock gating functionality to reduce the power of an SOC during synthesis.
  • sequential clock gating is the process of computing an implicit enable for a flop. Since this requires a sequential analysis of the design, synthesis tools are not usually equipped to generate and implement such sequential clock gating circuits effectively.
  • OCD observability don't care
  • STC stability
  • FIG. 1 is a typical circuit 100 using flip-flops (flops), 111 to 114 . These flops are designated FF1 111 , FF2 112 , FF3 113 and FF4 114 . Three of the flops 111 to 113 have respective enable signals EN1 101 , EN2 102 and EN3 103 . The outputs of the three enabled flops 111 , 112 and 113 are multiplexed through a multiplexer 110 to feed FF4 114 .
  • existing methods derive an enable, which is a delay of (EN1
  • existing methods traverse the fan-in of FF4 114 until reaching the three flops, FF1 111 , FF2 112 and FF3 113 and extract the STC condition of these flops; that is the states of enables EN1 101 , EN2 102 and EN3 103 . It then performs an OR of these enables EN1 101 , EN2 102 and EN3 103 and delays them by a clock cycle to compute the final STC condition, that is, the enable of FF4 114 .
  • the resultant circuit 200 diagram is shown in FIG. 2 .
  • the enables EN1 101 , EN2 102 and EN3 103 of FIG. 2 are fed into a three input OR gate 201 .
  • the output of OR gate 201 is delayed by a single clock cycle using the added FF, FF5 202 .
  • the output of FF5 202 forms the enable EN4 203 , that enables the clock of the flop FF4 114 .
  • FIG. 3 is an exemplary pipeline design 300 where flops F4-1 310 , F4-1 311 , F4-3 312 and F4-4 313 for a pipeline logic that is enabled by the enable En4 301 .
  • the flop F4-1 310 has a synchronous reset connected as a primary input reset.
  • the flop FF3 303 is shown as a flop without an enable associated with it and the flop FF1, 111 is enabled by the enable EN1 101 and the flop FF2 112 is enabled by the enable EN2 102 as shown in FIG. 3 pipeline design 300 .
  • the power dissipation of this pipeline design is a factor of the enable EN4 301 which is used to enable the clock 350 of the pipeline stages. If this enable is set to active, or a value of ⁇ 1>, for a long period of time, that is, active for a large number of clock cycles at a time, the efficiency of clock gating using EN4 301 is minimum and such clock gating will not decrease the active power of FF4-1 310 . Since the clock gating has to be sequentially delayed for the pipeline stages FF4-1 310 to FF4-4 313 the probability of gating based on the pipeline flops is limited and the current methods of deriving STC are not sufficient to compute the STC of the pipeline stage.
  • the fan-in of the first flop FF4-1 310 of the pipeline has to be traversed:
  • the fan-in traversal within the circuit will encounter a primary reset input 304 , a flop FF3 303 which is a flop without a reset and two flops FF1 111 and FF2 112 with reset.
  • a primary reset input 304 a flop FF3 303 which is a flop without a reset and two flops FF1 111 and FF2 112 with reset.
  • the condition of the flops FF1 111 and FF2 112 have been covered in prior art, the other two conditions, namely having a primary input (PI) and having a flop without enable in the fan-in traversal path, are not covered by the prior art STC computation methods. Due to these limitations the STC of flop FF4-1 cannot be computed using the prior art methods.
  • a computation, design synthesis method implemented on a computing system begins by identifying a first selected flip flop (flop) in the design for clock gating and then traversing a fan-in path of the flop to a termination in a component that is one of a primary input, a flop with enable and a flop without enable.
  • a stability condition (STC condition) of the first selected flip-flop (flop) in the design is computed for each of the terminations reached using the XOR based computation, and computed STC conditions are combined to generate a consolidated STC condition for the first flop.
  • An implementation for the consolidated STC condition is generated such that the consolidated STC condition in semiconductor design generates the necessary clock gating signal for the identified first flop.
  • the STC condition for the fan-in path of the first selected flop ending in the component that is the primary input to the semiconductor design is generated by first delaying the primary input by a clock cycle to generate a delayed primary input and then doing a XOR function of the primary input with the delayed primary input:
  • the STC condition for the fan-in path of the first selected flop ending in the components that are flops with enables is by first generating delayed enables, where each of the enables are delayed by a clock cycle and then generating an OR function of all the delayed enables.
  • the STC condition for the fan-in path of the first selected flop ending in the component that is the flop without enable is by first generating a XOR function of the input of the flop with the output of the flop and then delaying the XOR output by a clock cycle.
  • the STC condition for clock gating of the first selected flop may be generated by computing an OR function of the STC conditions of the individual terminating components of the fan-in paths of the first selected flop.
  • a circuit implementation for generating a pipeline clock gating (pipeline gating) using a stability condition (STC condition) for a pipeline in a semiconductor design with an active enable.
  • the implementation comprises computing a first STC condition of a first flip-flop (flop) of the pipeline in the semiconductor design with the enable in an enabled state; generating a second STC condition, called New_STC condition for the first flop of the pipeline in the semiconductor design by: generating an OR function of the first STC condition and an inversion of the New_STC condition; delaying the result produced by a clock cycle using a second flop; and computing the New-STC condition by generating an AND function of the delayed output of the second flop with the active enable.
  • FIG. 1 is a gate level diagram of an original block level clocked design using flip-flops FF1 to FF4 (Prior art).
  • FIG. 2 is a modified gate level diagram of the circuit of FIG. 1 with added gate clocking for the flip-flop (Prior art).
  • FIG. 3 is a pipeline logic design where the flops of the pipeline have a single enable.
  • FIG. 4 is modified pipeline logic 400 of FIG. 3 with added gate clocking using STC condition including reset and flop with no enable in the design.
  • FIG. 5 is modified pipeline logic 500 of FIG. 3 that has been modified to take care of gated flops in the pipeline with an active enable.
  • FIG. 6 is a flowchart 600 for synthesizing a clock gating circuit for pipelined designs using STC according to an embodiment.
  • FIG. 7 is a flowchart 700 for implementing a delay in a clock gating circuit for pipeline flip-flops.
  • the circuit design process requires ways to reduce the power consumption of large integrated circuits (ICs) and system on chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops (flops) associated with specific functional blocks within the IC.
  • ICs integrated circuits
  • clock gating thereby enabling or disabling flip-flops (flops) associated with specific functional blocks within the IC.
  • changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design.
  • a sequential clock gating method uses an exclusive OR (XOR) technique to overcome the deficiencies of the prior art methods.
  • XOR exclusive OR
  • FIG. 3 as explained above is an exemplary and non-limiting pipeline circuit 300 where flops F4-1 310 , F4-1 311 , F4-3 312 and F4-4 313 for a pipeline logic that is enabled by the enable En4 301 .
  • the flop F4-1 310 has a synchronous reset connected as a primary input reset.
  • the flop FF3 303 is shown as a flop without an enable associated with it and the flop FF1 111 is enabled by the enable EN1 101 and the flop FF2 112 is enabled by the enable EN2 102 as shown in the fan-in traversal path of the flop FF4-1 310 .
  • FIG. 4 shows the modified pipeline circuit 400 of the basic pipeline design 300 of FIG. 3 , modified to include the clock gating as per the disclosed an embodiment of the method using the XOR technique.
  • STC (PI) [ ⁇ delay (PI) ⁇ XOR PI].
  • the implementation of the STC of PI is shown in FIG. 4 using the flop FF5 421 and the XOR gate XOR2 411 .
  • the flop 421 is used to generate a delayed reset 304 and then providing the output of the flop 421 as one of the inputs to XOR gate XOR2 411 .
  • the second input to the XOR2 411 is the original reset 304 input.
  • the output provides the STC condition of the reset (where the reset 304 input is a PI).
  • the STC of a flop without enable is computed by generating the XOR of the input of the flop with the output of the flop.
  • the Verilog implementation of this STC condition is:
  • the STC of the flop with no enable is implemented by the Flop FF3 303 and the XOR gate, XOR1 410 .
  • the two inputs to the XOR1 410 are the input d and output q of the flop FF3 303
  • the output of the XOR1 410 provides the STC condition of the flop FF3 303 which has no enable.
  • the STC of the flop FF4-1 310 is generated using the XOR technique.
  • the generated STC conditions include those relating to the PI and flop without reset.
  • Combining the STC conditions of the terminations of the fan-in the STC of FF4-1 310 is written as:
  • the STC FF4-1 450 can be directly used as enable for the flop FF4-1.
  • the first delay using the flop FF 6 420 is to cater for the delay of the enables EN1 and EN2 to synchronize the sequential depth of FF4-1 with the enables of the two flops FF1 and FF2.
  • the reset itself is in the same sequential depth as FF4-1.
  • the enable EN4 301 is an active enable for the gated flops FF4-1 310 to FF4-4 313 of the pipeline then the STC FF4-1 generated cannot be directly applied as gated clock 450 to FF4-1 301 as it will change the functionality of the circuit.
  • This condition is shown in exemplary and non-limiting FIG. 5 which is modified to take care of the gated pipeline condition of the circuit. In order to maintain the functionality of the circuit the following modification has to be done.
  • This modification is shown in FIG. 5 using the components OR4 501 , flop FF7 502 , the inverter I 503 with the AND5 302 having an active enable EN4 301 .
  • the modified circuit 500 of FIG. 5 is now enabled to produce the signal ‘New_STC_FF4-1’ that is the signal New_enable_FF4-1 550 for the pipeline stage with all the limitations taken into consideration.
  • pipeline stages may comprise a series of flops FF4-1 310 , FF4-2 311 , FF4-3 312 and FF4-4 313 .
  • Some flops of the pipeline being interconnected through pure logic circuits as is the case of FF4-1 310 to FF4-2 311 through logic 320 and FF4-2 311 to FF4-3 312 through logic 321 .
  • Some other flops being directly connected as in the case of FF4-3 312 to FF4-4 313 .
  • the existence of the pure logic between the pipeline stages do not typically impact the operation of the pipeline.
  • FIG. 6 is an exemplary and non-limiting flowchart 600 for generating the clock gating for a pipeline circuit, which includes flops with and without enables, PI and enabled pipelined stages.
  • the exemplary method is as follows:
  • STC of PI PI XOR (delay PI).
  • an STC condition for the flop is generated by delaying an XORed output of the input of flop 2 with the output of the flop 2.
  • STC of flop no enable delay (flop_d XOR flop_q) (S 612 )
  • a differential power check is done for the original flop, flop 1, with the clock gating generated using the combined STC condition, to see if there is sufficient improvement in power saving to warrant retaining the generated clock gating. (S 614 )
  • FIG. 7 is an exemplary and non-limiting block diagram 700 for generating clock gating for each of the pipeline flops ff4-2 311 to FF4-4 313 of the pipeline.
  • the generation of the clock gating signal is accomplished by providing each of the pipelined flops with a new enable 750 for flop FF4-2 311 , enable 751 for flop FF4-3 312 and enable 752 for flop FF4-4 313 , by delaying the STC condition of the previous stage and doing an AND function with the delayed STC condition generated and the enable EN4 302 .
  • the gated clock, enable 750 for FF4-2 311 can be written in Verilog as:
  • the delay element flop FF8 701 , with AND6 710 generates the new enable 750 for FF4-2 311 .
  • the delay element flop FF9 702 with AND7 711 generate the new enable 751 for FF4-3 312 and the delay element flop FF10 703 with the AND8 712 generate the new enable 752 for flop FF4-4 313 .
  • These new enables for the pipeline flops FF4-2 311 , FF4-3 312 and FF4-4 313 generated can provide the additional power dissipation improvements by clock gating of the pipeline flops.
  • the effectiveness of these additional gating circuits of FIG. 7 have also to be verified by differential power analysis to make sure that they provide reasonable power saving to warrant the additional circuits.
  • a new technique called the XOR technique has been described that enable the computation and synthesis of the STC condition for any flop in an IC design taking into account all the different logic conditions in the fan-in of that flop.
  • This generated STC condition is used to modify the enable of the specific flop and other flops in a pipeline if the flop considered is the first of the pipeline stage without impacting the functionality of the design.
  • the STC condition generated using the XOR technique enable synthesis and verification of clock gating of the flops of a design, for power reduction, while retaining the functionality of the design through all fan-in conditions.
  • the computation and synthesis of the STC condition using the XOR technique lends itself to implementation using a computer system having at least a processor and at least sufficient storage capability for a suitable operating system, the design software and the synthesis software.
  • the invention may be implemented as part of an integrated circuit design, system on chip design, processor design, FPGA design and other semiconductor designs including a combination of the above.
  • the invention may also be implemented as a synthesis and verification program to generate implement and verify the necessary design modifications of a basic design to achieve power reduction in operation by gate clocking.
  • the invention may be implemented as a software program stored in a non-tangible memory module, the instructions of which to be executed on a processor, a combination of integrated software and hardware or as emulation on hardware, including but not limited to a computer aided design (CAD) system.
  • CAD computer aided design

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

The circuit design process requires ways to reduce the power consumption of large integrated circuits and system-on-chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops associated with specific functional blocks within the circuit. However, such changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design. According to an embodiment a sequential clock gating method uses an exclusive-OR technique to overcome the deficiencies of the prior art methods.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This is a continuation of pending U.S. patent application Ser. No. 14/083,109, filed Nov. 18, 2013, which is a divisional of U.S. patent application Ser. No. 13/766,017, filed Feb. 13, 2013, now U.S. Pat. No. 8,656,326.
  • TECHNICAL FIELD
  • The present invention relates to the field of circuit design, synthesis and verification. In particular it relates to very large integrated circuit design synthesis and verification, and even more particularly it relates to a system, method and computer program product for synthesis and verification of clock gating on system on chip integrated circuits.
  • BACKGROUND ART
  • Power usage of system on chip (SOC) integrated circuit (IC) is a major concern during its design. The increasing integration of functions into the SOC with higher and higher speeds of operation has created a need find methods for reducing power consumption. One such method is to selectively switch-off unused portions or functional blocks of the SOC during operation. Such power reduction in SOCs during operation is achieved by performing clock gating, where clock signals (clocks) provided to the Flip-Flops (flops) within the functional block is switched-off thereby disabling the section of the circuit that is not being used.
  • Typical clock gating can be split into two classes, combinational clock gating and sequential clock gating. Combinational clock gating is the process of computing an explicit enable for a flop and use this enable to gate the clock to the flop. This requires only a combinational analysis of the design, leading to synthesis of a gating circuit for the clock to the flop. Synthesis tools easily perform any required combinational clock gating functionality to reduce the power of an SOC during synthesis.
  • On the other hand sequential clock gating is the process of computing an implicit enable for a flop. Since this requires a sequential analysis of the design, synthesis tools are not usually equipped to generate and implement such sequential clock gating circuits effectively.
  • Several specialized techniques to perform sequential clock gating have been published. The most common published techniques are to derive the observability don't care (OCD) condition, that is, the condition for which a flop is not observable; and stability (STC) condition, that is, the condition for which the input value of the flop does not change. It is necessary to identify OCD and STC conditions and use these conditions as an implicit enable to gate the flop.
  • FIG. 1 is a typical circuit 100 using flip-flops (flops), 111 to 114. These flops are designated FF1 111, FF2 112, FF3 113 and FF4 114. Three of the flops 111 to 113 have respective enable signals EN1 101, EN2 102 and EN3 103. The outputs of the three enabled flops 111, 112 and 113 are multiplexed through a multiplexer 110 to feed FF4 114.
  • In the above case, existing methods derive an enable, which is a delay of (EN1||EN2||EN3). To find this enable, existing methods traverse the fan-in of FF4 114 until reaching the three flops, FF1 111, FF2 112 and FF3 113 and extract the STC condition of these flops; that is the states of enables EN1 101, EN2 102 and EN3 103. It then performs an OR of these enables EN1 101, EN2 102 and EN3 103 and delays them by a clock cycle to compute the final STC condition, that is, the enable of FF4 114.
  • The resultant circuit 200 diagram is shown in FIG. 2. The enables EN1 101, EN2 102 and EN3 103 of FIG. 2 are fed into a three input OR gate 201. The output of OR gate 201 is delayed by a single clock cycle using the added FF, FF5 202. The output of FF5 202 forms the enable EN4 203, that enables the clock of the flop FF4 114.
  • However the current methods to compute STC suffer from several limitations. They are not able to identify STC conditions for all cases, they also do not take into account the activity of the net, and finally none of the prior art methods can provide a solution to cover synthesis of clock gating in the case of an existing gated pipeline design. These limitations of the current STC computation are detailed below using the FIG. 3.
  • FIG. 3 is an exemplary pipeline design 300 where flops F4-1 310, F4-1 311, F4-3 312 and F4-4 313 for a pipeline logic that is enabled by the enable En4 301. The flop F4-1 310 has a synchronous reset connected as a primary input reset. The flop FF3 303 is shown as a flop without an enable associated with it and the flop FF1, 111 is enabled by the enable EN1 101 and the flop FF2 112 is enabled by the enable EN2 102 as shown in FIG. 3 pipeline design 300.
  • The power dissipation of this pipeline design is a factor of the enable EN4 301 which is used to enable the clock 350 of the pipeline stages. If this enable is set to active, or a value of <1>, for a long period of time, that is, active for a large number of clock cycles at a time, the efficiency of clock gating using EN4 301 is minimum and such clock gating will not decrease the active power of FF4-1 310. Since the clock gating has to be sequentially delayed for the pipeline stages FF4-1 310 to FF4-4 313 the probability of gating based on the pipeline flops is limited and the current methods of deriving STC are not sufficient to compute the STC of the pipeline stage. Further in order to compute the STC Of flop FF4-1 310, the fan-in of the first flop FF4-1 310 of the pipeline has to be traversed: The fan-in traversal within the circuit will encounter a primary reset input 304, a flop FF3 303 which is a flop without a reset and two flops FF1 111 and FF2 112 with reset. Though the condition of the flops FF1 111 and FF2 112 have been covered in prior art, the other two conditions, namely having a primary input (PI) and having a flop without enable in the fan-in traversal path, are not covered by the prior art STC computation methods. Due to these limitations the STC of flop FF4-1 cannot be computed using the prior art methods.
  • It is hence necessary and useful to find a solution that can provide full clock gating synthesis and verification coverage for a gated design including gated pipeline designs.
  • SUMMARY DISCLOSURE
  • A computation, design synthesis method implemented on a computing system is provided. The method begins by identifying a first selected flip flop (flop) in the design for clock gating and then traversing a fan-in path of the flop to a termination in a component that is one of a primary input, a flop with enable and a flop without enable. Next, a stability condition (STC condition) of the first selected flip-flop (flop) in the design is computed for each of the terminations reached using the XOR based computation, and computed STC conditions are combined to generate a consolidated STC condition for the first flop. An implementation for the consolidated STC condition is generated such that the consolidated STC condition in semiconductor design generates the necessary clock gating signal for the identified first flop.
  • The STC condition for the fan-in path of the first selected flop ending in the component that is the primary input to the semiconductor design is generated by first delaying the primary input by a clock cycle to generate a delayed primary input and then doing a XOR function of the primary input with the delayed primary input: The STC condition for the fan-in path of the first selected flop ending in the components that are flops with enables is by first generating delayed enables, where each of the enables are delayed by a clock cycle and then generating an OR function of all the delayed enables. The STC condition for the fan-in path of the first selected flop ending in the component that is the flop without enable is by first generating a XOR function of the input of the flop with the output of the flop and then delaying the XOR output by a clock cycle. The STC condition for clock gating of the first selected flop may be generated by computing an OR function of the STC conditions of the individual terminating components of the fan-in paths of the first selected flop.
  • A circuit implementation is provided for generating a pipeline clock gating (pipeline gating) using a stability condition (STC condition) for a pipeline in a semiconductor design with an active enable. The implementation comprises computing a first STC condition of a first flip-flop (flop) of the pipeline in the semiconductor design with the enable in an enabled state; generating a second STC condition, called New_STC condition for the first flop of the pipeline in the semiconductor design by: generating an OR function of the first STC condition and an inversion of the New_STC condition; delaying the result produced by a clock cycle using a second flop; and computing the New-STC condition by generating an AND function of the delayed output of the second flop with the active enable.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a gate level diagram of an original block level clocked design using flip-flops FF1 to FF4 (Prior art).
  • FIG. 2 is a modified gate level diagram of the circuit of FIG. 1 with added gate clocking for the flip-flop (Prior art).
  • FIG. 3 is a pipeline logic design where the flops of the pipeline have a single enable.
  • FIG. 4 is modified pipeline logic 400 of FIG. 3 with added gate clocking using STC condition including reset and flop with no enable in the design.
  • FIG. 5 is modified pipeline logic 500 of FIG. 3 that has been modified to take care of gated flops in the pipeline with an active enable.
  • FIG. 6 is a flowchart 600 for synthesizing a clock gating circuit for pipelined designs using STC according to an embodiment.
  • FIG. 7 is a flowchart 700 for implementing a delay in a clock gating circuit for pipeline flip-flops.
  • DETAILED DESCRIPTION
  • The circuit design process requires ways to reduce the power consumption of large integrated circuits (ICs) and system on chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops (flops) associated with specific functional blocks within the IC. However, such changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design. According to an embodiment a sequential clock gating method uses an exclusive OR (XOR) technique to overcome the deficiencies of the prior art methods.
  • FIG. 3 as explained above is an exemplary and non-limiting pipeline circuit 300 where flops F4-1 310, F4-1 311, F4-3 312 and F4-4 313 for a pipeline logic that is enabled by the enable En4 301. The flop F4-1 310 has a synchronous reset connected as a primary input reset. The flop FF3 303 is shown as a flop without an enable associated with it and the flop FF1 111 is enabled by the enable EN1 101 and the flop FF2 112 is enabled by the enable EN2 102 as shown in the fan-in traversal path of the flop FF4-1 310.
  • According to an embodiment a method described herein overcomes these limitations of the prior art by using a XOR technique. Exemplary and non-limiting FIG. 4 shows the modified pipeline circuit 400 of the basic pipeline design 300 of FIG. 3, modified to include the clock gating as per the disclosed an embodiment of the method using the XOR technique.
  • The STC of a PI is derived by delaying the PI by one cycle and generating the XOR of the delayed PI with the original PI. Hence STC (PI)=[{delay (PI)} XOR PI]. In Verilog STC (PI)=[{delay (PI)} XOR PI] can be written as:
      • Reg delay;
      • always (@posedge clk) delay_PI<=PI;
      • wire stc_PI=delay_PI ̂ PI;
  • The implementation of the STC of PI is shown in FIG. 4 using the flop FF5 421 and the XOR gate XOR2 411. The flop 421 is used to generate a delayed reset 304 and then providing the output of the flop 421 as one of the inputs to XOR gate XOR2 411. The second input to the XOR2 411 is the original reset 304 input. The output provides the STC condition of the reset (where the reset 304 input is a PI).
  • The STC of a flop without enable is computed by generating the XOR of the input of the flop with the output of the flop. The Verilog implementation of this STC condition is:
      • wire stc_flopnoenable=(flopnoenable d̂flopnoenable_q);
        or if the flop input is a bus of n+1 width indicated as [0:n] then
      • bus stc_flopnoenable [0:n]=(flopnoenable_d[0:n]̂flopnoenable_q[0:n]);
  • In FIG. 4 the STC of the flop with no enable is implemented by the Flop FF3 303 and the XOR gate, XOR1 410. The two inputs to the XOR1 410 are the input d and output q of the flop FF3 303 The output of the XOR1 410 provides the STC condition of the flop FF3 303 which has no enable.
  • Considering the pipeline design 300 of FIG. 3, the STC of the flop FF4-1 310 is generated using the XOR technique. The generated STC conditions include those relating to the PI and flop without reset. Combining the STC conditions of the terminations of the fan-in the STC of FF4-1 310 is written as:
      • STC_FF4-1=delay (Enable 1 OR enable 2
      • OR (FF3-d XOR FF3_q))
      • OR (reset XOR delay reset)
  • That design when written in Verilog is:
      • STC_FF4-1=delay(EN1||EN2
      • ||(FF3_d̂FF3-q))
      • ||(reset̂delay_reset);
  • In the case of the pipeline design 300 of FIG. 3 if the enable EN4 301 is in the on state, that is the enable is inactive with an input of <1> as shown in FIG. 4, the STC FF4-1 450 can be directly used as enable for the flop FF4-1. The first delay using the flop FF6 420 is to cater for the delay of the enables EN1 and EN2 to synchronize the sequential depth of FF4-1 with the enables of the two flops FF1 and FF2. The reset itself is in the same sequential depth as FF4-1.
  • If the enable EN4 301 is an active enable for the gated flops FF4-1 310 to FF4-4 313 of the pipeline then the STC FF4-1 generated cannot be directly applied as gated clock 450 to FF4-1 301 as it will change the functionality of the circuit. This condition is shown in exemplary and non-limiting FIG. 5 which is modified to take care of the gated pipeline condition of the circuit. In order to maintain the functionality of the circuit the following modification has to be done.
  • The effect of the enable or gating is introduced into the STC_FF4-1 as follows to generate New_STC_FF4-1:
      • Delay1<=INVERT (enable EN4 AND delay1)
      • OR STC FF4-1;
      • New_STC FF4-1=Delay1 AND enable EN4
  • This can be generated in Verilog as:
      • Reg delay1;
      • Always@posedge clk;
      • Delay1<=!(enableEN4 and Delay1)||STC_FF4-1;
      • Wire New_STC_FF4-1=Delay1&& EnableEN4;
        (Note: here the initial state of the delay1=<1>)
        Signal Wire New_STC_FF4-1 is the now the enable for FF4-1 called New_enable FF4-1 550.
  • This modification is shown in FIG. 5 using the components OR4 501, flop FF7 502, the inverter I 503 with the AND5 302 having an active enable EN4 301. The modified circuit 500 of FIG. 5 is now enabled to produce the signal ‘New_STC_FF4-1’ that is the signal New_enable_FF4-1 550 for the pipeline stage with all the limitations taken into consideration.
  • It should be understood that, as shown in FIG. 5, pipeline stages may comprise a series of flops FF4-1 310, FF4-2 311, FF4-3 312 and FF4-4 313. Some flops of the pipeline being interconnected through pure logic circuits as is the case of FF4-1 310 to FF4-2 311 through logic 320 and FF4-2 311 to FF4-3 312 through logic 321. Some other flops being directly connected as in the case of FF4-3 312 to FF4-4 313. The existence of the pure logic between the pipeline stages do not typically impact the operation of the pipeline.
  • Power savings of any STC gate clocking where the inputs change often, that is if the activity level is high due to input changes or enable changes, will be limited. Hence before establishing a clock gating scheme the activity level of the STC condition has to be evaluated. In order to evaluate if the clock gating is good, the activity level of the STC condition needs to be evaluated. An activity level threshold, for example activity over 50%, negates the power saving effect of clock gating.
  • FIG. 6 is an exemplary and non-limiting flowchart 600 for generating the clock gating for a pipeline circuit, which includes flops with and without enables, PI and enabled pipelined stages. The exemplary method is as follows:
  • Within the IC choose a flop, say flop 1, with no enable or one with an enable but with an activity probability that is low, typically 25%. This is because of the fact that if the activity levels are high, the clock gating will not provide any major power saving advantage as the associated circuit of the selected flop is used often and the clock gating has to be enabled often with no power saving. In this case any additional clock gating circuits added will tend to increase the power and area usage of the IC. (S601)
  • Traverse the fan-in circuit of the selected flop 1 till another flop, flop 2, is reached or a PI to the circuit is reached. (S602)
  • If a PI is reached during traversal of fan-in of flop 1, then the activity of the PI is checked to see if it is below a necessary threshold. That is check for PI activity threshold, typically of less than 50%. (S604)
  • If the activity level of the PI is higher than the 50% threshold level then no power saving is possible using STC based clock gating generated from the PI for flop 1 and hence the STC condition is not generated for the PI and the activity is stopped. (S605)
  • If the activity level of the PI is lower than the threshold level of 50% then generating an STC condition to gate the clock to the flop 1 is generated by generating the STC of PI. STC of PI=PI XOR (delay PI). (S606)
  • If during traversal of the fan-in of flop 1 the element reached is a flop, flop 2, then it is checked to see if it has an enable. (S607)
  • If flop 2 has an enable then an STC condition has to be derived and if it does not have an enable an activity check is done on the flop 2. (S608)
  • For the flop, flop 2, with an enable, the STC condition is generated for that flop 2 by delaying the enable. STC of flop with enable=delay (enable). (S609)
  • For the flop, flop 2, without enable the activity of the-Flop 2 is checked to see if it is below a necessary threshold. Check for flop 2 activity threshold typically less than 50%. (S610)
  • If activity level of flop 2 that has no enable is found to be greater than the threshold level of 50% then no power saving is achieved by using STC based clock gating generated from flop 2 for the original flop 1. The STC condition for flop 2 is not generated and the activity is stopped. (S611)
  • If the activity level of the flop 2, that has no enable, is found to be less than 50% then an STC condition for the flop is generated by delaying an XORed output of the input of flop 2 with the output of the flop 2. STC of flop no enable=delay (flop_d XOR flop_q) (S612)
  • All the generated STC conditions from the PI and other flops, such as flop 2, are combined using OR gates to generate the final STC condition for the flop 1. (S613)
  • A differential power check is done for the original flop, flop 1, with the clock gating generated using the combined STC condition, to see if there is sufficient improvement in power saving to warrant retaining the generated clock gating. (S614)
  • The result of the differential power check is evaluated. (S615)
  • If the power saving is not sufficient then the clock gating using the STC condition generated is not implemented for the flop 1 and the operation is stopped. (S616)
  • If the power sufficient power saving is achieved, then the clock gating of the original flop, flop 1 is implemented as part of the circuit design thereby completing the generation of an STC condition to provide clock gating for the original flop, flop 1. (S617)
  • It should be noted that such effort for generating the STC condition and implementation of clock gating is continued for all the flops in the design to achieve power saving.
  • Once the STC condition has been generated and circuit modification has been introduced to generate the New STC FF4-1 550, or New-enable FF4-1 to provide an equivalent clock gating to enable the flop FF4-1 310, further improvements can be made the clock gating to optimize the power dissipation of the circuit of the rest of the pipeline flops FF4-2 311 to FF4-4 313. As is evident from the circuit, each flop of the pipeline operates in a sequential fashion. Hence using the STC condition generated for the first flop FF4-1 310 of the pipeline design 300, for the rest of the flops FF4-2 311 to FF4-4 313 is not optimum. FIG. 7 is an exemplary and non-limiting block diagram 700 for generating clock gating for each of the pipeline flops ff4-2 311 to FF4-4 313 of the pipeline. The generation of the clock gating signal is accomplished by providing each of the pipelined flops with a new enable 750 for flop FF4-2 311, enable 751 for flop FF4-3 312 and enable 752 for flop FF4-4 313, by delaying the STC condition of the previous stage and doing an AND function with the delayed STC condition generated and the enable EN4 302.
  • The gated clock, enable 750 for FF4-2 311 can be written in Verilog as:
      • Reg Delay2;
      • Always@posedge clk
      • If (EN4)
      • Delay2<=New_enable_FF4-1
      • New_enable_FF4-2=Delay2 && EN4;
        Similarly the gated clock, enable 751 for FF4-3 can be written in Verilog as:
      • Reg Delay3;
      • Always @posedge clk
      • If (En4)
      • Delay3<=New_enable FF4-2;
      • New_enable_FF4-3=Delay3 && EN4;
        And For FF4-4 313 the enable will be:
      • Reg Delay4;
      • Always @posedge clk
      • If (En4)
      • Delay4<=New_enable FF4-3;
      • New_enable FF4-4=Delay4 && EN4;
  • The implementation of these functions is shown in exemplary and non-limiting FIG. 7. The delay element flop FF8 701, with AND6 710 generates the new enable 750 for FF4-2 311. The delay element flop FF9 702 with AND7 711 generate the new enable 751 for FF4-3 312 and the delay element flop FF10 703 with the AND8 712 generate the new enable 752 for flop FF4-4 313. These new enables for the pipeline flops FF4-2 311, FF4-3 312 and FF4-4 313 generated can provide the additional power dissipation improvements by clock gating of the pipeline flops. The effectiveness of these additional gating circuits of FIG. 7 have also to be verified by differential power analysis to make sure that they provide reasonable power saving to warrant the additional circuits.
  • A new technique called the XOR technique has been described that enable the computation and synthesis of the STC condition for any flop in an IC design taking into account all the different logic conditions in the fan-in of that flop. This generated STC condition is used to modify the enable of the specific flop and other flops in a pipeline if the flop considered is the first of the pipeline stage without impacting the functionality of the design. The STC condition generated using the XOR technique enable synthesis and verification of clock gating of the flops of a design, for power reduction, while retaining the functionality of the design through all fan-in conditions. The computation and synthesis of the STC condition using the XOR technique lends itself to implementation using a computer system having at least a processor and at least sufficient storage capability for a suitable operating system, the design software and the synthesis software.
  • The invention may be implemented as part of an integrated circuit design, system on chip design, processor design, FPGA design and other semiconductor designs including a combination of the above. The invention may also be implemented as a synthesis and verification program to generate implement and verify the necessary design modifications of a basic design to achieve power reduction in operation by gate clocking. The invention may be implemented as a software program stored in a non-tangible memory module, the instructions of which to be executed on a processor, a combination of integrated software and hardware or as emulation on hardware, including but not limited to a computer aided design (CAD) system.

Claims (10)

What is claimed is:
1. A method implemented in a programmable system for clock gating synthesis, comprising:
identifying in an integrated circuit design having pipelined flip-flops having an expected utilization below a specified threshold;
gating the identified flip flops such that they can be shut down when not in use, said gating being performed by extraction of a stability condition for each identified flip flop in the design.
2. The method as in claim 1, wherein the specified threshold is a utilization of a flip flop of not more than 25%.
3. The method as in claim 1, further comprising verifying power savings achieved by such gating for each identified flip flop by performing a differential power computation of original versus gated versions of such identified flip flop in the design based upon the expected utilization of that flip flop, and incorporating the gated version into the design only when power savings are verified as being achieved.
4. The method as in claim 1, wherein the extraction of a stability condition comprises an XOR technique in which fan-in paths for each identified flip flop are traversed until another flip flop or a primary input is reached, the stability condition of that other flip flop being generated as a delay of an XOR function of that other flip flop's input with its output, and the stability condition of primary input being generated as an XOR function of that primary input with a delay of that same primary input, the gating of the identified flip flop being a logic OR of all computed stability conditions for its fan-in paths.
5. The method of claim 1, wherein an identified flip-flop is a first flip-flop of the pipeline with an active enable, each subsequent flip-flop in the pipeline having a stability condition computed as a logical AND of the active enable of the first flip-flop in the pipeline and a one clock cycle delay of the stability condition of the preceding flip-flop in the pipeline.
6. A method implemented in a programmable system for clock gating synthesis, comprising:
identifying in an integrated circuit design having pipelined flip-flops;
gating the identified flip flops such that they can be shut down when not in use, said gating being performed by extraction of a stability condition using an XOR technique for each identified flip flop in the design, wherein the XOR technique traverses fan-in paths for each identified flip flop until another flip flop or a primary input is reached, the stability condition of that other flip flop being generated as a delay of an XOR function of that other flip flop's input with its output, and the stability condition of primary input being generated as an XOR function of that primary input with a delay of that same primary input, the gating of the identified flip flop being a logic OR of all computed stability conditions for its fan-in paths.
7. The method as in claim 6, wherein an identified flip-flop is a first flip-flop of the pipeline with an active enable, each subsequent flip-flop in the pipeline having a stability condition computed as a logical AND of the active enable of the first flip-flop in the pipeline and a one clock cycle delay of the stability condition of the preceding flip-flop in the pipeline.
8. The method as in claim 6, wherein extraction of a stability condition using the XOR technique is performed only for those identified flip flops having an expected utilization below a specified threshold.
9. The method as in claim 8, wherein the specified threshold is a utilization of a flip flop of not more than 25%.
10. The method as in claim 6, further comprising verifying power savings achieved by such gating for each identified flip flop by performing a differential power computation of original versus gated versions of such identified flip flop in the design based upon the expected utilization of that flip flop, and incorporating the gated version into the design only when power savings are verified as being achieved.
US14/196,089 2013-02-13 2014-03-04 Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design Abandoned US20150143307A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/196,089 US20150143307A1 (en) 2013-02-13 2014-03-04 Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/766,017 US8656326B1 (en) 2013-02-13 2013-02-13 Sequential clock gating using net activity and XOR technique on semiconductor designs including already gated pipeline design
US14/083,109 US8677295B1 (en) 2013-02-13 2013-11-18 Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design
US14/196,089 US20150143307A1 (en) 2013-02-13 2014-03-04 Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/083,109 Continuation US8677295B1 (en) 2013-02-13 2013-11-18 Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design

Publications (1)

Publication Number Publication Date
US20150143307A1 true US20150143307A1 (en) 2015-05-21

Family

ID=50072303

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/766,017 Active US8656326B1 (en) 2013-02-13 2013-02-13 Sequential clock gating using net activity and XOR technique on semiconductor designs including already gated pipeline design
US14/083,109 Active US8677295B1 (en) 2013-02-13 2013-11-18 Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design
US14/196,089 Abandoned US20150143307A1 (en) 2013-02-13 2014-03-04 Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/766,017 Active US8656326B1 (en) 2013-02-13 2013-02-13 Sequential clock gating using net activity and XOR technique on semiconductor designs including already gated pipeline design
US14/083,109 Active US8677295B1 (en) 2013-02-13 2013-11-18 Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design

Country Status (1)

Country Link
US (3) US8656326B1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102057503B1 (en) * 2013-08-14 2019-12-19 삼성전자 주식회사 Semiconductor circuit
US9515661B2 (en) 2014-05-09 2016-12-06 Semiconductor Energy Laboratory Co., Ltd. Circuit, semiconductor device, and clock tree
US10162922B2 (en) * 2017-03-15 2018-12-25 Qualcomm Incorporated Hybrid clock gating methodology for high performance cores
CN112100793B (en) * 2019-05-31 2023-06-13 超威半导体(上海)有限公司 Stripe-based self-gating for retiming pipelines
CN114330184B (en) * 2022-03-15 2022-07-15 上海国微思尔芯技术股份有限公司 Multi-level grouping method and device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040230923A1 (en) * 2003-01-20 2004-11-18 Wilcox Stephen Paul Generation of improved input function for clocked element in synchronous circuit
US20080288901A1 (en) * 2007-04-23 2008-11-20 International Business Machines Corporation Formally deriving a minimal clock-gating scheme
US20080301604A1 (en) * 2007-05-30 2008-12-04 Alexander Itskovich Apparatus for and method of estimating the quality of clock gating solutions for integrated circuit design
US7594200B2 (en) * 2005-12-19 2009-09-22 International Business Machines Corporation Method for finding multi-cycle clock gating
US7701255B2 (en) * 2007-11-06 2010-04-20 Elastix Corporation Variability-aware scheme for asynchronous circuit initialization
US7930673B2 (en) * 2007-05-29 2011-04-19 Magma Design Automation, Inc. Method for automatic clock gating to save power

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2816495A (en) * 1994-06-03 1996-01-04 Synopsys, Inc. Method and apparatus for estimating the power dissipated by a digital circuit
JP3357813B2 (en) 1997-04-01 2002-12-16 株式会社東芝 Gated clock design support method, gated clock design support device, and computer-readable recording medium storing gated clock design support program
US6457167B1 (en) 1998-03-31 2002-09-24 Kabushiki Kaisha Toshiba Gated clock design supporting method, gated clock design supporting apparatus, and computer readable memory storing gated clock design supporting program
JP4176906B2 (en) * 1999-01-14 2008-11-05 株式会社ルネサステクノロジ Static / dynamic timing verification method and storage medium
AU2002347870A1 (en) * 2001-10-11 2003-04-22 California Institute Of Technology Method and system for compiling circuit designs
US7120883B1 (en) * 2003-05-27 2006-10-10 Altera Corporation Register retiming technique
US7546559B2 (en) 2003-08-01 2009-06-09 Atrenta, Inc. Method of optimization of clock gating in integrated circuit designs
US7076748B2 (en) 2003-08-01 2006-07-11 Atrenta Inc. Identification and implementation of clock gating in the design of integrated circuits
US7725848B2 (en) * 2005-01-27 2010-05-25 Wolfgang Nebel Predictable design of low power systems by pre-implementation estimation and optimization
US7584449B2 (en) * 2004-11-22 2009-09-01 Fulcrum Microsystems, Inc. Logic synthesis of multi-level domino asynchronous pipelines
US7631209B2 (en) 2004-12-13 2009-12-08 Lsi Corporation Turning off clock to flip flops
US7484187B2 (en) 2005-12-07 2009-01-27 International Business Machines Corporation Clock-gating through data independent logic
US7389479B2 (en) 2005-12-20 2008-06-17 Synopsys, Inc. Formally proving the functional equivalence of pipelined designs containing memories
US7447620B2 (en) * 2006-02-23 2008-11-04 International Business Machines Corporation Modeling asynchronous behavior from primary inputs and latches
US20070220461A1 (en) 2006-03-14 2007-09-20 Baumgartner Jason R Method and system for sequential equivalence checking with multiple initial states
US7509606B2 (en) 2006-04-25 2009-03-24 International Business Machines Corporation Method for optimizing power in a very large scale integration (VLSI) design by detecting clock gating opportunities
US7453759B2 (en) * 2006-04-26 2008-11-18 International Business Machines Corporation Clock-gated model transformation for asynchronous testing of logic targeted for free-running, data-gated logic
US7610567B2 (en) * 2006-04-27 2009-10-27 Achronix Semiconductor Corporation Systems and methods for performing automated conversion of representations of synchronous circuit designs to and from representations of asynchronous circuit designs
JP4711915B2 (en) 2006-09-04 2011-06-29 東芝メモリシステムズ株式会社 Electronic circuit
JP4200465B2 (en) 2006-09-05 2008-12-24 日本電気株式会社 Semiconductor integrated circuit design method and design system
WO2008078740A1 (en) * 2006-12-26 2008-07-03 Nec Corporation Logic circuit designing device for asynchronous logic circuit, logic circuit designing method, and logic circuit designing program
JP4388965B2 (en) 2007-02-13 2009-12-24 富士通株式会社 Clock gating analysis program, recording medium recording the program, clock gating analysis device, and clock gating analysis method
US7761827B1 (en) 2007-08-01 2010-07-20 Calypto Design Systems, Inc. Integrated circuit design system, method, and computer program product that takes into account observability based clock gating conditions
US7853907B2 (en) 2007-08-09 2010-12-14 International Business Machines Corporation Over approximation of integrated circuit based clock gating logic
US7941679B2 (en) 2007-08-10 2011-05-10 Atrenta, Inc. Method for computing power savings and determining the preferred clock gating circuit of an integrated circuit design
JP2009053989A (en) 2007-08-28 2009-03-12 Toshiba Corp Semiconductor circuit design method
US7882473B2 (en) 2007-11-27 2011-02-01 International Business Machines Corporation Sequential equivalence checking for asynchronous verification
DE102008007004B4 (en) 2008-01-31 2010-09-23 Advanced Micro Devices, Inc., Sunnyvale Integrated circuit having a memory with a plurality of memory cells of synchronous construction, which are connected to clock masking units, and methods for designing such a circuit
JP2009218839A (en) 2008-03-10 2009-09-24 Toshiba Corp Clock distribution circuit
US7772906B2 (en) 2008-04-09 2010-08-10 Advanced Micro Devices, Inc. Low power flip flop through partially gated slave clock
US8086975B2 (en) 2008-04-10 2011-12-27 University Of Southern California Power aware asynchronous circuits
JP5056573B2 (en) 2008-05-09 2012-10-24 富士通株式会社 Design support program, design support apparatus, and design support method
US8074195B2 (en) * 2008-06-27 2011-12-06 Freescale Semiconductor, Inc. System and method for evaluating a dynamic power consumption of a block
US8166426B2 (en) 2008-08-14 2012-04-24 International Business Machines Corporation Approximation of a clock gating function via BDD path elimination
WO2010029389A1 (en) 2008-09-15 2010-03-18 Freescale Semiconductor, Inc. Method and apparatus for gating a clock signal
US8095900B2 (en) 2008-09-16 2012-01-10 Cadence Design Systems, Inc. Achieving clock timing closure in designing an integrated circuit
US7746116B1 (en) 2009-01-21 2010-06-29 Xilinx, Inc. Method and apparatus to clock-gate a digital integrated circuit by use of feed-forward quiescent input analysis
US20100198420A1 (en) 2009-02-03 2010-08-05 Optisolar, Inc. Dynamic management of power production in a power system subject to weather-related factors
US8295989B2 (en) 2009-02-03 2012-10-23 ETM Electromatic, Inc. Local power tracking for dynamic power management in weather-sensitive power systems
EP2396513A4 (en) 2009-02-13 2018-03-07 First Solar, Inc Photovoltaic power plant output
US7937634B2 (en) 2009-02-17 2011-05-03 Almukhaizim Sobeeh A Circuit and method providing dynamic scan chain partitioning
US7884649B1 (en) 2009-02-27 2011-02-08 Magma Design Automation, Inc. Selection of optimal clock gating elements
US8161434B2 (en) * 2009-03-06 2012-04-17 Synopsys, Inc. Statistical formal activity analysis with consideration of temporal and spatial correlations
US8572418B2 (en) 2009-03-12 2013-10-29 Qualcomm Incorporated Moving clock gating cell closer to clock source based on enable signal propagation time to clocked storage element
TW201037484A (en) 2009-04-06 2010-10-16 Ralink Technology Corp Clock generating system and clock-dividing module
US8132144B2 (en) 2009-06-17 2012-03-06 Oracle America, Inc. Automatic clock-gating insertion and propagation technique
US8166444B2 (en) 2009-06-23 2012-04-24 International Business Machines Corporations Clock gating using abstraction refinement
US9257847B2 (en) 2009-10-12 2016-02-09 Sunpower Corporation Photovoltaic system with managed output
US8296256B2 (en) 2009-10-15 2012-10-23 International Business Machines Corporation SAT-based synthesis of a clock gating function
US8225245B2 (en) 2009-10-30 2012-07-17 Oracle America, Inc. Method of implementing physically realizable and power-efficient clock gating in microprocessor circuits
EP2494692B1 (en) 2009-10-30 2016-11-23 Semiconductor Energy Laboratory Co. Ltd. Logic circuit and semiconductor device
CN102193580A (en) 2010-02-12 2011-09-21 布鲁旺德通讯有限公司 Method and device for clock gate controlling
US8788895B2 (en) 2010-04-08 2014-07-22 Stmicroelectronics S.R.L. Testing system for integrated circuits including components for receiving clock signals corresponding to different clock domains
US8219946B1 (en) 2010-07-13 2012-07-10 Xilinx, Inc. Method for clock gating circuits
US9311102B2 (en) 2010-07-13 2016-04-12 Advanced Micro Devices, Inc. Dynamic control of SIMDs
US8438416B2 (en) 2010-10-21 2013-05-07 Advanced Micro Devices, Inc. Function based dynamic power control
US8862955B2 (en) 2010-12-29 2014-10-14 Stmicroelectronics S.R.L. Apparatus for at-speed testing, in inter-domain mode, of a multi-clock-domain digital integrated circuit according to BIST or SCAN techniques
JP5361930B2 (en) 2011-03-25 2013-12-04 株式会社東芝 Semiconductor integrated circuit and design method thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040230923A1 (en) * 2003-01-20 2004-11-18 Wilcox Stephen Paul Generation of improved input function for clocked element in synchronous circuit
US7594200B2 (en) * 2005-12-19 2009-09-22 International Business Machines Corporation Method for finding multi-cycle clock gating
US20080288901A1 (en) * 2007-04-23 2008-11-20 International Business Machines Corporation Formally deriving a minimal clock-gating scheme
US7930673B2 (en) * 2007-05-29 2011-04-19 Magma Design Automation, Inc. Method for automatic clock gating to save power
US20080301604A1 (en) * 2007-05-30 2008-12-04 Alexander Itskovich Apparatus for and method of estimating the quality of clock gating solutions for integrated circuit design
US7701255B2 (en) * 2007-11-06 2010-04-20 Elastix Corporation Variability-aware scheme for asynchronous circuit initialization

Also Published As

Publication number Publication date
US8656326B1 (en) 2014-02-18
US8677295B1 (en) 2014-03-18

Similar Documents

Publication Publication Date Title
Shinde et al. Clock gating—A power optimizing technique for VLSI circuits
Hand et al. Blade--a timing violation resilient asynchronous template
Yakovlev et al. Advances in asynchronous logic: From principles to GALS & NoC, recent industry applications, and commercial CAD tools
US20150143307A1 (en) Sequential clock gating using net activity and xor technique on semiconductor designs including already gated pipeline design
US7849428B2 (en) Formally deriving a minimal clock-gating scheme
US8099703B1 (en) Method and system for verifying power-optimized electronic designs using equivalency checking
US20050144497A1 (en) System and method for reducing clock skew
Cannizzaro et al. SafeRazor: Metastability-robust adaptive clocking in resilient circuits
CN104038182B (en) System and Method For Automatic Two-phase Clocking
US9449127B1 (en) System for verifying timing constraints of IC design
Sartori et al. A frontend using traditional EDA tools for the pulsar QDI design flow
Hand et al. Performance optimization and analysis of blade designs under delay variability
US8234607B2 (en) Token enhanced asynchronous conversion of synchonous circuits
Moreira et al. Tradeoffs between RTO and RTZ in WCHB QDI asynchronous design
Krstić et al. System integration by request-driven GALS design
Badaroglu et al. Clock-skew-optimization methodology for substrate-noise reduction with supply-current folding
Kasim et al. Methodology for detecting glitch on clock, reset and CDC path
Gibson et al. Syncopation: Adaptive Clock Management for High-Level Synthesis Generated Circuits on FPGAs
Zhang et al. Selected transition time adjustment for tolerating crosstalk effects on network-on-chip interconnects
Nag et al. An autonomous clock gating technique in finite state machines based on registers partitioning
Bhaskara et al. A Robust CTS algorithm using the H-Tree to minimize local skews of higher frequency targets of the SOC designs
Minnella et al. Mix & Latch: An Optimization Flow for High-Performance Designs With Single-Clock Mixed-Polarity Latches and Flip-Flops
US20160217239A1 (en) Method and system for selecting stimulation signals for power estimation
Chakraborty et al. Practical timing analysis of asynchronous circuits using time separation of events
Wang et al. Retiming of two-phase latch-based resilient circuits

Legal Events

Date Code Title Description
AS Assignment

Owner name: ATRENTA, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAHIM, SOLAIMAN;MOVAHED-EZAZI, MOHAMMAD H.;REEL/FRAME:032391/0054

Effective date: 20140303

AS Assignment

Owner name: ATRENTA INC., CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:SILICON VALLEY BANK;REEL/FRAME:036584/0644

Effective date: 20150825

AS Assignment

Owner name: SYNOPSYS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ATRENTA INC.;REEL/FRAME:036687/0290

Effective date: 20150922

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION