US20130171574A1 - Photoresist pattern trimming methods - Google Patents

Photoresist pattern trimming methods Download PDF

Info

Publication number
US20130171574A1
US20130171574A1 US13/731,940 US201213731940A US2013171574A1 US 20130171574 A1 US20130171574 A1 US 20130171574A1 US 201213731940 A US201213731940 A US 201213731940A US 2013171574 A1 US2013171574 A1 US 2013171574A1
Authority
US
United States
Prior art keywords
photoresist pattern
photoresist
trimming
composition
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/731,940
Inventor
Cheng-Bai Xu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Priority to US13/731,940 priority Critical patent/US20130171574A1/en
Publication of US20130171574A1 publication Critical patent/US20130171574A1/en
Assigned to ROHM AND HAAS ELECTRONIC MATERIALS LLC reassignment ROHM AND HAAS ELECTRONIC MATERIALS LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: XU, CHENG-BAI
Priority to US15/243,937 priority patent/US9996008B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Provided are methods of trimming photoresist patterns. The methods involve coating a photoresist trimming composition over a photoresist pattern, wherein the trimming composition includes a matrix polymer, a free acid having fluorine substitution and a solvent, the trimming composition being free of cross-linking agents. The coated semiconductor substrate is heated to cause a change in polarity of the resist polymer in a surface region of the photoresist pattern. The photoresist pattern is contacted with a developing solution to remove the surface region of the photoresist pattern. The methods find particular applicability in the formation of very fine lithographic features in the manufacture of semiconductor devices.

Description

  • This application claims the benefit of priority under 35 U.S.C. §119(e) to U.S. Provisional Application No. 61/582,336, filed Dec. 31, 2011, the entire contents of which are incorporated herein by reference.
  • BACKGROUND
  • The invention relates generally to the manufacture of electronic devices. More specifically, this invention relates to methods of trimming photoresist patterns useful in shrink processes for the formation of fine lithographic patterns.
  • In the semiconductor manufacturing industry, photoresist materials are used for transferring an image to one or more underlying layers, such as metal, semiconductor and dielectric layers, disposed on a semiconductor substrate, as well as to the substrate itself. To increase the integration density of semiconductor devices and allow for the formation of structures having dimensions in the nanometer range, photoresists and photolithography processing tools having high-resolution capabilities have been and continue to be developed.
  • Positive-tone chemically amplified photoresists are conventionally used for high-resolution processing. Such resists typically employ a resin having acid-labile leaving groups and a photoacid generator. Exposure to actinic radiation causes the acid generator to form an acid which, during post-exposure baking, causes cleavage of the acid-labile groups in the resin. This creates a difference in solubility characteristics between exposed and unexposed regions of the resist in an aqueous alkaline developer solution. Exposed regions of the resist are soluble in the aqueous alkaline developer and are removed from the substrate surface, whereas unexposed regions, which are insoluble in the developer, remain after development to form a positive image.
  • One approach to achieving nm-scale feature sizes in semiconductor devices is the use of short wavelengths of light, for example, 193 nm or less, during exposure of chemically amplified photoresists. To further improve lithographic performance, immersion lithography tools have been developed to effectively increase the numerical aperture (NA) of the lens of the imaging device, for example, a scanner having a KrF or ArF light source. This is accomplished by use of a relatively high refractive index fluid (i.e., an immersion fluid) between the last surface of the imaging device and the upper surface of the semiconductor wafer. The immersion fluid allows a greater amount of light to be focused into the resist layer than would occur with an air or inert gas medium. When using water as the immersion fluid, the maximum numerical aperture can be increased, for example, from 1.2 to 1.35. With such an increase in numerical aperture, it is possible to achieve a 40 nm half-pitch resolution in a single exposure process, thus allowing for improved design shrink. This standard immersion lithography process, however, is generally not suitable for manufacture of devices requiring greater resolution, for example, for the 32 nm and 22 nm half-pitch nodes.
  • Considerable effort has been made to extend the practical resolution beyond that achieved with standard photolithographic techniques from both a materials and processing standpoint. For example, multiple patterning processes have been proposed for printing CDs and pitches beyond lower resolution limits of conventional lithographic tools. One such multiple patterning process is self-aligned double patterning (SADP), described for example in U.S. Patent Application Pub. No. 2009/0146322A1. In this process, a spacer layer is formed over pre-patterned lines. This is followed by etching to remove all spacer layer material on horizontal surfaces of the lines and spaces, leaving behind only material on the sidewalls of the lines. The original patterned lines are then etched away, leaving behind the sidewall spacers which are used as a mask for etching one or more underlying layers. Since there are two spacers for every line, the line density is effectively doubled.
  • For multiple patterning and other lithographic processes, the printing of isolated lines and posts having a duty ratio greater than two with a good process window is essential at the lithography stage. Achieving a good process window for isolated lines and posts through direct lithographic imaging is, however, extremely difficult due to poor aerial image contrast at defocus as compared with dense lines.
  • There is a continuing need in the art for improved photolithographic methods for the formation of fine patterns in electronic device fabrication and which avoid or conspicuously ameliorate one or more of the foregoing problems associated with the state of the art.
  • SUMMARY OF THE INVENTION
  • In accordance with an aspect of the invention, methods of trimming photoresist patterns are provided. The methods comprise in sequence: (a) providing a semiconductor substrate comprising one or more layers to be patterned on an upper surface thereof; (b) forming a photoresist pattern on the one or more layers to be patterned, wherein the photoresist pattern comprises a plurality of features and is formed from a chemically amplified photoresist composition, the photoresist pattern comprising a matrix polymer having acid labile groups; (c) coating a photoresist trimming composition over the photoresist pattern, wherein the trimming composition comprises a matrix polymer, a free acid having fluorine substitution and a solvent, and wherein the trimming composition is free of cross-linking agents; (d) heating the coated semiconductor substrate, thereby causing a change in polarity of the photoresist matrix polymer in a surface region of the photoresist pattern; and (e) contacting the photoresist pattern with a developing solution to remove the surface region of the photoresist pattern. As a result of methods in accordance with the invention, the process window for formation of patterns such as isolated lines and posts can be significantly improved.
  • In accordance with a further aspect of the invention, also provided are electronic devices formed by the methods described herein.
  • As used herein: “g” means grams; wt % means weight percent; “nm” means nanometer; “s” means second; “min” means minute; “Å” means Angstrom; “mol %” means mole percent; “Mw” means weight average molecular weight; “copolymer” is inclusive of polymers containing two or more different types of polymerized units; “alkyl” is inclusive of linear, branched and cyclic alkyl structures; “aliphatic” is inclusive of linear, branched and cyclic aliphatic structures; and the articles “a” and “an” are inclusive of one or more.
  • DESCRIPTION OF THE DRAWINGS
  • The present invention will be described with reference to the following drawing, in which like reference numerals denote like features, and in which:
  • FIG. 1A-I illustrates a process flow for forming a photolithographic pattern in accordance with the invention.
  • DETAILED DESCRIPTION Photoresist Trimming Compositions
  • The photoresist trimming compositions include a matrix polymer, a free acid having fluorine substitution and a solvent, and can include optional additional components. When coated over a photoresist pattern formed from a chemically amplified photoresist composition, the photoresist trimming compositions can provide various benefits such as controllably reduced resist pattern dimensions and improved process window for the formation of isolated patterns such as isolated lines and posts.
  • The matrix polymer allows for the compositions to be coated over the photoresist pattern in the form of a layer having a desired thickness. This will help to ensure the presence of a sufficient content of acid for interaction with the photoresist pattern surface.
  • The matrix polymer should have good solubility in the developer solution to be used in the trimming process. For example, the matrix polymer can be soluble in an aqueous alkaline developer, preferably aqueous quaternary ammonium hydroxide solutions such as aqueous tetramethylammonium hydroxide, or in water. To minimize residue defects originated from the overcoat materials, the dissolution rate of a dried layer of the trimming composition should be greater than that of the photoresist pattern surface region to be removed by the developer solution. The matrix polymer typically exhibits a developer dissolution rate of 100 Å/second or higher, preferably 1000 Å/second or higher. The matrix polymer is soluble in the solvent of the trimming composition, described herein. The matrix polymer can be chosen, for example, from polyvinyl alcohols, polyacrylic acids, polyvinyl pyrrolidones, polyvinyl amines, polyvinyl acetals, poly(meth)acrylates and combinations thereof. Preferably, the polymer contains one or more functional group chosen from —OH, —COOH, —SO3H, SiOH, hydroxyl styrene, hydroxyl naphthalene, sulfonamide, hexafluoroisopropyl alcohol, anhydrates, lactones, esters, ethers, allylamine, pyrolidones and combinations thereof.
  • The content of the matrix polymer in the composition will depend, for example, on the target thickness of the layer, with a higher polymer content being used for thicker layers. The matrix polymer is typically present in the compositions in an amount of from 80 to 99 wt %, more typically from 90 to 98 wt %, based on total solids of the trimming composition. The weight average molecular weight of the polymer is typically less than 400,000, preferably from 3000 to 50,000, more preferably from 3000 to 25,000.
  • Polymers useful in the overcoat compositions can be homopolymers or can be copolymers having a plurality of distinct repeat units, for example, two, three or four distinct repeat units. The trimming compositions typically include a single polymer, but can optionally include one or more additional polymer. Suitable polymers and monomers for use in the overcoat compositions are commercially available and/or can readily be made by persons skilled in the art.
  • The trimming compositions further include one or more free acid having fluorine substitution. In the case of a photoresist based on deprotection reaction, the free acid with heat can cleave the bond of acid labile groups in the photoresist pattern. Suitable acids include both aromatic and non-aromatic acids having fluorine substitution. Preferably, the acid is a strong acid such as sulfonic acid having at least one fluorine substitution. Preferably, the non-aromatic acids have at least one fluorine substituent at the alpha position of the acid group. Exemplary suitable acids include the following: CF3SO3H, C4F9SO3H, CH3CH2CF2CF2SO3H, HOCH2CH2CF2CF2SO3H,
  • Figure US20130171574A1-20130704-C00001
    Figure US20130171574A1-20130704-C00002
    Figure US20130171574A1-20130704-C00003
    Figure US20130171574A1-20130704-C00004
    Figure US20130171574A1-20130704-C00005
  • The trimming compositions further include a solvent or solvent mixture. Suitable solvent materials to formulate and cast the trimming compositions exhibit excellent solubility characteristics with respect to the non-solvent components of the trimming composition, but do not appreciably dissolve the underlying photoresist pattern so as to minimize intermixing. The solvent is typically chosen from water, organic solvents and mixtures thereof. Suitable organic solvents for the overcoat composition include, for example: alkyl esters such as alkyl propionates such as n-butyl propionate, n-pentyl propionate, n-hexyl propionate and n-heptyl propionate, and alkyl butyrates such as n-butyl butyrate, isobutyl butyrate and isobutyl isobutyrate; ketones such as 2,5-dimethyl-4-hexanone and 2,6-dimethyl-4-heptanone; aliphatic hydrocarbons such as n-heptane, n-nonane, n-octane, n-decane, 2-methylheptane, 3-methylheptane, 3,3-dimethylhexane and 2,3,4-trimethylpentane, and fluorinated aliphatic hydrocarbons such as perfluoroheptane; and alcohols such as straight, branched or cyclic C4-C9 monohydric alcohol such as 1-butanol, 2-butanol, 3-methyl-1-butanol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 1-hexanol, 1-heptanol, 1-octanol, 2-hexanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol and 4-octanol; 2,2,3,3,4,4-hexafluoro-1-butanol, 2,2,3,3,4,4,5,5-octafluoro-1-pentanol and 2,2,3,3,4,4,5,5,6,6-decafluoro-1-hexanol, and C5-C9 fluorinated diols such as 2,2,3,3,4,4-hexafluoro-1,5-pentanediol, 2,2,3,3,4,4,5,5-octafluoro-1,6-hexanediol and 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoro-1,8-octanediol; and mixtures containing one or more of these solvents. Of these organic solvents, alcohols, aliphatic hydrocarbons and ethers are preferred. The solvent component of the trimming composition is typically present in an amount of from 90 to 99 wt % based on the trimming composition.
  • The trimming compositions may include optional additives. For example, to allow for development with an organic solvent developer, the trimming composition can include an additional component that reacts with the surface region of the resist pattern, rendering it soluble in the organic solvent developer. This component preferably contains functional groups chosen from —OH, —NH, —SH, ketones, aldehydes, —SiX wherein X is a halogen, vinyl ethers and combinations thereof. Such a component is particularly useful for negative tone development (NTD) processes. The component diffuses into the surface region of the NTD photoresist and reacts with carboxylic acid groups at the resist surface. This reaction results in a polarity change of the surface, rendering the surface soluble in the organic solvent developer, for example, 2-heptanone or n-butyl acetate. Such component if used is typically present in an amount of from 0.1 to 10 wt % based on total solids of the trimming composition.
  • The trimming composition can further include a surfactant. Typical surfactants include those which exhibit an amphiphilic nature, meaning that they can be both hydrophilic and hydrophobic at the same time. Amphiphilic surfactants possess a hydrophilic head group or groups, which have a strong affinity for water and a long hydrophobic tail, which is organophilic and repels water. Suitable surfactants can be ionic (i.e., anionic, cationic) or nonionic. Further examples of surfactants include silicone surfactants, poly(alkylene oxide) surfactants, and fluorochemical surfactants. Suitable non-ionic surfactants include, but are not limited to, octyl and nonyl phenol ethoxylates such as TRITON® X-114, X-100, X-45, X-15 and branched secondary alcohol ethoxylates such as TERGITOL™ TMN-6 (The Dow Chemical Company, Midland, Mich. USA). Still further exemplary surfactants include alcohol (primary and secondary) ethoxylates, amine ethoxylates, glucosides, glucamine, polyethylene glycols, poly(ethylene glycol-co-propylene glycol), or other surfactants disclosed in McCutcheon's Emulsifiers and Detergents, North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J. Nonionic surfactants that are acetylenic diol derivatives also can be suitable. Such surfactants are commercially available from Air Products and Chemicals, Inc. of Allentown, Pa. and sold under the trade names of SURFYNOL® and DYNOL®. Additional suitable surfactants include other polymeric compounds such as the tri-block EO-PO-EO co-polymers PLURONIC® 25R2, L121, L123, L31, L81, L 101 and P123 (BASF, Inc.). Such surfactant and other optional additives if used are typically present in the composition in minor amounts such as from 0.01 to 10 wt % based on total solids of the trimming composition.
  • The trimming compositions are free of cross-linking agents as such materials can result in a dimensional increase of the resist pattern. Typically, the trimming compositions are free of basic quenchers and base generators as such compounds may neutralize the effects of the acid component in the trimming compositions.
  • The photoresist trimming compositions can be prepared following known procedures. For example, the compositions can be prepared by dissolving solid components of the composition in the solvent components. The desired total solids content of the compositions will depend on factors such as the desired final layer thickness. Preferably, the solids content of the trimming compositions is from 1 to 10 wt %, more preferably from 1 to 5 wt %, based on the total weight of the composition.
  • Photoresist Pattern Trimming Methods
  • Processes in accordance with the invention will now be described with reference to FIG. 1A-I, which illustrates an exemplary process flow for forming a photolithographic pattern using a photoresist pattern trimming technique. While the illustrated process flow is of a positive tone development process, the invention is also applicable to negative tone development (NTD).
  • FIG. 1A depicts in cross-section a substrate 100 which may include various layers and features. The substrate can be of a material such as a semiconductor, such as silicon or a compound semiconductor (e.g., III-V or II-VI), glass, quartz, ceramic, copper and the like. Typically, the substrate is a semiconductor wafer, such as single crystal silicon or compound semiconductor wafer, and may have one or more layers and patterned features formed on a surface thereof. One or more layers to be patterned 102 may be provided over the substrate 100. Optionally, the underlying base substrate material itself may be patterned, for example, when it is desired to form trenches in the substrate material. In the case of patterning the base substrate material itself, the pattern shall be considered to be formed in a layer of the substrate.
  • The layers may include, for example, one or more conductive layers such as layers of aluminum, copper, molybdenum, tantalum, titanium, tungsten, alloys, nitrides or silicides of such metals, doped amorphous silicon or doped polysilicon, one or more dielectric layers such as layers of silicon oxide, silicon nitride, silicon oxynitride, or metal oxides, semiconductor layers, such as single-crystal silicon, and combinations thereof. The layers to be etched can be formed by various techniques, for example, chemical vapor deposition (CVD) such as plasma-enhanced CVD, low-pressure CVD or epitaxial growth, physical vapor deposition (PVD) such as sputtering or evaporation, or electroplating. The particular thickness of the one or more layers to be etched 102 will vary depending on the materials and particular devices being formed.
  • Depending on the particular layers to be etched, film thicknesses and photolithographic materials and process to be used, it may be desired to dispose over the layers 102 a hard mask layer 103 and/or a bottom antireflective coating (BARC) 104 over which a photoresist layer 106 is to be coated. Use of a hard mask layer may be desired, for example, with very thin resist layers, where the layers to be etched require a significant etching depth, and/or where the particular etchant has poor resist selectivity. Where a hard mask layer is used, the resist patterns to be formed can be transferred to the hard mask layer 103 which, in turn, can be used as a mask for etching the underlying layers 102. Suitable hard mask materials and formation methods are known in the art. Typical materials include, for example, tungsten, titanium, titanium nitride, titanium oxide, zirconium oxide, aluminum oxide, aluminum oxynitride, hafnium oxide, amorphous carbon, silicon oxynitride and silicon nitride. The hard mask layer can include a single layer or a plurality of layers of different materials. The hard mask layer can be formed, for example, by chemical or physical vapor deposition techniques.
  • A bottom antireflective coating may be desirable where the substrate and/or underlying layers would otherwise reflect a significant amount of incident radiation during photoresist exposure such that the quality of the formed pattern would be adversely affected. Such coatings can improve depth-of-focus, exposure latitude, linewidth uniformity and CD control. Antireflective coatings are typically used where the resist is exposed to deep ultraviolet light (300 nm or less), for example, KrF excimer laser light (248 nm) or ArF excimer laser light (193 nm). The antireflective coating can comprise a single layer or a plurality of different layers. Suitable antireflective materials and methods of formation are known in the art. Antireflective materials are commercially available, for example, those sold under the AR™ trademark by Rohm and Haas Electronic Materials LLC (Marlborough, Mass. USA), such as AR™40A and AR™124 antireflectant materials.
  • A photoresist layer 106 formed from a chemically amplified photosensitive composition comprising a matrix polymer having acid labile groups is disposed on the substrate over the antireflective layer (if present). The photoresist composition can be applied to the substrate by spin-coating, dipping, roller-coating or other conventional coating technique. Of these, spin-coating is typical. For spin-coating, the solids content of the coating solution can be adjusted to provide a desired film thickness based upon the specific coating equipment utilized, the viscosity of the solution, the speed of the coating tool and the amount of time allowed for spinning. A typical thickness for the photoresist layer 106 is from about 500 to 3000 Å.
  • The photoresist layer 106 can next be softbaked to minimize the solvent content in the layer, thereby forming a tack-free coating and improving adhesion of the layer to the substrate. The softbake can be conducted on a hotplate or in an oven, with a hotplate being typical. The softbake temperature and time will depend, for example, on the particular material of the photoresist and thickness. Typical softbakes are conducted at a temperature of from about 90 to 150° C., and a time of from about 30 to 90 seconds.
  • The photoresist layer 106 is next exposed to activating radiation 108 through a first photomask 110 to create a difference in solubility between exposed and unexposed regions. References herein to exposing a photoresist composition to radiation that is activating for the composition indicates that the radiation is capable of forming a latent image in the photoresist composition. The photomask has optically transparent and optically opaque regions 112, 114 corresponding to regions of the resist layer to be exposed and unexposed, respectively, by the activating radiation. The exposure wavelength is typically sub-400 nm, sub-300 nm or sub-200 nm such as 193 nm or EUV wavelengths, with 248 nm and 193 nm being typical. The exposure energy is typically from about 10 to 80 mJ/cm2, dependent upon the exposure tool and the components of the photosensitive composition.
  • Following exposure of the photoresist layer 106, a post-exposure bake (PEB) is performed. The PEB can be conducted, for example, on a hotplate or in an oven. Conditions for the PEB will depend, for example, on the particular photoresist composition and layer thickness. The PEB is typically conducted at a temperature of from about 80 to 150° C., and a time of from about 30 to 90 seconds. A latent image defined by the boundary between polarity-switched and unswitched regions (corresponding to exposed and unexposed regions, respectively) is thereby formed.
  • The photoresist layer is next developed to remove exposed regions of the photoresist layer 106, leaving unexposed regions forming a resist pattern 106′ having a plurality of features as shown in FIG. 1B. The features are not limited and can include, for example, a plurality of lines and/or cylindrical posts which will allow for the formation of line and/or contact hole patterns in the underlying layers to be patterned. In the case of a negative tone development process, where unexposed regions of the photoresist layer are removed and exposed regions remain to form the pattern, an organic solvent developer is employed. The organic developer can, for example, be a solvent chosen from ketones, esters, ethers, hydrocarbons, and mixtures thereof. Of these, 2-heptanone and n-butyl acetate are typical.
  • It is typical that the resist pattern, for example, the plurality of lines and/or posts have a duty ratio of 1:2 or more, 1:1.5 or more or 1:1 or more before trimming. In the case of lines and posts, duty ratio is defined as the ratio of linewidth or post diameter (L) to the space length (S) between adjacent lines or posts, respectively (i.e., L:S). A higher duty ratio refers to a higher density of lines or posts, while a lower duty ratio refers to a lower density of (i.e., more isolated) lines or posts. With reference to FIG. 1B, the duty ratio prior to trimming is L1:S1.
  • A layer 116 of a photoresist pattern trimming composition as described herein is formed over the photoresist pattern 106′ as shown in FIG. 1C. The trimming composition is typically applied to the substrate by spin-coating. The solids content of the coating solution can be adjusted to provide a desired film thickness based upon the specific coating equipment utilized, the viscosity of the solution, the speed of the coating tool and the amount of time allowed for spinning. A typical thickness for the pattern trimming layer 116 is from 200 to 1500 Å.
  • As shown in FIG. 1D, the substrate is next baked to remove solvent in the trimming layer, to allow for the free acid to diffuse into the surface of the underlying resist pattern 106′ and the polarity-changing reaction in the resist pattern surface region 118. The bake can be conducted on a hotplate or in an oven 120, with a hotplate being typical. Suitable bake temperatures are greater than 50° C., for example, greater than 70° C., greater than 90° C., greater than 120° C. or greater than 150° C., with a temperature of from 70 to 160° C. and a time of from about 30 to 90 seconds being typical. While a single baking step is typical, multiple-step baking can be used and may be useful for resist profile adjustment.
  • The photoresist pattern is next contacted with a developing solution to remove the trimming composition layer 116 and the surface region of the photoresist pattern 118, with the resulting trimmed pattern being shown in FIG. 1E. The developer is typically an aqueous alkaline developer, for example, a quaternary ammonium hydroxide solution, for example, a tetra-alkyl ammonium hydroxide solutions such as 0.26 Normality (N) (2.38 wt %) tetramethylammonium hydroxide (TMAH). Alternatively, an organic solvent developer can be used, for example, a solvent chosen from ketones, esters, ethers, hydrocarbons, and mixtures thereof. Of the organic solvent developers, 2-heptanone and n-butyl acetate are typical.
  • The duty ratio of the resist pattern after trimming (L2:S2) is typically 1:2 or less, 1:3 or less or 1:4 or less. In the case of a double patterning process, a typical duty ratio is about 1:1 before trimming and about 1:3 after trimming.
  • A spacer layer is next formed over the trimmed photoresist pattern and the upper surface of the substrate using known techniques. The spacer layer is typically formed of a material chosen from silicon nitrides, silicon oxides and silicon oxynitrides. Such materials can be deposited by various techniques, with chemical vapor deposition (CVD) such as plasma enhanced CVD being typical. This is followed by etching to remove all spacer layer material on horizontal surfaces of the lines and spaces, leaving behind spacers 120 on sidewalls of the photoresist pattern, as shown in FIG. 1F.
  • The first photoresist pattern 106″ is next removed, leaving behind spacers 120, as shown in FIG. 1G. Because the spacers are typically formed on all side surfaces of the first resist pattern with the resist pattern at the center, they generally result in a closed-ring structure. Therefore, in the case of fabricating a line pattern using the spacer, a trimming process may be performed to remove ends of the patterns to separate the spacer into a discrete line pattern. The trimming process can be conducted, for example, using known etching techniques.
  • The BARC layer 104, if present, is selectively etched using the spacers 120 as an etch mask, exposing the underlying hardmask layer 103. The hardmask layer is next selectively etched, again using the spacers 120 as an etch mask, resulting in patterned BARC and hardmask layers 104′, 103′, as shown in FIG. 1H. Suitable etching techniques and chemistries for etching the BARC layer and hardmask layer are known in the art and will depend, for example, on the particular materials of these layers. Dry-etching processes such as reactive ion etching are typical. The spacers 120 and patterned BARC layer 104′ are next removed from the substrate using known techniques.
  • Using the hardmask pattern 103′ as an etch mask, the one or more layers 102 are selectively etched. Suitable etching techniques and chemistries for etching the underlying layers 102 are known in the art, with dry-etching processes such as reactive ion etching being typical. The patterned hardmask layer can next be removed from the substrate surface using known techniques, for example, a dry-etching process such as reactive ion etching. The resulting structure is a pattern of etched features, for example, line and/or contact hole patterns. In an alternative exemplary method, it may be desirable to pattern the layers 102 directly without the use of a hardmask layer. Whether direct patterning is employed will depend on factors such as the materials involved, resist selectivity, resist pattern thickness and pattern dimensions.
  • The following non-limiting examples are illustrative of the invention.
  • EXAMPLES Photoresist Trimming Compositions Example 1 PTC 1
  • 2.726 copolymer of t-butyl acrylate/methacrylic acid (7/3 of mole ratio), 0.170 g of perfluorobutane sulfonic acid, 19.42 decane and 77.68 2-methyl-1-butynol were mixed until all components were dissolved. The resulting mixture was filtered with a 0.2 micron Nylon filter.
  • Example 2 PTC 2
  • 3.271 g polymer P1, 2.1808 g polymer P2, 0.348 g perfluorobutane sulfonic acid, 56.52 g 4-methyl-2-pentynol and 37.68 g di(isopentyl)ether were mixed until all components were dissolved. The resulting mixture was filtered with a 0.2 micron Nylon filter.
  • Figure US20130171574A1-20130704-C00006
  • Example 3 PTC 3
  • 13.5 g polyacrylic acid, 1.5 g trifluoromethylbenzyl sulfonic acid (TFMBSA) and 85 g water were mixed until all components were dissolved. The resulting mixture was filtered with a 0.2 micron nylon filter.
  • Example 4 (Comparative) PTC 4
  • 2.765 copolymer of t-butyl acrylate/methacrylic acid (7/3 of mole ratio), 0.135 g of camphor sulfonic acid, 19.420 decane and 77.68 2-methyl-1-butynol were mixed until all components were dissolved. The resulting mixture was filtered with a 0.2 micron Nylon filter.
  • Lithographic Processing Example 5
  • EPIC™ 3013 ArF positive tone photoresist (Rohm and Haas Electronic Materials LLC) was spin-coated on an organic bottom antireflective coating (BARC AR™124 23 nm/AR™26N 77 nm (Rohm and Haas Electronic Materials LLC)) over 12 inch silicon wafers and softbaked (SB) at 110° C. for 60 seconds, to a thickness of 900 Å. Opticoat™ OC2000 topcoat material (Rohm and Haas Electronic Materials LLC) was coated on the resist to form an immersion topcoat layer. The coated wafers were exposed with an ASML ArF 1900i immersion scanner with NA=1.35, Dipole 35Y illumination (0.9/0.635 sigma), plus x polarization, and post-exposure baked (PEB) at 100° C. for 60 seconds. The coated wafers were treated with 0.26N (normal) aqueous tetramethylammonium hydroxide solution to develop the imaged resist layers to form 50 nm line and 80 nm space patterns. Linewidth was measured for one of the patterned wafers. A 90 nm thick layer of the trimming composition of Example 1 (PTC 1) was spin-coated over another of the patterned wafers, and baked at 90° C. for 30 seconds. The wafer was then developed in 2.38% TMAH developer for 12 seconds with a TEL Lithus GP nozzle. The resist profile was visually observed by SEM and linewidth measurements were made. The results are shown in Table 1.
  • Example 6
  • The procedures of Example 5 were repeated except that the trimming composition of Example 2 (PTC 2) was used.
  • Example 7 (Comparative)
  • The procedures of Example 5 were repeated except that the trimming composition of Comparative Example 4 (PTC 4) was used and the trim-bake conditions were varied for different wafers as shown in Table 1.
  • Example 8
  • UV™210 KrF positive tone photoresist (Rohm and Haas Electronic Materials LLC) was spin coated on an organic bottom antireflective coating (AR™3 60 nm/Si (Rohm and Haas Electronic Materials LLC) over 8 inch silicon wafers and softbaked at 130° C. for 60 seconds, to a thickness of 3000 Å. The coated wafers were exposed on an ASML DUV 300 scanner with NA=0.63, annular conventional illumination (0.7 sigma), and then post-exposure baked (PEB) at 130° C. for 60 seconds. The coated wafers are then treated with 0.26N (normal) aqueous tetramethylammonium hydroxide solution to develop the imaged resist layer. Linewidth was measured for one of the patterned wafers. A 90 nm thick layer of the trimming composition of Example 3 (PTC 3) was spin-coated over another of the patterned wafers, and baked at 130° C. for 30 seconds and developed in 2.38% TMAH developer for 60 seconds. The resist profile after trimming was visually observed by SEM and linewidth measurements were made. The results are shown in Table 1.
  • TABLE 1
    Resist
    Linewidth CD Shrink Profile
    Trimming Trim-Bake Without After After
    Example Resist Composition Conditions Trimming Trimming Trimming
    5 A PTC 1 90° C./30 s 60 nm 26 nm
    6 A PTC 2 90° C./30 s 60 nm 17 nm
    7 (Comp) A PTC 3 90° C./30 s 60 nm <2 nm
    7 (Comp) A PTC 3 130° C./30 s  60 nm 10 nm X
    8 B PTC 4 130° C./30 s  305 nm  143 nm 
    Resist A = EPIC ™ 3013 ArF positive tone photoresist (Rohm and Haas Electronic Materials)
    Resist B = UV ™ 210 KrF positive tone photoresist (Rohm and Haas Electronic Materials)
    ◯ = good resist profile;
    X = poor resist profile

    The results indicate that the resist trimming compositions of the invention are effective to shrink CDs of the photoresist patterns.

Claims (10)

What is claimed is:
1. A method of trimming a photoresist pattern, comprising in sequence:
(a) providing a semiconductor substrate comprising one or more layers to be patterned on an upper surface thereof;
(b) forming a photoresist pattern on the one or more layers to be patterned, wherein the photoresist pattern comprises a plurality of features and is formed from a chemically amplified photoresist composition, the photoresist pattern comprising a matrix polymer having acid labile groups;
(c) coating a photoresist trimming composition over the photoresist pattern, wherein the trimming composition comprises a matrix polymer, a free acid having fluorine substitution and a solvent, and wherein the trimming composition is free of cross-linking agents;
(d) heating the coated semiconductor substrate, thereby causing a change in polarity of the photoresist matrix polymer in a surface region of the photoresist pattern; and
(e) contacting the photoresist pattern with a developing solution to remove the surface region of the photoresist pattern.
2. The method of claim 1, wherein the solvent of the photoresist trimming composition is chosen from water, organic solvents and mixtures thereof.
3. The method of claim 2, wherein the solvent of the photoresist trimming composition is chosen from alkanes, alcohols, ethers, esters, ketones and mixtures thereof.
4. The method of claim 1, wherein the matrix polymer of the photoresist trimming composition is soluble in aqueous alkaline developer.
5. The method of claim 1, wherein the developing solution is an aqueous tetramethylammonium hydroxide solution.
6. The method of claim 1, wherein the matrix polymer contains functional groups selected from —OH, —COOH, —SO3H, —SiOH, hydroxyl styrene, hydroxyl naphthalene, sulfonamide, hexafluoroisopropyl alcohol, anhydrate, lactone, ester, ether, allylamine, pyrolidone.
7. The method of claim 1, wherein the trimming composition further comprises a compound comprising functional groups chosen from —OH, —NH, —SH, ketones, aldehydes, —SiX wherein X is chosen from halogens, vinyl ethers and combinations thereof, and combinations thereof.
8. The method of claim 1, wherein the plurality of features of the photoresist pattern comprise a plurality of lines or posts having a duty ratio of 1:1 or more before trimming and 1:3 or less sense after trimming.
9. The method of claim 8, wherein the plurality of features of the photoresist pattern comprise a plurality of posts.
10. The method of any of claim 1, further comprising:
(f) forming sidewall spacers on the trimmed photoresist pattern after contacting the photoresist pattern with the developing solution; and
(g) removing the trimmed photoresist pattern, leaving sidewall spacers on the one or more layers to be patterned.
US13/731,940 2011-12-31 2012-12-31 Photoresist pattern trimming methods Abandoned US20130171574A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/731,940 US20130171574A1 (en) 2011-12-31 2012-12-31 Photoresist pattern trimming methods
US15/243,937 US9996008B2 (en) 2011-12-31 2016-08-22 Photoresist pattern trimming methods

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161582336P 2011-12-31 2011-12-31
US13/731,940 US20130171574A1 (en) 2011-12-31 2012-12-31 Photoresist pattern trimming methods

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/243,937 Continuation US9996008B2 (en) 2011-12-31 2016-08-22 Photoresist pattern trimming methods

Publications (1)

Publication Number Publication Date
US20130171574A1 true US20130171574A1 (en) 2013-07-04

Family

ID=48677284

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/731,940 Abandoned US20130171574A1 (en) 2011-12-31 2012-12-31 Photoresist pattern trimming methods
US15/243,937 Active US9996008B2 (en) 2011-12-31 2016-08-22 Photoresist pattern trimming methods

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/243,937 Active US9996008B2 (en) 2011-12-31 2016-08-22 Photoresist pattern trimming methods

Country Status (5)

Country Link
US (2) US20130171574A1 (en)
JP (1) JP6155025B2 (en)
KR (1) KR102129856B1 (en)
CN (1) CN103186038B (en)
TW (1) TWI510854B (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140187027A1 (en) * 2012-12-31 2014-07-03 Rohm And Haas Electronic Materials Llc Ion implantation methods
US20150364334A1 (en) * 2014-06-13 2015-12-17 Yool Kang Method of forming patterns and method of manufacturing integrated circuit device
US9448486B2 (en) 2013-12-30 2016-09-20 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and methods
US9696629B2 (en) 2014-12-31 2017-07-04 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and methods
US9753370B2 (en) 2014-08-27 2017-09-05 Dow Global Technologies Llc Multiple-pattern forming methods
US9760011B1 (en) 2016-03-07 2017-09-12 Rohm And Haas Electronic Materials Llc Pattern trimming compositions and methods
US9869933B2 (en) 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods
US10007179B2 (en) 2015-10-31 2018-06-26 Rohm And Haas Electronic Materials Llc Thermal acid generators and photoresist pattern trimming compositions and methods
US20180233353A1 (en) * 2017-02-10 2018-08-16 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US20180261456A1 (en) * 2017-03-13 2018-09-13 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
US10162266B2 (en) 2012-12-31 2018-12-25 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming methods
US10241407B2 (en) 2015-10-31 2019-03-26 Rohm And Haas Electronic Materials Llc Thermal acid generators and photoresist pattern trimming compositions and methods
US10312108B2 (en) * 2017-04-06 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using modified resist layer
US20190243249A1 (en) * 2016-03-30 2019-08-08 Nissan Chemical Corporation Aqueous solution for resist pattern coating and pattern forming methods using the same
US10429740B2 (en) 2015-03-19 2019-10-01 Tokyo Ohka Kogyo Co., Ltd. Method of recovering resist pattern
US11003074B2 (en) 2017-05-01 2021-05-11 Rohm And Haas Electronic Materials Llc Pattern formation methods and photoresist pattern overcoat compositions
CN113930151A (en) * 2021-10-14 2022-01-14 厦门恒坤新材料科技股份有限公司 Anti-reflective coating composition containing self-crosslinkable mercaptomelamine polymer, preparation method thereof and pattern forming method
US11506981B2 (en) 2019-05-31 2022-11-22 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods
US11754927B2 (en) 2019-05-31 2023-09-12 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods
US11846885B2 (en) 2013-12-30 2023-12-19 Rohm And Haas Electronic Materials, Llc Topcoat compositions and photolithographic methods

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5923312B2 (en) * 2012-01-20 2016-05-24 東京応化工業株式会社 Resist composition and resist pattern forming method
JP5776615B2 (en) * 2012-04-11 2015-09-09 信越化学工業株式会社 Pattern formation method
JP6340304B2 (en) * 2013-11-29 2018-06-06 富士フイルム株式会社 Pattern forming method and electronic device manufacturing method
CN104091863B (en) * 2014-07-09 2017-03-08 湘能华磊光电股份有限公司 Remove the method that LED core particle carries on the back coating
JP6497527B2 (en) * 2014-09-19 2019-04-10 日産化学株式会社 Coating liquid for resist pattern coating
TWI676863B (en) * 2014-10-06 2019-11-11 日商東京應化工業股份有限公司 Method of trimming resist pattern
KR102480056B1 (en) * 2014-10-17 2022-12-21 도오꾜오까고오교 가부시끼가이샤 Method of forming resist pattern
JP6483397B2 (en) * 2014-10-17 2019-03-13 東京応化工業株式会社 Resist pattern forming method
JP6455370B2 (en) * 2014-10-30 2019-01-23 信越化学工業株式会社 Pattern forming method and shrink agent
JP6455369B2 (en) * 2014-10-30 2019-01-23 信越化学工業株式会社 Pattern forming method and shrink agent
US9786503B2 (en) * 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US11333968B2 (en) * 2017-02-22 2022-05-17 Tokyo Electron Limited Method for reducing lithography defects and pattern transfer
CN107146796B (en) * 2017-04-13 2019-12-31 武汉新芯集成电路制造有限公司 Method for improving resolution of back metal grid and semiconductor structure
KR102417180B1 (en) * 2017-09-29 2022-07-05 삼성전자주식회사 Photoresist composition for DUV, patterning method, and method of manufacturing semiconductor device
CN110373649B (en) * 2019-08-21 2021-09-14 维达力实业(深圳)有限公司 Processing method of plating layer pattern
CN111128693B (en) * 2019-12-30 2023-09-22 上海集成电路研发中心有限公司 Photoetching defect repairing method
US11392036B2 (en) * 2020-01-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist and method
CN111474833A (en) * 2020-05-29 2020-07-31 常州时创新材料有限公司 Photoetching wetting liquid and application thereof
US20220128906A1 (en) * 2020-10-27 2022-04-28 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and methods of trimming photoresist patterns

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080122125A1 (en) * 2006-11-29 2008-05-29 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
JP4329216B2 (en) * 2000-03-31 2009-09-09 Jsr株式会社 Resist pattern reduction material and method for forming fine resist pattern using the same
US6492075B1 (en) * 2000-06-16 2002-12-10 Advanced Micro Devices, Inc. Chemical trim process
JP2002006512A (en) 2000-06-20 2002-01-09 Mitsubishi Electric Corp Fine pattern forming method, fine pattern forming material and method for producing semiconductor device using the method
JP2002299202A (en) 2001-03-29 2002-10-11 Sony Corp Method for fabricating semiconductor device
JP3476080B2 (en) 2001-11-05 2003-12-10 東京応化工業株式会社 Method of forming fine pattern
JP3953822B2 (en) 2002-01-25 2007-08-08 富士通株式会社 Resist pattern thinning material, resist pattern and manufacturing method thereof, and semiconductor device and manufacturing method thereof
CN1288719C (en) 2003-03-10 2006-12-06 联华电子股份有限公司 Microprocess for pattern photoresist
US20060257749A1 (en) * 2005-05-16 2006-11-16 Sheng-Yueh Chang Method for reducing critical dimension
JP2007094058A (en) 2005-09-29 2007-04-12 Elpida Memory Inc Method for forming pattern
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US7862982B2 (en) * 2008-06-12 2011-01-04 International Business Machines Corporation Chemical trim of photoresist lines by means of a tuned overcoat material
JP4779028B2 (en) 2009-02-27 2011-09-21 パナソニック株式会社 Pattern formation method
KR20110060724A (en) * 2009-11-30 2011-06-08 주식회사 하이닉스반도체 Method for forming contact hole pattern
US20110174774A1 (en) 2010-01-21 2011-07-21 Ying-Chih Lin Method of descumming patterned photoresist
JP5507380B2 (en) * 2010-02-23 2014-05-28 東京応化工業株式会社 Pattern formation method
JP6108832B2 (en) * 2011-12-31 2017-04-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Photoresist pattern trimming method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080122125A1 (en) * 2006-11-29 2008-05-29 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10162266B2 (en) 2012-12-31 2018-12-25 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming methods
US9666436B2 (en) * 2012-12-31 2017-05-30 Rohm And Haas Electronic Materials Llc Ion implantation methods
US20140187027A1 (en) * 2012-12-31 2014-07-03 Rohm And Haas Electronic Materials Llc Ion implantation methods
US9448486B2 (en) 2013-12-30 2016-09-20 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and methods
US11846885B2 (en) 2013-12-30 2023-12-19 Rohm And Haas Electronic Materials, Llc Topcoat compositions and photolithographic methods
US20150364334A1 (en) * 2014-06-13 2015-12-17 Yool Kang Method of forming patterns and method of manufacturing integrated circuit device
KR20150143169A (en) * 2014-06-13 2015-12-23 삼성전자주식회사 Method of forming patterns and method of manufacturing integrated circuit device using the same
US9613821B2 (en) * 2014-06-13 2017-04-04 Samsung Electronics Co., Ltd. Method of forming patterns and method of manufacturing integrated circuit device
KR102235611B1 (en) 2014-06-13 2021-04-02 삼성전자주식회사 Method of forming patterns and method of manufacturing integrated circuit device using the same
US9753370B2 (en) 2014-08-27 2017-09-05 Dow Global Technologies Llc Multiple-pattern forming methods
US9696629B2 (en) 2014-12-31 2017-07-04 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and methods
US10429740B2 (en) 2015-03-19 2019-10-01 Tokyo Ohka Kogyo Co., Ltd. Method of recovering resist pattern
US10007179B2 (en) 2015-10-31 2018-06-26 Rohm And Haas Electronic Materials Llc Thermal acid generators and photoresist pattern trimming compositions and methods
US10241407B2 (en) 2015-10-31 2019-03-26 Rohm And Haas Electronic Materials Llc Thermal acid generators and photoresist pattern trimming compositions and methods
US9760011B1 (en) 2016-03-07 2017-09-12 Rohm And Haas Electronic Materials Llc Pattern trimming compositions and methods
US9869933B2 (en) 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods
US11009795B2 (en) * 2016-03-30 2021-05-18 Nissan Chemical Corporation Aqueous solution for resist pattern coating and pattern forming methods using the same
US20190243249A1 (en) * 2016-03-30 2019-08-08 Nissan Chemical Corporation Aqueous solution for resist pattern coating and pattern forming methods using the same
US20180233353A1 (en) * 2017-02-10 2018-08-16 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US20180233352A1 (en) * 2017-02-10 2018-08-16 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10388521B2 (en) * 2017-02-10 2019-08-20 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10217633B2 (en) * 2017-03-13 2019-02-26 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
US20180261456A1 (en) * 2017-03-13 2018-09-13 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
US10312108B2 (en) * 2017-04-06 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using modified resist layer
US11003074B2 (en) 2017-05-01 2021-05-11 Rohm And Haas Electronic Materials Llc Pattern formation methods and photoresist pattern overcoat compositions
US11796916B2 (en) 2017-05-01 2023-10-24 Rohm And Haas Electronic Materials Llc Pattern formation methods and photoresist pattern overcoat compositions
US11506981B2 (en) 2019-05-31 2022-11-22 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods
US11754927B2 (en) 2019-05-31 2023-09-12 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods
CN113930151A (en) * 2021-10-14 2022-01-14 厦门恒坤新材料科技股份有限公司 Anti-reflective coating composition containing self-crosslinkable mercaptomelamine polymer, preparation method thereof and pattern forming method

Also Published As

Publication number Publication date
JP2013156629A (en) 2013-08-15
CN103186038B (en) 2015-10-21
TW201341948A (en) 2013-10-16
KR20130079294A (en) 2013-07-10
US9996008B2 (en) 2018-06-12
US20170045822A1 (en) 2017-02-16
TWI510854B (en) 2015-12-01
KR102129856B1 (en) 2020-07-03
CN103186038A (en) 2013-07-03
JP6155025B2 (en) 2017-06-28

Similar Documents

Publication Publication Date Title
US9996008B2 (en) Photoresist pattern trimming methods
US9583344B2 (en) Photoresist pattern trimming methods
US10162266B2 (en) Photoresist pattern trimming methods
KR102039572B1 (en) Multiple-pattern forming methods
US9448486B2 (en) Photoresist pattern trimming compositions and methods
US9696629B2 (en) Photoresist pattern trimming compositions and methods
US9209028B2 (en) Ion implantation methods
US9869933B2 (en) Pattern trimming methods
TWI556290B (en) Ion implantation methods
US10684549B2 (en) Pattern-formation methods
JP6581130B2 (en) Pattern trimming composition and method

Legal Events

Date Code Title Description
AS Assignment

Owner name: ROHM AND HAAS ELECTRONIC MATERIALS LLC, MASSACHUSE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:XU, CHENG-BAI;REEL/FRAME:034943/0469

Effective date: 20130128

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION