US20120295828A1 - Composition for Removing Photoresist and/or Etching Residue From a Substrate and Use Thereof - Google Patents

Composition for Removing Photoresist and/or Etching Residue From a Substrate and Use Thereof Download PDF

Info

Publication number
US20120295828A1
US20120295828A1 US13/562,993 US201213562993A US2012295828A1 US 20120295828 A1 US20120295828 A1 US 20120295828A1 US 201213562993 A US201213562993 A US 201213562993A US 2012295828 A1 US2012295828 A1 US 2012295828A1
Authority
US
United States
Prior art keywords
ether
composition
glycol
weight
hydroxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/562,993
Inventor
Matthew I. Egbe
Denise Geitz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to US13/562,993 priority Critical patent/US20120295828A1/en
Publication of US20120295828A1 publication Critical patent/US20120295828A1/en
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Definitions

  • RIE reactive ion etching
  • complex semi-conductor devices such as advanced DRAMS and microprocessors, which require multiple layers of back end of line interconnect wiring, utilize RIE to produce vias, metal lines and trench structures.
  • Vias are used, through the interlayer dielectric, to provide contact between one level of silicon, silicide or metal wiring and the next level of wiring.
  • Metal lines are conductive structures used as device interconnects.
  • Trench structures are used in the formation of metal line structures.
  • metal lines and trench structures typically expose metals and alloys such as Al, Al and Cu alloys, Cu, Ti, TiN, Ta, TaN, W, TiW, silicon or a silicide such as a silicide of tungsten, titanium or cobalt.
  • the RIE process typically leaves a residue (of a complex mixture) that may include re-sputtered oxide material as well as possibly organic materials from photoresist and antireflective coating materials used to lithographically define the vias, metal lines and or trench structures.
  • a selective cleaning composition and process capable of removing residues such as, for example, remaining photoresist and/or processing residues, such as for example, residues resulting from selective etching using plasmas and/or RIE.
  • a selective cleaning composition and process capable of removing residues such as photoresist and etching residue, that exhibits high selectivity for the residue as compared to metals, high k dielectric materials, silicon, silicide and/or interlevel dielectric materials including low k dielectric materials such as deposited oxides that might also be exposed to the cleaning composition.
  • composition disclosed herein is capable of selectively removing residue such as photoresist and processing residue from a substrate without attacking to any undesired extent metal, low k, and/or high k dielectric materials that might also be exposed to the composition.
  • the composition disclosed herein may exhibit minimal etch rates of certain dielectric materials such as silicon oxide.
  • composition for removing residues comprising at least about 50% by weight of an organic solvent; wherein at least about 50% of the organic solvent contained therein is a glycol ether; and at least about 0.5% by weight of a quaternary ammonium compound.
  • the composition may further include an auxiliary organic solvent that includes at least one of a dihydric alcohol and/or a polyhydric alcohol.
  • the composition may comprise water and optionally a corrosion inhibitor.
  • Also disclosed herein is a method for removing residues including photoresist and/or etching residue from a substrate that comprises contacting the substrate with the above-disclosed composition.
  • typical contaminants to be removed may include, for example, organic compounds such as exposed photoresist material, photoresist residue, UV- or X-ray-hardened photoresist, C-F-containing polymers, low and high molecular weight polymers, and other organic etch residues; inorganic compounds such as metal oxides, ceramic particles from CMP slurries and other inorganic etch residues; metal containing compounds such as organometallic residues and metal organic compounds; ionic and neutral, light and heavy inorganic (metal) species, moisture, and insoluble materials, including particles generated by processing such as planarization and etching processes.
  • residues removed are processing residues such as those created by reactive ion etching.
  • the photoresist and/or processing residues are typically present in an article that also includes metal, silicon, silicate and/or interlevel dielectric material such as deposited silicon oxides and derivitized silicon oxides such as HSQ, MSQ, FOX, TEOS and Spin-On Glass, and/or high-k materials such as hafnium silicate, hafnium oxide, barium strontium titanium (BST), Ta 2 O 5 , and TiO 2 , wherein both the photoresist and/or residues and the metal, silicon, silicide, interlevel dielectric materials and/or high-k materials will come in contact with the cleaning composition.
  • metal, silicon, silicate and/or interlevel dielectric material such as deposited silicon oxides and derivitized silicon oxides such as HSQ, MSQ, FOX, TEOS and Spin-On Glass
  • high-k materials such as hafnium silicate, hafnium oxide, barium strontium titanium (BST), Ta 2 O 5 , and TiO 2
  • compositions and method disclosed herein provides for selectively removing residues without significantly attacking the metal, silicon, silicon dioxide, interlevel dielectric materials, and/or high-k materials.
  • the composition disclosured herein may be suitable for structures containing sensitive low k-films.
  • the substrate may contain a metal, such as, but not limited to, copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, titanium/tungsten, aluminum and/or aluminum alloys.
  • the compositions disclosed herein may comprise at least about 50% by weight of an organic solvent wherein at least about 50% of the organic solvent contained therein is a glycol ether and at least about 0.5% by weight of a quaternary ammonium compound.
  • the composition may contain from about 50 to about 70% of the glycol ether, or from about 50 to about 60% of the glycol ether.
  • the glycol ethers are typically water miscible and may include glycol mono(C 1 -C 6 )alkyl ethers and glycol di(C 1 -C 6 )alkyl ethers, such as but not limited to, (C 1 -C 20 )alkane diols, (C 1 -C 6 )alkyl ethers, and (C 1 -C 20 )alkane diol di(C 1 -C 6 )alkyl ethers.
  • glycol ethers are ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, diethylene glycol monoisopropyl ether diethylene glycol monobutyl ether, diethylene glycol monoisobutyl ether, diethylene glycol monobenzyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, triethylene glycol monomethyl ether, triethylene glycol dimethyl ether, polyethylene glycol monomethyl ether, diethylene glycol methyl ethyl ether, triethylene glycol ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether, propylene glycol dimethyl ether, propylene glycol
  • glycol ethers More typical examples of glycol ethers are propylene glycol monomethyl ether, propylene glycol monopropyl ether, tri(propylene glycol)monomethyl ether and 2-(2-butoxyethoxy)ethanol.
  • the composition further includes one or more quaternary ammonium compound.
  • quaternary ammonium compounds include lower-alkyl (e.g. (C 1 -C 4 ) quaternary ammonium compounds and include tetramethylammounium hydroxide (TMAH), tetraethylammounium hydroxide, tetrabutylammonium hydroxide, tetrapropylammounium hydroxide, trimethylethylammonium hydroxide, (2-hydroxyethyl)trimethylammonium hydroxide, (2-hydroxyethyl)triethylammonium hydroxide, (2-hydroxyethyl)tripropylammonium hydroxide, and (1-hydroxypropyl)trimethylammonium hydroxide.
  • TMAH tetramethylammounium hydroxide
  • TMAH tetraethylammounium hydroxide
  • the quaternary ammonium compounds are added to the compositions in the free base or hydroxide form.
  • the quaternary ammonium compounds are present in an amount ranging from about 0.5% to 15%.
  • the compositions may contain from about 0.5% to about 5% or from about 1% to about 5%.
  • the composition may contain one or more auxiliary organic solvent.
  • the organic solvent may be water miscible and includes dihydric and polyhydric alcohols such as diols and polyols such as (C 2 -C 20 ) alkane diols and (C 3 -C 20 ) alkane triols, cyclic alcohols and substituted alcohols.
  • these auxiliary organic solvents are propylene glycol, tetrahydrofurfuryl alcohol, diacetone alcohol and 1,4-cyclohexanedimethanol.
  • the auxiliary organic cosolvent is present in amounts ranging from 0.1 to about 40% or from 0.1 to 20% by weight.
  • the composition may optionally contain up to about 40% by weight of water, or up to about 35% by weight of water or up to about 10% by weight of water.
  • the water is deionized water.
  • compositions of the present disclosure can also optionally contain up to about 20% by weight, or about 0.2 to about 19% by weight of a corrosion inhibitor.
  • corrosion inhibitors include, but are not limited to, organic acids, organic acid salts, catechol, gallic acid, benzotriazole (BZT), resorcinol, other phenols, acids or triazoles, and more typically hydroxylamines or acid salts thereof.
  • Preferred hydroxylamines are diethylhydroxylamine and the lactic acid and citric acid salts thereof.
  • hydroxylamines are not considered as being compatible with copper because of their ability to etch. However, in the composition of the present disclosure they surprisingly inhibit copper corrosion.
  • the composition may include a fluoride containing compound.
  • Fluoride containing compounds may include those of the general formula R 1 R 2 R 3 R 4 NF where R 1 , R 2 , R 3 , and R 4 are each independently hydrogen, an alcohol group, an alkoxy group, an alkyl group and mixtures thereof. Examples of such compositions are ammonium fluoride, tetramethyl ammonium fluoride and tetraethyl ammonium fluoride. Still further examples of fluoride-containing compounds include fluoroboric acid, hydrofluoric acid, and choline fluoride.
  • the fluoride containing compound or mixture thereof is present in amounts of from 0.1% by weight to 20% or from 0.1 to 10% by weight based on the total weight of the composition.
  • the fluoride compound is added to the compositions in the form of a fluoride salt.
  • the composition may also include one or more of the following additives: surfactants, chelating agents, chemical modifiers, dyes, biocides, and other additives.
  • additives include acetylenic alcohols and derivatives thereof, acetylenic diols (non-ionic alkoxylated and/or self-emulsifiable acetylenic diol surfactants) and derivatives thereof, alcohols, quaternary amines and di-amines, amides (including aprotic solvents such as dimethyl formamide and dimethyl acetamide), alkyl alkanolamines (such as diethanolethylamine), and chelating agents such as beta-diketones, beta-ketoimines, carboxylic acids, mallic acid and tartaric acid based esters and diesters and derivatives thereof, and tertiary amines, diamines and triamines.
  • compositions disclosed herein are compatible with low-k films such as HSQ (FOx), MSQ, SILK, etc. including those containing a fluoride.
  • the formulations are also effective in stripping photoresists including positive and negative photoresists and plasma etch residues such as organic residues, organometallic residues, inorganic residues, metallic oxides, or photoresist complexes at low temperatures with very low corrosion of aluminum, copper, titanium containing substrates.
  • the compositions are compatible with a variety of high dielectric constant materials.
  • a photoresist layer is coated on the substrate.
  • a pattern is defined on the photoresist layer.
  • the patterned photoresist layer is thus subjected to plasma etch by which the pattern is transferred to the substrate.
  • Etch residues are generated in the etch stage.
  • Some of the substrates used in this invention are ashed while some are not ashed.
  • the main residues to be cleaned are etchant residues. If the substrates are not ashed, then the main residues to be cleaned or stripped are both etch residues and photoresists.
  • the composition disclosed herein are used to remove post etch and ash, organic and inorganic residues as well as polymeric residues from semiconductor substrates at low temperatures with low corrosion.
  • the stripping and cleaning processes using the composition disclosed herein are carried out by immersing a substrate in the stripper/cleaner composition at one or more temperatures ranging from 25° C. to 85° C. for a period of time ranging from 3 minutes to 1 hour.
  • the composition can be used in any method known in the art that utilizes a cleaning fluid for the removal of photoresist, ash or etch residues and/or residues.
  • the photoresists in the following examples undergo one of the processes as discussed below.
  • a positive photoresist is a spin-coated on to a substrate.
  • the positive photoresist comprises diazonaphthoquinone and novolak resin.
  • the photoresist after being coated is baked at about 90° C. for about 90 seconds.
  • a pattern is defined on the photoresist by exposure, through a patterned mask, to i-line (365 nm) rays followed by development. The pattern is then transferred via plasma etch to the substrate.
  • a negative photoresist is spin-coated on to a substrate.
  • the photoresist after being coated is baked at about 90° C. for about 90 seconds.
  • a pattern is defined on the photoresist by exposure, through a patterned mask, to i-line (365 nm) rays followed by development. The pattern is then transferred via plasma etch to the substrate.
  • a positive photoresist is spin-coated on to a substrate.
  • the photoresist coated is baked at 90° C. for 90 seconds.
  • a pattern is defined on the photoresist by exposure, through a patterned mask, to deep ultra-violet (248 nm) rays followed by development. The pattern is then transferred via plasma etch to the substrate.
  • the stripper and cleaner compositions of the present invention are typically prepared by mixing the components together in a vessel at room temperature until all solids have dissolved.
  • Examples of the aqueous stripper and cleaner compositions are set forth in Table I.
  • the summary of etch rate data and cleaning data are provided in Tables II and III, respectively.
  • a photoresist layer is coated on the substrate.
  • a pattern is defined on to the photoresist layer.
  • the patterned photoresist layer is thus subjected to plasma etch by which the pattern is transferred to the substrate.
  • Etch residues are generated in the etch stage.
  • Some of the substrates used in this disclosure are ashed while some are not ashed. When the substrates are ashed the main residues to be cleaned are etch residues. If the substrates are not ashed, then the main residues to be cleaned or stripped are both etch residues and photoresists.
  • Metal etch rates were determined using a CDE ResMap 273 Four Point Probe (E-M-DGLAB-0007). 500 mls of test solution was placed in a 600 ml beaker with stirring and heated, if required to the specified temperature. If the metal to be tested was titanium, an initial dip in phosphoric acid was required. The initial thickness of a wafer was determined using the CDE ResMap 273 Four Point Probe. After determining the initial thickness, test wafers were immersed in the test solution. If only one test wafer was being examined, a dummy wafer was added to the solution.
  • CDE ResMap 273 Four Point Probe E-M-DGLAB-0007
  • test wafers were removed from the test solution, rinsed for three minutes with deionized water and completely dried under nitrogen. If a negative stripper solution was used, an intermediate rinse of the test wafer in a solvent such as DMAC or IPA (isopropyl alcohol) was performed for three minutes prior to the water wash. The thickness of each wafer was measured and if necessary the procedure was repeated on the test wafer.
  • a solvent such as DMAC or IPA (isopropyl alcohol
  • Oxide etch rates were determined using a Nanospec AFT 181 (E-M-DGLAB-0009). 200 mls of a test solution was placed in a 250 ml beaker with stirring and heated, if required, to the specified temperature. Three circles were scribed on each of the wafers to be tested. The marked areas on each wafer were the areas in which measurements would be taken. Initial measurements of each wafer were taken. After the initial measurements the wafers were immersed in the test solution for five minutes. If only one wafer was placed in a beaker containing solution a dummy wafer was placed in the beaker. After five minutes each test wafer was washed with deionized water for three minutes and dried under nitrogen. If a negative stripper solution was used DMAC, IPA or another suitable solvent was used to rinse the test wafers for three minutes prior to the water rinse. Measurements of the scribed areas on each wafer were taken and if necessary the procedure was repeated.
  • Example A Example B
  • Example C Example A1 BEE 92 BEE 89 THFA 48 PGME 57.6 TBAH 0.6 TBAH 0.6 TMAH 5 Water 20.9 p-TSA 2.8 p-TSA 2.8 p-TSA 2.8 TBAH 1.1 MEA 1 MEA 1 MEA 1 TEA/p-TSA 2.4 Water 3.6 Water 3.6 Water 13.2 DEHA 5 3 PGME 30 Resorcinol 3
  • Example D Example E
  • Example F Example A2 PGME 92 PGME 75 PGME 76 PGME 62.7 TBAH 0.6 TMAH 5.5 TMAH 5.5 DI Water 25 p-TSA 2.8 p-TSA 2.8 p-TSA 4 TMAF 1 MEA 1 MEA 1 MEA 1.4 lactic acid 3 Water 3.6 water 15.7 Water 10.1 TEAH 8.3 Citric acid 3
  • Example G Example H
  • Example I Example A3 PGME 55 PGME 69 PGME 80 PGPE 36 TBAH
  • Example A is a cleaning and stripping composition for removing etch residues and photoresists from metal lines as well as vias.
  • Example C consists of 48 weight % of THFA, 5 weight % of TMAH, 30 weight % of PGME, 2.8 weight % of p-TSA, 1 weight % of MEA and 13.2 weight % of deionized water.
  • Example C is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as high-k materials.
  • Example E consists of 75 weight % of PGME, 5.5 weight % of TBAH, 2.8 weight % of p-TSA, 1 weight % of MEA and 13.2 weight % of deionized water.
  • Example E is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as high-k materials.
  • Example H consists of 69 weight % of PGME, 5.5 weight % of TBAH, 10 weight % of DEHA, 4 weight % of p-TSA, 1.4 weight % of MEA and 10.1 weight % of deionized water.
  • Example H is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as high-k materials.
  • Example K consists of 56 weight % of PGPE, 0.6 weight % of TBAH, 39 weight % of t-PGME, 3 weight % of p-TSA, 1 weight % of MEA and 0.4 weight % of deionized water.
  • Example K is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well a high-k materials.
  • Example N consists of 66 weight % of PGPE, 5.5 weight % of TMAH, 10 weight % of PG, 3 weight % of p-TSA, 8 weight % of DEHA, 2 weight % of lactic acid and 5.5 weight % of deionized water.
  • Example N is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as high-k materials.
  • Example O consists of 66 weight % of PGPE, 5.5 weight % of TMAH, 13 weight % of PG, 8 weight % of DEHA, 2 weight % of lactic acid and 5.5 weight % of deionized water.
  • Example O is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as a high-k materials.
  • Example P consists of 66 weight % of PGPE, 5.5 weight % of TMAH, 13 weight % of PG, 8 weight % of DEHA, 2 weight % of lactic acid and 5.5 weight % of deionized water.
  • Example P is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as a high-k materials.
  • Example Q consists of 80 weight % of t-PGME, 1.1 weight % of TBAH, 10 weight % of t-BA, 6 weight % of p-TSA, 2 weight % of MEA and 0.9 weight % of deionized water.
  • Example Q is a cleaning and stripping composition for removing etch residue and photoresists metal lines as well as a vias.
  • Example Al consists of 57.6 weight % of PGME, 1.1 weight % of TBAH, 2.4 weight % of triethanolammonium p-tosylate, 5 weight % of DEHA, 3 weight % of resorcinol and 20.9 weight % of deionized water.
  • Example A1 is a cleaning and stripping composition for removing etch residue and photoresists metal substrates, low-k materials as well as a high-k materials.
  • Example A2 consists of 62.7 weight % of PGME, 1.6 weight of TEAH, 1 weight % of tetramethylammonium fluoride, 3 weight % of lactic acid and 31.7 weight % of deionized water.
  • Example A2 is a cleaning and stripping composition for removing etch residue and photoresists metal substrates, low-k materials as well as a high-k materials.
  • Example A5 consists of 57 weight % of PGME, 6 weight % of TMAH, 4 weight % of DEHA, 2 weight % of lactic acid and 31 weight % of deionized water.
  • Example A5 is a cleaning and stripping composition for removing etch residue and photoresists metal substrates, low-k materials as well as a high-k materials.

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Compositions containing certain organic solvents comprising at least 50% by weight of a glycol ether and a quaternary ammonium compound are capable of removing residues such as photoresist and/or etching residue from an article.

Description

    RELATED APPLICATION
  • This application is a continuation of U.S. Ser. No. 10/896,589 (Attorney docket 06586 USA) filed Jul. 22, 2004, having the same title and inventors, which is incorporated in its entirety herein by reference.
  • BACKGROUND OF THE INVENTION
  • Numerous steps are involved in the fabrication of microelectronic structures. Within the manufacturing scheme of fabricating integrated circuits selective etching of different surfaces of the semiconductor is sometimes required. Historically, a number of vastly different types of etching processes, to selectively remove material, have been successfully utilized to varying degrees. Moreover, the selective etching of different layers, within the microelectronic structure, is considered a critical and crucial step in the integrated circuit fabrication process.
  • Increasingly, reactive ion etching (RIE), is the process of choice for pattern transfer during via, metal line and trench formation. For instance, complex semi-conductor devices such as advanced DRAMS and microprocessors, which require multiple layers of back end of line interconnect wiring, utilize RIE to produce vias, metal lines and trench structures. Vias are used, through the interlayer dielectric, to provide contact between one level of silicon, silicide or metal wiring and the next level of wiring. Metal lines are conductive structures used as device interconnects. Trench structures are used in the formation of metal line structures. Vias, metal lines and trench structures typically expose metals and alloys such as Al, Al and Cu alloys, Cu, Ti, TiN, Ta, TaN, W, TiW, silicon or a silicide such as a silicide of tungsten, titanium or cobalt. The RIE process typically leaves a residue (of a complex mixture) that may include re-sputtered oxide material as well as possibly organic materials from photoresist and antireflective coating materials used to lithographically define the vias, metal lines and or trench structures.
  • It would therefore be desirable to provide a selective cleaning composition and process capable of removing residues such as, for example, remaining photoresist and/or processing residues, such as for example, residues resulting from selective etching using plasmas and/or RIE. Moreover, it would be desirable to provide a selective cleaning composition and process, capable of removing residues such as photoresist and etching residue, that exhibits high selectivity for the residue as compared to metals, high k dielectric materials, silicon, silicide and/or interlevel dielectric materials including low k dielectric materials such as deposited oxides that might also be exposed to the cleaning composition. It would be desirable to provide a composition that is compatible with and can be used with such sensitive low-k films as HSQ, MSQ, FOx, black diamond and TEOS (tetraethylsilicate).
  • SUMMARY OF THE INVENTION
  • The composition disclosed herein is capable of selectively removing residue such as photoresist and processing residue from a substrate without attacking to any undesired extent metal, low k, and/or high k dielectric materials that might also be exposed to the composition. In addition, the composition disclosed herein may exhibit minimal etch rates of certain dielectric materials such as silicon oxide.
  • In one aspect, there is provided a composition for removing residues comprising at least about 50% by weight of an organic solvent; wherein at least about 50% of the organic solvent contained therein is a glycol ether; and at least about 0.5% by weight of a quaternary ammonium compound.
  • In another aspect, the composition may further include an auxiliary organic solvent that includes at least one of a dihydric alcohol and/or a polyhydric alcohol. In still a further aspect, the composition may comprise water and optionally a corrosion inhibitor.
  • Also disclosed herein is a method for removing residues including photoresist and/or etching residue from a substrate that comprises contacting the substrate with the above-disclosed composition.
  • BEST AND VARIOUS MODES FOR CARRYING OUT INVENTION
  • A composition and process comprising same for selectively removing residues such as, for example, photoresist and/or processing residues such as the residues generated by etching particularly reactive ion etching. In a cleaning process involving articles such as substrates useful for microelectronic devices, typical contaminants to be removed may include, for example, organic compounds such as exposed photoresist material, photoresist residue, UV- or X-ray-hardened photoresist, C-F-containing polymers, low and high molecular weight polymers, and other organic etch residues; inorganic compounds such as metal oxides, ceramic particles from CMP slurries and other inorganic etch residues; metal containing compounds such as organometallic residues and metal organic compounds; ionic and neutral, light and heavy inorganic (metal) species, moisture, and insoluble materials, including particles generated by processing such as planarization and etching processes. In one particular embodiment, residues removed are processing residues such as those created by reactive ion etching.
  • Moreover, the photoresist and/or processing residues are typically present in an article that also includes metal, silicon, silicate and/or interlevel dielectric material such as deposited silicon oxides and derivitized silicon oxides such as HSQ, MSQ, FOX, TEOS and Spin-On Glass, and/or high-k materials such as hafnium silicate, hafnium oxide, barium strontium titanium (BST), Ta2O5, and TiO2, wherein both the photoresist and/or residues and the metal, silicon, silicide, interlevel dielectric materials and/or high-k materials will come in contact with the cleaning composition. The composition and method disclosed herein provides for selectively removing residues without significantly attacking the metal, silicon, silicon dioxide, interlevel dielectric materials, and/or high-k materials. In one embodiment, the composition disclosured herein may be suitable for structures containing sensitive low k-films. In certain embodiments, the substrate may contain a metal, such as, but not limited to, copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, titanium/tungsten, aluminum and/or aluminum alloys. The compositions disclosed herein may comprise at least about 50% by weight of an organic solvent wherein at least about 50% of the organic solvent contained therein is a glycol ether and at least about 0.5% by weight of a quaternary ammonium compound. In certain embodiments, the composition may contain from about 50 to about 70% of the glycol ether, or from about 50 to about 60% of the glycol ether.
  • The glycol ethers are typically water miscible and may include glycol mono(C1-C6)alkyl ethers and glycol di(C1-C6)alkyl ethers, such as but not limited to, (C1-C20)alkane diols, (C1-C6)alkyl ethers, and (C1-C20)alkane diol di(C1-C6)alkyl ethers.
  • Examples of glycol ethers are ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, diethylene glycol monoisopropyl ether diethylene glycol monobutyl ether, diethylene glycol monoisobutyl ether, diethylene glycol monobenzyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, triethylene glycol monomethyl ether, triethylene glycol dimethyl ether, polyethylene glycol monomethyl ether, diethylene glycol methyl ethyl ether, triethylene glycol ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether, propylene glycol dimethyl ether, propylene glycol monobutyl ether, propylene glycol, monoproply ether, dipropylene glycol monomethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monoisopropyl ether, dipropylene monobutyl ether, dipropyllene glycol diisopropyl ether, tripropylene glycol monomethyl ether, 1-methoxy-2-butanol, 2-methoxy-1-butanol, 2-methoxy-2-methylbutanol, 1,1-dimethoxyethane and 2-(2-butoxyethoxy)ethanol.
  • More typical examples of glycol ethers are propylene glycol monomethyl ether, propylene glycol monopropyl ether, tri(propylene glycol)monomethyl ether and 2-(2-butoxyethoxy)ethanol.
  • As mentioned previously, the composition further includes one or more quaternary ammonium compound. Examples of quaternary ammonium compounds include lower-alkyl (e.g. (C1-C4) quaternary ammonium compounds and include tetramethylammounium hydroxide (TMAH), tetraethylammounium hydroxide, tetrabutylammonium hydroxide, tetrapropylammounium hydroxide, trimethylethylammonium hydroxide, (2-hydroxyethyl)trimethylammonium hydroxide, (2-hydroxyethyl)triethylammonium hydroxide, (2-hydroxyethyl)tripropylammonium hydroxide, and (1-hydroxypropyl)trimethylammonium hydroxide. In certain embodiments, the quaternary ammonium compounds are added to the compositions in the free base or hydroxide form. The quaternary ammonium compounds are present in an amount ranging from about 0.5% to 15%. In certain embodiments, the compositions may contain from about 0.5% to about 5% or from about 1% to about 5%.
  • In certain embodiments, the composition may contain one or more auxiliary organic solvent. In these embodiments, the organic solvent may be water miscible and includes dihydric and polyhydric alcohols such as diols and polyols such as (C2-C20) alkane diols and (C3-C20) alkane triols, cyclic alcohols and substituted alcohols. Particular examples of these auxiliary organic solvents are propylene glycol, tetrahydrofurfuryl alcohol, diacetone alcohol and 1,4-cyclohexanedimethanol. In these embodiments, the auxiliary organic cosolvent is present in amounts ranging from 0.1 to about 40% or from 0.1 to 20% by weight.
  • The composition may optionally contain up to about 40% by weight of water, or up to about 35% by weight of water or up to about 10% by weight of water. In embodiments wherein water is added to the composition, the water is deionized water.
  • The compositions of the present disclosure can also optionally contain up to about 20% by weight, or about 0.2 to about 19% by weight of a corrosion inhibitor. Examples of corrosion inhibitors include, but are not limited to, organic acids, organic acid salts, catechol, gallic acid, benzotriazole (BZT), resorcinol, other phenols, acids or triazoles, and more typically hydroxylamines or acid salts thereof. Preferred hydroxylamines are diethylhydroxylamine and the lactic acid and citric acid salts thereof. Ordinarily, hydroxylamines are not considered as being compatible with copper because of their ability to etch. However, in the composition of the present disclosure they surprisingly inhibit copper corrosion.
  • In certain embodiments, the composition may include a fluoride containing compound. Fluoride containing compounds may include those of the general formula R1R2R3R4NF where R1, R2, R3, and R4 are each independently hydrogen, an alcohol group, an alkoxy group, an alkyl group and mixtures thereof. Examples of such compositions are ammonium fluoride, tetramethyl ammonium fluoride and tetraethyl ammonium fluoride. Still further examples of fluoride-containing compounds include fluoroboric acid, hydrofluoric acid, and choline fluoride. In these embodiments, the fluoride containing compound or mixture thereof is present in amounts of from 0.1% by weight to 20% or from 0.1 to 10% by weight based on the total weight of the composition. In certain embodiments, the fluoride compound is added to the compositions in the form of a fluoride salt.
  • The composition may also include one or more of the following additives: surfactants, chelating agents, chemical modifiers, dyes, biocides, and other additives. Some examples of representative include acetylenic alcohols and derivatives thereof, acetylenic diols (non-ionic alkoxylated and/or self-emulsifiable acetylenic diol surfactants) and derivatives thereof, alcohols, quaternary amines and di-amines, amides (including aprotic solvents such as dimethyl formamide and dimethyl acetamide), alkyl alkanolamines (such as diethanolethylamine), and chelating agents such as beta-diketones, beta-ketoimines, carboxylic acids, mallic acid and tartaric acid based esters and diesters and derivatives thereof, and tertiary amines, diamines and triamines.
  • Compositions disclosed herein are compatible with low-k films such as HSQ (FOx), MSQ, SILK, etc. including those containing a fluoride. The formulations are also effective in stripping photoresists including positive and negative photoresists and plasma etch residues such as organic residues, organometallic residues, inorganic residues, metallic oxides, or photoresist complexes at low temperatures with very low corrosion of aluminum, copper, titanium containing substrates. Moreover, the compositions are compatible with a variety of high dielectric constant materials.
  • During the manufacturing process, a photoresist layer is coated on the substrate. Using photolithographic process, a pattern is defined on the photoresist layer. The patterned photoresist layer is thus subjected to plasma etch by which the pattern is transferred to the substrate. Etch residues are generated in the etch stage. Some of the substrates used in this invention are ashed while some are not ashed. When the substrates are ashed the main residues to be cleaned are etchant residues. If the substrates are not ashed, then the main residues to be cleaned or stripped are both etch residues and photoresists.
  • The composition disclosed herein are used to remove post etch and ash, organic and inorganic residues as well as polymeric residues from semiconductor substrates at low temperatures with low corrosion. In general, the stripping and cleaning processes using the composition disclosed herein are carried out by immersing a substrate in the stripper/cleaner composition at one or more temperatures ranging from 25° C. to 85° C. for a period of time ranging from 3 minutes to 1 hour. However, the composition can be used in any method known in the art that utilizes a cleaning fluid for the removal of photoresist, ash or etch residues and/or residues.
  • The following non-limiting examples are presented to further illustrate the present disclosure.
  • The photoresists in the following examples undergo one of the processes as discussed below.
  • A positive photoresist is a spin-coated on to a substrate. The positive photoresist comprises diazonaphthoquinone and novolak resin. The photoresist after being coated is baked at about 90° C. for about 90 seconds. A pattern is defined on the photoresist by exposure, through a patterned mask, to i-line (365 nm) rays followed by development. The pattern is then transferred via plasma etch to the substrate.
  • A negative photoresist is spin-coated on to a substrate. The photoresist after being coated is baked at about 90° C. for about 90 seconds. A pattern is defined on the photoresist by exposure, through a patterned mask, to i-line (365 nm) rays followed by development. The pattern is then transferred via plasma etch to the substrate.
  • A positive photoresist is spin-coated on to a substrate. The photoresist coated is baked at 90° C. for 90 seconds. A pattern is defined on the photoresist by exposure, through a patterned mask, to deep ultra-violet (248 nm) rays followed by development. The pattern is then transferred via plasma etch to the substrate.
  • The stripper and cleaner compositions of the present invention are typically prepared by mixing the components together in a vessel at room temperature until all solids have dissolved. Examples of the aqueous stripper and cleaner compositions are set forth in Table I. The summary of etch rate data and cleaning data are provided in Tables II and III, respectively.
  • During the manufacturing process, a photoresist layer is coated on the substrate. Using photolithographic process, a pattern is defined on to the photoresist layer. The patterned photoresist layer is thus subjected to plasma etch by which the pattern is transferred to the substrate. Etch residues are generated in the etch stage. Some of the substrates used in this disclosure are ashed while some are not ashed. When the substrates are ashed the main residues to be cleaned are etch residues. If the substrates are not ashed, then the main residues to be cleaned or stripped are both etch residues and photoresists.
  • All of the examples from the Table I were very effective in stripping and cleaning photoresists, etch and ashed residues. The process temperature is typically 40° C. or less and each of the examples can be used in batch cleaning process, spray tools and single wafer tools.
  • For the following tables, all amounts are given in weight percent and add up to 100 weight percent unless otherwise noted. Metal etch rates were determined using a CDE ResMap 273 Four Point Probe (E-M-DGLAB-0007). 500 mls of test solution was placed in a 600 ml beaker with stirring and heated, if required to the specified temperature. If the metal to be tested was titanium, an initial dip in phosphoric acid was required. The initial thickness of a wafer was determined using the CDE ResMap 273 Four Point Probe. After determining the initial thickness, test wafers were immersed in the test solution. If only one test wafer was being examined, a dummy wafer was added to the solution. After five minutes the test wafers were removed from the test solution, rinsed for three minutes with deionized water and completely dried under nitrogen. If a negative stripper solution was used, an intermediate rinse of the test wafer in a solvent such as DMAC or IPA (isopropyl alcohol) was performed for three minutes prior to the water wash. The thickness of each wafer was measured and if necessary the procedure was repeated on the test wafer.
  • Oxide etch rates were determined using a Nanospec AFT 181 (E-M-DGLAB-0009). 200 mls of a test solution was placed in a 250 ml beaker with stirring and heated, if required, to the specified temperature. Three circles were scribed on each of the wafers to be tested. The marked areas on each wafer were the areas in which measurements would be taken. Initial measurements of each wafer were taken. After the initial measurements the wafers were immersed in the test solution for five minutes. If only one wafer was placed in a beaker containing solution a dummy wafer was placed in the beaker. After five minutes each test wafer was washed with deionized water for three minutes and dried under nitrogen. If a negative stripper solution was used DMAC, IPA or another suitable solvent was used to rinse the test wafers for three minutes prior to the water rinse. Measurements of the scribed areas on each wafer were taken and if necessary the procedure was repeated.
  • TABLE I
    Sample Formulations
    Example A Example B Example C Example A1
    BEE 92 BEE 89 THFA 48 PGME 57.6
    TBAH 0.6 TBAH 0.6 TMAH 5 Water 20.9
    p-TSA 2.8 p-TSA 2.8 p-TSA 2.8 TBAH 1.1
    MEA 1 MEA 1 MEA 1 TEA/p-TSA 2.4
    Water 3.6 Water 3.6 Water 13.2 DEHA 5
    3 PGME 30 Resorcinol 3
    Example D Example E Example F Example A2
    PGME 92 PGME 75 PGME 76 PGME 62.7
    TBAH 0.6 TMAH 5.5 TMAH 5.5 DI Water 25
    p-TSA 2.8 p-TSA 2.8 p-TSA 4 TMAF 1
    MEA 1 MEA 1 MEA 1.4 lactic acid 3
    Water 3.6 water 15.7 Water 10.1 TEAH 8.3
    Citric acid 3
    Example G Example H Example I Example A3
    PGME 55 PGME 69 PGME 80 PGPE 36
    TBAH 5.5 TBAH 5.5 TMAH 5.5 PGME 31
    p-TSA 4 p-TSA 4 water 5.5 water 21.3
    MEA 1.4 MEA 1.4 citric acid 2 TBAH 1.7
    water 14.1 water 10.1 DEHA 7 MEA/p-TSA 2
    PGPE 20 DEHA 10 DEHA 5
    Resorcinol 3
    Example J Example K Example L Example A4
    PGPE 66 PGPE 56 PGPE 56 PGME 54.7
    PG 10 t-PGME 39 t-PGME 35.5 DI Water 29
    TMAH 5.5 water 0.4 water 0 TMAF 1
    DEHA 7 TBAH 0.6 TBAH 0.5 TES-tos 4
    p-TSA 3 p-TSA 3 p-TSA 6 lacic acid 3
    lactic acid 2 MEA 1 MEA 2 TEAH 8.3
    MEA 1
    Water 5.5
    Example M Example N Example O Example A5
    t-PGME 91.5 PGPE 66 PGPE 66 PGME 57
    water 0.2 PG 10 PG 13 TMAH 6
    TBAH 0.3 TMAH 5.5 TMAH 5.5 DEHA 4
    p-TSA 6 DEHA 8 DEHA 8 water 31
    MEA 2 p-TSA 3 Water 5.5 lactic acid 2
    lactic acid 2 lactic acid 2
    Water 5.5
    Example P Example Q Example R Example S
    t-PGME 66 Water 0.9 t-PGME 43 PGPE 58
    PG 13 t-PGME 80 DI Water 32.2 PG 23
    TMAH 5.5 t-BA 10 Amm. Fluoride 0.8 TMAH 2.5
    DEHA 8 TBAH 1.1 PG 15 DEHA 8
    water 5.5 p-TSA 6 DEHA 8 TMAF 0.8
    lactic acid 2 MEA 2 TMAH 1 lactic acid 2
    DI water 5.7
  • The following are the acronyms used in Table I:
  • PGME Propylene glycol methyl ether THFA Tetrahydrofurfuryl alcohol
    PG Propylene glycol BEE 2-(2-Butoxy)ethanol
    PGPE Propylene glycol methyl ether DEHA Dihydroxyamine
    t-BA tributyl amine t-PGME Tri(propylene glycol)
    TMAH tetramethylammonium methyl ether
    hydroxide TBAH tetrabutylammonium
    p-TSA p-toluenesulfonic acid hydroxide
    TMAF tetramethylammonium MEA ethanolamine
    fluoride TEAH tetraethylammonium
    TEA/p-TSA triethanolammonium Hydroxide
    p-tosylate
    MEA/p-TSA ethanolammonium
    p-tosylate
  • Example A
  • As Table I illustrates, the composition of example A consists of 92 weight % of BEE, 0.6 weight % of TBAH, 3.6 weight % of deionized water, 2.8 weight % of p-TSA and 1 weight % of MEA. Example A is a cleaning and stripping composition for removing etch residues and photoresists from metal lines as well as vias.
  • Example C
  • The composition of example C consists of 48 weight % of THFA, 5 weight % of TMAH, 30 weight % of PGME, 2.8 weight % of p-TSA, 1 weight % of MEA and 13.2 weight % of deionized water. Example C is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as high-k materials.
  • Example E
  • The composition of example E consists of 75 weight % of PGME, 5.5 weight % of TBAH, 2.8 weight % of p-TSA, 1 weight % of MEA and 13.2 weight % of deionized water. Example E is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as high-k materials.
  • Example H
  • The composition of example H consists of 69 weight % of PGME, 5.5 weight % of TBAH, 10 weight % of DEHA, 4 weight % of p-TSA, 1.4 weight % of MEA and 10.1 weight % of deionized water. Example H is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as high-k materials.
  • Example K
  • The composition of example K consists of 56 weight % of PGPE, 0.6 weight % of TBAH, 39 weight % of t-PGME, 3 weight % of p-TSA, 1 weight % of MEA and 0.4 weight % of deionized water. Example K is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well a high-k materials.
  • Example N
  • The composition of example N consists of 66 weight % of PGPE, 5.5 weight % of TMAH, 10 weight % of PG, 3 weight % of p-TSA, 8 weight % of DEHA, 2 weight % of lactic acid and 5.5 weight % of deionized water. Example N is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as high-k materials.
  • Example O
  • The composition of example O consists of 66 weight % of PGPE, 5.5 weight % of TMAH, 13 weight % of PG, 8 weight % of DEHA, 2 weight % of lactic acid and 5.5 weight % of deionized water. Example O is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as a high-k materials.
  • Example P
  • The composition of example P consists of 66 weight % of PGPE, 5.5 weight % of TMAH, 13 weight % of PG, 8 weight % of DEHA, 2 weight % of lactic acid and 5.5 weight % of deionized water. Example P is a cleaning and stripping composition for removing etch residue and photoresists from transition metal substrates as well as a high-k materials.
  • Example Q
  • The composition of example Q consists of 80 weight % of t-PGME, 1.1 weight % of TBAH, 10 weight % of t-BA, 6 weight % of p-TSA, 2 weight % of MEA and 0.9 weight % of deionized water. Example Q is a cleaning and stripping composition for removing etch residue and photoresists metal lines as well as a vias.
  • Example A1
  • The composition of example Al consists of 57.6 weight % of PGME, 1.1 weight % of TBAH, 2.4 weight % of triethanolammonium p-tosylate, 5 weight % of DEHA, 3 weight % of resorcinol and 20.9 weight % of deionized water. Example A1 is a cleaning and stripping composition for removing etch residue and photoresists metal substrates, low-k materials as well as a high-k materials.
  • Example A2
  • The composition of example A2 consists of 62.7 weight % of PGME, 1.6 weight of TEAH, 1 weight % of tetramethylammonium fluoride, 3 weight % of lactic acid and 31.7 weight % of deionized water. Example A2 is a cleaning and stripping composition for removing etch residue and photoresists metal substrates, low-k materials as well as a high-k materials.
  • Example A5
  • The composition of example A5 consists of 57 weight % of PGME, 6 weight % of TMAH, 4 weight % of DEHA, 2 weight % of lactic acid and 31 weight % of deionized water. Example A5 is a cleaning and stripping composition for removing etch residue and photoresists metal substrates, low-k materials as well as a high-k materials.
  • TABLE II
    Summary of etch rate data
    Exam- Temperature Al (Å/ Cu (Å/ Ti (Å/ W (Å/
    ples (° C.) minute) minute) minute) minute)
    A 65 <1 Nt ~1  Nt
    B 65 <1 Nt ~1  Nt
    C 65 >500 nt Nt Nt
    D 65 ~1 ~8 Nt Nt
    E 65 >500 ~5 Nt Nt
    F 65 >500 Nt Nt Nt
    G 65 >500 Nt Nt Nt
    H 65 >500 ~5 Nt Nt
    I 65 >500 ~5 Nt Nt
    J 65 ~20 ~2 Nt Nt
    K 65 ~10 ~7 Nt Nt
    L 65 ~1 ~5 Nt Nt
    M 65 ~3 ~7 Nt Nt
    N 65 >500 ~2 Nt Nt
    O 65 >500 ~1 Nt Nt
    P 65 >500 ~2 Nt Nt
    Q 65 ~3 ~9 Nt Nt
    R 25 >500 ~7 Nt Nt
    S 40 >500 ~3 Nt Nt
    A1 65 ~1 ~9 <1 ~2
    A2 25 >500 ~2 ~1   <1
    A2 50 >1000 ~6 >100  ~7
    A3 65 Nt ~4 Nt Nt
    A4 25 >500 Nt Nt Nt
    A5 65 >500 ~5 Nt Nt
  • TABLE III
    Summary of cleaning data
    Substrate A Substrate B
    Exam- Temp Com- Temp Un- com-
    ples (° C.) Lines Vias ments (° C.) Ashed ashed ments
    A 50 X Part. Nt nt
    Corr.
    B Nt Nt 60 X
    C 50 X Nt Nt
    D 55 X Nt Nt
    E Nt Nt 60
    F Nt Nt 60 X
    G Nt Nt 60 X
    H Nt Nt 60 X
    K 50 Corr. 65 X X
    N Nt Nt 65
    Nt Nt 75
    O nt nt 65
    Nt Nt 75
    Nt Nt 85
    P Nt Nt 65 X
    Q 55 X X Nt Nt
    A1 55 Nt Nt
    A1 65 Nt Nt
    A2 Nt Nt 40 X
    A5 Nt Nt 65 Corr.
    Nt = not tested;
    Part. Corr. = partial metal corrosion;
    Corr. = metal corrosion;
    = partially cleaned;
    ✓ = completely cleaned;
    X = not cleaned.

Claims (25)

1. A composition for removing residue, the composition comprising:
a) at least about 50% by weight of an organic solvent wherein at least about 50% of the organic solvent is a glycol ether;
b) at least about 0.5% by weight of a quaternary ammonium compound; and
c) a positive amount up to about 20% by weight of a corrosion inhibitor.
2. The composition of claim 1 wherein the glycol ether comprises a glycol mono (C1-C6) alkyl ether.
3. The composition of claim 1 wherein the glycol ether comprises a (C1-C20) alkanediol, a (C1-C6) alkyl ether, or a (C1-C20) alkanediol di(C1-C6) alkyl ether.
4. The composition of claim 1 wherein the glycol ether is selected from ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, diethylene glycol monoisopropyl ether diethylene glycol monobutyl ether, diethylene glycol monoisobutyl ether, diethylene glycol monobenzyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, triethylene glycol monomethyl ether, triethylene glycol dimethyl ether, polyethylene glycol monomethyl ether, diethylene glycol methyl ethyl ether, triethylene glycol ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether, propylene glycol dimethyl ether, propylene glycol monobutyl ether, propylene glycol, monoproply ether, dipropylene glycol monomethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monoisopropyl ether, dipropylene monobutyl ether, dipropylene glycol diisopropyl ether, tripropylene glycol monomethyl ether, 1-methoxy-2-butanol, 2-methoxy-1-butanol, 2-methoxy-2-methylbutanol, 1,1-dimethoxyethane, and 2-(2-butoxyethoxy)ethanol.
5. The composition of claim 1 wherein the glycol ether is selected from the group consisting of propylene glycol monomethyl ether, propylene glycol monopropyl ether, tri(propylene glycol)monomethyl ether, and 2(2-butoxyethoxy)ethanol.
6. The composition of claim 1 wherein the quaternary ammonium compound comprises a C1-C4 quaternary ammonium compound.
7. The composition of claim 1 wherein the quaternary ammonium compound is selected from tetramethylammounium hydroxide, tetraethylammounium hydroxide, tetrapropylammounium hydroxide, tetrabutylammounium hydroxide, trimethylethylammonium hydroxide, (2-hydroxyethyl)trimethylammonium hydroxide, (2-hydroxyethyl)triethylammonium hydroxide, (2-hydroxyethyl)tripropylammonium hydroxide, and (1-hydroxypropyl)trimethylammonium hydroxide.
8. The composition of claim 1 wherein quaternary ammonium compound comprises tetramethyl ammonium hydroxide.
9. The composition of claim 1 wherein the amount of the glycol ether is at least 50% by weight of the composition.
10. The composition of claim 1 wherein the amount of the glycol ether is about 50% to about 70% by weight of the composition.
11. The composition of claim 1 wherein the amount of the glycol ether is about 50% to about 60% by weight of the composition.
12. The composition of claim 1 wherein the amount of the quaternary ammonium compound is about 0.5% to about 15% by weight of the composition.
13. The composition of claim 12 wherein the amount of the quaternary ammonium compound is about 0.5% to about 5% by weight.
14. The composition of claim 1 which further comprises an auxiliary organic solvent.
15. The composition of claim 14 wherein the auxiliary organic solvent comprises a C2-C20 alkane diol or a C3-C20 alkane triol.
16. The composition of claim 14 wherein the auxiliary organic solvent comprises propylene glycol.
17. The composition of claim 16 wherein the amount of the propylene glycol is about 0.1 to about 40% of weight.
18. The composition of claim 1 being free from fluoride-containing compounds.
19. The composition of claim 1 wherein the composition comprises a fluoride-containing compound.
20. The composition of claim 1 which further comprises up to about 40% by weight of water.
21. The composition of claim 1 which further comprises up to about 20% by weight of a corrosion inhibitor.
22. The composition of claim 21 wherein the corrosion inhibitor comprises a hydroxylamine, an acid salt thereof; an organic acid, an acid salt thereof; and mixtures thereof.
23. The composition of claim 21 where the corrosion inhibitor comprises a hydroxylamine.
24. The composition of claim 23 wherein said hydroxylamine comprises diethyl hydroxylamine.
25. A method for removing photoresist or etching residue or both from a substrate wherein comprises contacting said substrate with a composition comprising:
a) at least about 50% by weight of an organic solvent wherein at least about 50% of said organic solvent is a glycol ether, and
b) at least about 0.5% by weight of a quaternary ammonium compound.
US13/562,993 2004-07-22 2012-07-31 Composition for Removing Photoresist and/or Etching Residue From a Substrate and Use Thereof Abandoned US20120295828A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/562,993 US20120295828A1 (en) 2004-07-22 2012-07-31 Composition for Removing Photoresist and/or Etching Residue From a Substrate and Use Thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/896,589 US9217929B2 (en) 2004-07-22 2004-07-22 Composition for removing photoresist and/or etching residue from a substrate and use thereof
US13/562,993 US20120295828A1 (en) 2004-07-22 2012-07-31 Composition for Removing Photoresist and/or Etching Residue From a Substrate and Use Thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/896,589 Continuation US9217929B2 (en) 2004-07-22 2004-07-22 Composition for removing photoresist and/or etching residue from a substrate and use thereof

Publications (1)

Publication Number Publication Date
US20120295828A1 true US20120295828A1 (en) 2012-11-22

Family

ID=34937875

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/896,589 Expired - Fee Related US9217929B2 (en) 2004-07-22 2004-07-22 Composition for removing photoresist and/or etching residue from a substrate and use thereof
US13/562,993 Abandoned US20120295828A1 (en) 2004-07-22 2012-07-31 Composition for Removing Photoresist and/or Etching Residue From a Substrate and Use Thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/896,589 Expired - Fee Related US9217929B2 (en) 2004-07-22 2004-07-22 Composition for removing photoresist and/or etching residue from a substrate and use thereof

Country Status (8)

Country Link
US (2) US9217929B2 (en)
EP (1) EP1619557B1 (en)
JP (1) JP4819429B2 (en)
KR (1) KR100786606B1 (en)
CN (2) CN101794088B (en)
IL (1) IL169681A0 (en)
SG (2) SG119361A1 (en)
TW (1) TWI282043B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190101830A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Stripper Solutions and Methods of Using Stripper Solutions

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4446779C2 (en) * 1994-12-24 1996-12-19 Daimler Benz Ag Arrangement for the contactless inductive transmission of electrical power
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP4776191B2 (en) * 2004-08-25 2011-09-21 関東化学株式会社 Photoresist residue and polymer residue removal composition, and residue removal method using the same
US20060116313A1 (en) * 2004-11-30 2006-06-01 Denise Geitz Compositions comprising tannic acid as corrosion inhibitor
KR101331747B1 (en) * 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Compositions for processing of semiconductor substrates
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
JP4988165B2 (en) * 2005-03-11 2012-08-01 関東化学株式会社 Photoresist stripping composition and method for stripping photoresist
US7365045B2 (en) * 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7674755B2 (en) 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
TWI323391B (en) * 2006-03-21 2010-04-11 Daxin Material Corp Remover solution composition and use thereof
EP2003612A4 (en) 2006-03-31 2010-10-13 Nikon Corp Image processing method
US8288330B2 (en) * 2006-05-26 2012-10-16 Air Products And Chemicals, Inc. Composition and method for photoresist removal
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
SG175559A1 (en) * 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
CN101162369A (en) * 2006-10-13 2008-04-16 安集微电子(上海)有限公司 Low etching photoresist cleaning agent and cleaning method thereof
US20080096785A1 (en) * 2006-10-19 2008-04-24 Air Products And Chemicals, Inc. Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
CN101187787A (en) * 2006-11-17 2008-05-28 安集微电子(上海)有限公司 Low etching photoresist cleaning agent and its cleaning method
JP4499751B2 (en) * 2006-11-21 2010-07-07 エア プロダクツ アンド ケミカルズ インコーポレイテッド Formulation for removing photoresist, etch residue and BARC and method comprising the same
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
US7879783B2 (en) 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
US8357646B2 (en) * 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
JP5466836B2 (en) * 2008-06-13 2014-04-09 花王株式会社 Cleaning composition for flux
KR101576701B1 (en) * 2008-09-08 2015-12-10 미츠비시 가스 가가쿠 가부시키가이샤 Liquid for protecting copper wiring surface and method for manufacturing semiconductor circuit element
KR101752684B1 (en) 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. Copper cleaning and protection formulations
JP2010111795A (en) * 2008-11-07 2010-05-20 Chisso Corp Releasing fluid
CN101750911A (en) * 2008-11-28 2010-06-23 安集微电子(上海)有限公司 Photoresist detergent composition
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
WO2010127941A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
KR101799602B1 (en) 2009-05-07 2017-11-20 바스프 에스이 Resist stripping compositions and methods for manufacturing electrical devices
US9005367B2 (en) 2009-05-07 2015-04-14 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US8110535B2 (en) * 2009-08-05 2012-02-07 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning formulation for metal substrate and methods for using same
CN104169801B (en) * 2012-03-16 2019-12-17 巴斯夫欧洲公司 photoresist stripping and cleaning compositions, methods of making and uses thereof
US8648027B2 (en) 2012-07-06 2014-02-11 The Clorox Company Low-VOC cleaning substrates and compositions comprising a cationic biocide
WO2014013902A1 (en) * 2012-07-19 2014-01-23 日産化学工業株式会社 Cleaning fluid for semiconductor, and cleaning method using same
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US9102901B2 (en) * 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US10189712B2 (en) 2013-03-15 2019-01-29 International Business Machines Corporation Oxidation of porous, carbon-containing materials using fuel and oxidizing agent
KR101420571B1 (en) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 Remover composition for dryfilm resist and removing method using the same
JP6233779B2 (en) * 2013-11-18 2017-11-22 富士フイルム株式会社 Modified resist stripping method, modified resist stripping solution used therefor, and semiconductor substrate product manufacturing method
JP2015118125A (en) * 2013-11-18 2015-06-25 富士フイルム株式会社 Stripper for modified resist, method for stripping modified resist using the same, and method for manufacturing semiconductor substrate product
JP2017026645A (en) * 2013-12-03 2017-02-02 Jsr株式会社 Resist remover and resist removing method
US20150203753A1 (en) * 2014-01-17 2015-07-23 Nanya Technology Corporation Liquid etchant composition, and etching process in capacitor process of dram using the same
US20150219996A1 (en) * 2014-02-06 2015-08-06 Dynaloy, Llc Composition for removing substances from substrates
KR101535386B1 (en) * 2014-07-09 2015-07-08 노재호 Etchant for etching ink or paint and method of manufacturing ink or paint pattern using it
US9096821B1 (en) 2014-07-31 2015-08-04 The Clorox Company Preloaded dual purpose cleaning and sanitizing wipe
SG11201707787SA (en) 2015-03-31 2017-10-30 Versum Mat Us Llc Cleaning formulations
JP6808714B2 (en) * 2015-08-03 2021-01-06 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド Cleaning composition
US10072237B2 (en) 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
KR102423325B1 (en) * 2016-03-01 2022-07-20 도오꾜오까고오교 가부시끼가이샤 Cleaning solution and cleaning method for a semiconductor substrate or device
KR101697336B1 (en) * 2016-03-03 2017-01-17 주식회사 엘지화학 Method for preparing liquid crystal aligning agent
US10988718B2 (en) * 2016-03-09 2021-04-27 Entegris, Inc. Tungsten post-CMP cleaning composition
JPWO2017195453A1 (en) * 2016-05-13 2019-04-04 株式会社Jcu Resist stripping solution
KR101807206B1 (en) * 2016-07-13 2017-12-08 주식회사 엘지화학 The cleaning composition for liquid crystal alignment agent
TWI649454B (en) * 2017-11-10 2019-02-01 關東鑫林科技股份有限公司 Etching solution composition and etching method using the same
US10973385B2 (en) 2017-09-18 2021-04-13 The Clorox Company Cleaning wipes having particular pore volume distribution characteristics
US10973386B2 (en) 2017-09-18 2021-04-13 The Clorox Company Cleaning wipes system having particular performance characteristics
US10975341B2 (en) 2017-09-18 2021-04-13 The Clorox Company Cleaning wipes having particular MABDF characteristics
US10982177B2 (en) 2017-09-18 2021-04-20 The Clorox Company Cleaning wipes with particular lotion retention and efficacy characteristics
CN108375879A (en) * 2017-10-26 2018-08-07 信丰正天伟电子科技有限公司 A kind of dry film stripper after wiring board printing imaging
KR102471495B1 (en) * 2017-12-08 2022-11-28 헨켈 아게 운트 코. 카게아아 Photoresist stripper composition
WO2019151141A1 (en) * 2018-02-05 2019-08-08 富士フイルム株式会社 Treatment liquid and treatment method
US10948826B2 (en) * 2018-03-07 2021-03-16 Versum Materials Us, Llc Photoresist stripper
US11273625B2 (en) 2018-12-21 2022-03-15 The Clorox Company Process for manufacturing multi-layer substrates comprising sandwich layers and polyethylene
KR102444014B1 (en) 2019-02-05 2022-09-15 가부시키가이샤 도쿠야마 Silicon etching solution and method for producing silicon device using the etching solution
JP2020126997A (en) * 2019-02-05 2020-08-20 株式会社トクヤマ Silicon etching solution and method for producing silicon device using that etching solution
WO2020185745A1 (en) 2019-03-11 2020-09-17 Versum Materials Us, Llc Etching solution and method for aluminum nitride
CN110148619B (en) * 2019-06-25 2023-04-07 京东方科技集团股份有限公司 Preparation method of display substrate, display substrate and display device
TWI778497B (en) * 2020-01-29 2022-09-21 美商艾德凡斯化學公司 Amino acid surfactants
CN113430069A (en) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 Low-hydroxylamine water-based cleaning solution, and preparation method and application thereof
TWI749964B (en) * 2020-12-24 2021-12-11 達興材料股份有限公司 Alkaline cleaning composition, cleaning method, and manufacturing method of semiconductor
CN115011348B (en) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 Aluminum nitride etching solution and application thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003228179A (en) * 2002-01-31 2003-08-15 Mitsubishi Gas Chem Co Inc Resist stripper containing amine for copper wiring board and method for stripping
US20050014667A1 (en) * 2003-04-18 2005-01-20 Tetsuo Aoyama Aqueous fluoride compositions for cleaning semiconductor devices

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US677286A (en) * 1901-02-18 1901-06-25 Frank Mcm Stanton Recording device for hoists.
US4744834A (en) * 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US5185235A (en) * 1987-09-09 1993-02-09 Tokyo Ohka Kogyo Co., Ltd. Remover solution for photoresist
JPH0770534B2 (en) 1993-01-11 1995-07-31 日本電気株式会社 Method for manufacturing semiconductor device
DE9304878U1 (en) * 1993-03-31 1993-06-09 Hoechst Ag, 6230 Frankfurt, De
JP3406055B2 (en) * 1994-03-31 2003-05-12 東京応化工業株式会社 Stripper for positive resist
US5567574A (en) * 1995-01-10 1996-10-22 Mitsubishi Gas Chemical Company, Inc. Removing agent composition for photoresist and method of removing
US5563119A (en) 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
JP3236220B2 (en) 1995-11-13 2001-12-10 東京応化工業株式会社 Stripper composition for resist
JP2950407B2 (en) * 1996-01-29 1999-09-20 東京応化工業株式会社 Method of manufacturing base material for manufacturing electronic components
JPH10289891A (en) 1997-04-11 1998-10-27 Mitsubishi Gas Chem Co Inc Semiconductor circuit cleaning agent and manufacture of semiconductor circuit by use thereof
JPH1184687A (en) * 1997-09-02 1999-03-26 Nagase Denshi Kagaku Kk Resist removing agent composition and its use method
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6417112B1 (en) 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US6440326B1 (en) 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition
JP4044219B2 (en) 1998-09-09 2008-02-06 花王株式会社 Release agent composition
JP2000087089A (en) * 1998-09-16 2000-03-28 Lion Corp Detergent composition for surface of outdoor structure
US6828289B2 (en) 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6117364A (en) 1999-05-27 2000-09-12 Nalco/Exxon Energy Chemicals, L.P. Acid corrosion inhibitor
JP2001100436A (en) 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc Resist removing solution composition
TWI243204B (en) 2000-02-04 2005-11-11 Sumitomo Chemical Co Electronic parts cleaning solution
US6531436B1 (en) 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
EP1138726B1 (en) 2000-03-27 2005-01-12 Shipley Company LLC Polymer remover
AU2001291098A1 (en) * 2000-09-19 2002-04-02 Shipley Company, L.L.C. Process for treating adhesion promoted metal surfaces
JP3738996B2 (en) 2002-10-10 2006-01-25 東京応化工業株式会社 Cleaning liquid for photolithography and substrate processing method
TW554258B (en) 2000-11-30 2003-09-21 Tosoh Corp Resist stripper
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
JP3403187B2 (en) 2001-08-03 2003-05-06 東京応化工業株式会社 Stripping solution for photoresist
JP4661007B2 (en) 2001-08-23 2011-03-30 昭和電工株式会社 Side wall remover
JP3797541B2 (en) 2001-08-31 2006-07-19 東京応化工業株式会社 Photoresist stripping solution
JP2003122028A (en) * 2001-10-17 2003-04-25 Mitsubishi Gas Chem Co Inc Liquid composition for removing resist
US20030138737A1 (en) 2001-12-27 2003-07-24 Kazumasa Wakiya Photoresist stripping solution and a method of stripping photoresists using the same
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
JP2004029346A (en) 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc Resist stripping solution composition
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
JP4443864B2 (en) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ Cleaning solution for removing resist or etching residue and method for manufacturing semiconductor device
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
JP2004133384A (en) 2002-08-14 2004-04-30 Sony Corp Resist removing agent composition and method for manufacturing semiconductor device
US7833957B2 (en) 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US7166419B2 (en) 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US20040220066A1 (en) * 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
CN101228481B (en) 2005-02-25 2012-12-05 Ekc技术公司 Method to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003228179A (en) * 2002-01-31 2003-08-15 Mitsubishi Gas Chem Co Inc Resist stripper containing amine for copper wiring board and method for stripping
US20050014667A1 (en) * 2003-04-18 2005-01-20 Tetsuo Aoyama Aqueous fluoride compositions for cleaning semiconductor devices

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190101830A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Stripper Solutions and Methods of Using Stripper Solutions
EP3688132A4 (en) * 2017-09-29 2021-06-23 Versum Materials US, LLC Stripper solutions and methods of using stripper solutions
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions
IL273542B1 (en) * 2017-09-29 2023-11-01 Versum Mat Us Llc Stripper solutions and methods of using stripper solutions
IL273542B2 (en) * 2017-09-29 2024-03-01 Versum Mat Us Llc Stripper solutions and methods of using stripper solutions

Also Published As

Publication number Publication date
KR20060053853A (en) 2006-05-22
EP1619557B1 (en) 2015-03-25
EP1619557A1 (en) 2006-01-25
IL169681A0 (en) 2007-07-04
CN1724626B (en) 2010-10-27
JP2006096984A (en) 2006-04-13
TWI282043B (en) 2007-06-01
KR100786606B1 (en) 2007-12-21
SG136954A1 (en) 2007-11-29
US20060016785A1 (en) 2006-01-26
JP4819429B2 (en) 2011-11-24
SG119361A1 (en) 2006-02-28
CN101794088A (en) 2010-08-04
TW200604762A (en) 2006-02-01
CN101794088B (en) 2013-04-24
US9217929B2 (en) 2015-12-22
CN1724626A (en) 2006-01-25

Similar Documents

Publication Publication Date Title
US9217929B2 (en) Composition for removing photoresist and/or etching residue from a substrate and use thereof
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
US8440599B2 (en) Composition for stripping and cleaning and use thereof
US7166419B2 (en) Compositions substrate for removing etching residue and use thereof
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
KR100700998B1 (en) Composition and method comprising same for removing residue from a substrate
US20080096785A1 (en) Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US7682458B2 (en) Aqueous based residue removers comprising fluoride
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214