US20120090547A1 - System and method of vapor deposition - Google Patents

System and method of vapor deposition Download PDF

Info

Publication number
US20120090547A1
US20120090547A1 US13/337,846 US201113337846A US2012090547A1 US 20120090547 A1 US20120090547 A1 US 20120090547A1 US 201113337846 A US201113337846 A US 201113337846A US 2012090547 A1 US2012090547 A1 US 2012090547A1
Authority
US
United States
Prior art keywords
substrate
chamber
layer
vapor
chemical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/337,846
Inventor
Chien-Wei Wang
David Ding-Chung Lu
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/337,846 priority Critical patent/US20120090547A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., ("TSMC") reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., ("TSMC") ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LU, DAVID DING-CHUNG, CHANG, CHING-YU, WANG, CHIEN-WEI
Publication of US20120090547A1 publication Critical patent/US20120090547A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Provided is a system for vapor deposition of a coating material onto a semiconductor substrate. The system includes a chemical supply chamber, a supply nozzle operable to dispense vapor, and a heating element operable to provide heat to a substrate in-situ with the dispensing of vapor. The system may further include reaction chamber(s) and/or mixing chamber(s).

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • The present application is a divisional of U.S. Utility application Ser. No. 12/254,658 filed on Oct. 20, 2008, attorney docket number 2008-0169/24061.1029, the entire disclosure of which is incorporated herein by reference.
  • BACKGROUND
  • The present disclosure relates generally to semiconductor fabrication and, more particularly, to a method of depositing materials onto a semiconductor substrate (e.g., wafer).
  • In integrated circuit (IC) manufacturing technology, a photoresist (resist) layer is typically applied to a semiconductor wafer surface, followed by a soft bake, and an exposure of the resist through a photomask. A post-exposure baking process and a developing process are then performed to form a patterned resist layer with openings. After verification that the resist is within fabrication specifications, the wafer is processed using the patterned resist as a masking element. Following processing (e.g., etch, implant, etc) of the wafer, the resist layer may be stripped.
  • In conventional photoresist deposition—spin-on coating—the photoresist layer suffers from non-uniform chemical distribution and intermixing with other layers. This non-uniform chemical distribution can negatively affect line edge roughness and cause pin holes to form in the sidewalls of the resist pattern after development. This effect is especially problematic for thin photoresists of less than 75 nm, which is needed for high lithography resolution. Similar issues are provided with other deposition materials (e.g., coatings) that may be deposited on the substrate.
  • It would therefore be desirable to have an improved method and system of depositing materials onto a semiconductor wafer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a flow chart illustrating an embodiment of a method to vapor deposit a material onto a semiconductor substrate.
  • FIG. 2 is perspective view illustrating a system for vapor depositing material onto a semiconductor substrate.
  • FIG. 3 is a cross-sectional view illustrating a system of vapor depositing a conformal coating on a semiconductor substrate.
  • FIG. 4 is a cross-sectional view illustrating a semiconductor substrate including a plurality of layers formed by vapor deposition according to the method of FIG. 1.
  • FIGS. 5A, 6A, and 7A are cross-sectional views illustrating embodiments of substrates having a layer of photoresist with varying chemical component distributions.
  • FIGS. 5B, 6B, and 7B are cross sectional views illustrating embodiments of substrates including photoresist features having profiles determined by the chemical component distributions of FIGS. 5A, 6A, and 7A respectively.
  • FIG. 8 is a flow chart illustrating an embodiment of a method of performing dual pattern lithography.
  • FIGS. 9 and 10 are cross-sectional views illustrating an embodiment of a substrate according to the steps of the method of FIG. 8.
  • FIG. 11 is a flow chart illustrating an embodiment of a method of vapor depositing an antireflective coating (ARC) material having varying reflective properties on a semiconductor wafer.
  • DETAILED DESCRIPTION
  • The present disclosure relates generally to semiconductor fabrication and, more particularly, to a method of depositing materials such as, photoresists and other coating materials, using a vapor deposition process. It is understood, however, that specific embodiments are provided as examples to teach the broader inventive concept, and one of ordinary skill in the art can easily apply the teaching of the present disclosure to other methods or devices. In addition, it is understood that the methods and apparatus discussed in the present disclosure include some conventional structures and/or processes. Since these structures and processes are well known in the art, they will only be discussed in a general level of detail. Furthermore, reference numbers are repeated throughout the drawings for sake of convenience and example, and such repetition does not indicate any required combination of features or steps throughout the drawings. The formation of a feature on a substrate may include embodiments where features are formed above the surface of the substrate, directly on the surface of the substrate, or extending below the surface of the substrate, such as trenches. A substrate may include a semiconductor wafer and one or more features formed on the wafer such as conductive layers, insulating layers, isolation regions, doped regions, or other features known in the art.
  • In a conventional spin coating process, the material deposited (e.g., resist solution) is provided to the wafer by spinning centrifugal forces. During the process of deposition, the resist components are included in a solvent rich matrix. The components easily aggregate, phase separate, and/or intermix with each other or adjacent layers. The aggregation, separation, and/or intermixing introduces a disproportionate chemical distribution as to what may be intended in the fabrication. For photoresist, this may induce a non-uniform chemical amplified reaction (CAR) and undesirable acid distribution which may lead to poor line edge roughness (LER) and defects (e.g., pinholes in sidewall of features). A baking process is performed after the spin coating process to evaporate a solvent(s) included in the resist solution.
  • Referring to FIG. 1, illustrated is a method 100 for vapor depositing a material on a semiconductor substrate. The method begins at step 102 by providing a substrate. The substrate may include silicon. The substrate may alternatively be made of some other suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. Alternatively, the substrate may include a non-semiconductor material such as a glass substrate for thin-film-transistor liquid crystal display (TFT-LCD) devices, or fused quartz or calcium fluoride for a photomask (mask). In an embodiment, the substrate includes one or more material layers (e.g., insulating, conductive, semiconductive, etc) formed thereon. The substrate may include various doped regions, dielectric features, and multilevel interconnects. In one embodiment, the substrate includes various doped features for various microelectronic components, such as a complementary metal-oxide-semiconductor field-effect transistor (CMOSFET), imaging sensor, memory cell, and/or capacitive element.
  • The method 100 then proceeds to step 104 where one or more materials and/or chemical components of a material are provided. A material may include one or more chemical components. By way of example, materials provided may include one or more photoresist compositions and/or chemical components of a photoresist. Chemical components of a photoresist may include photo acid generator (PAG), quencher, surfactant, polymer resin, surface segregated additive, crosslinker, chromophore, solvent, and/or other suitable components. In other embodiments, the materials provided may include an antireflective coating such as, BARC, a crosslinking material, an organic etch stop layer, an inorganic etch stop layer, hard mask (e.g., silicon-containing hard mask), silicon, Si3N4, SiON, Ti, TiN, Ta, TaN, SiOx, and/or other materials suitable for forming one or more features of a semiconductor device.
  • The method 100 then proceeds to step 106 where the materials and/or chemical components may be mixed. In an embodiment, the materials and/or chemical components may react to form one or more compositions in addition to or in lieu of the supplied materials. The reaction may provide a composition of a different molecular weight than the supplied material or components. In an embodiment of the method 100, the step 106 is omitted. The mixing and reaction may occur in separate process steps, e.g., separate chambers. In an embodiment, the reaction of the materials and/or chemical components requires the introduction of heat, a chemical catalyst, and/or other reaction mechanism. The method 100 then proceeds to step 108 where the materials may be vaporized. In an embodiment, one or more of the materials supplied in step 104 or provided in the reaction of step 106 is in vapor form. In such an embodiment, the step 108 may be omitted.
  • The method 100 then proceeds to step 110 where the material(s) is vapor deposited onto the surface of the substrate. The vapor depositing may be performed using a vapor deposition system such as, a system 200 described with reference to FIG. 2. In an embodiment, the material may be deposited using one or more nozzles to deposit a spray including materials across the wafer. During the vapor deposition, a bake process may be provided in-situ (e.g., immediately following and/or concurrently with the vapor deposition without moving the substrate to a separate tool/chamber). The bake process may drive off (e.g., evaporate) a solvent present in the material(s). During the deposition and/or baking process, solvent may be withdrawn from the environment of the substrate by a vacuum system. The bake process may be provided by a heating element providing an elevated temperature to the substrate such as, the heating element 224, also described with reference to FIG. 2. In an embodiment, the deposition temperature is less than 150 C (exemplary and not limiting). In an embodiment, the deposition pressure is less than 1.2 atm (exemplary and not limiting). In an embodiment, the thickness of one or more layers deposited is less than 200 nm (exemplary and not limiting).
  • As an example, in an embodiment, a photoresist may be vapor deposited onto a substrate. The substrate may be heated, in-situ, to drive off the solvent (or portion thereof). The reduction of the solvent in the formed photoresist layer may reduce the free volume which provides reduced motion of components of the photoresist. This may prevent separating, intermixing, and/or aggregation such as described above with reference to a spin-on photoresist process. The vapor deposition of the photoresist may provide a more uniform chemical distribution, which may provide a more uniform CAR reaction and a more-well defined acid diffusion boundary. The improved diffusion boundary leads to improved exposure of an image, better LER, and/or fewer defects. Step 110 may include depositing a plurality of layers on the substrate. In an embodiment, step 110 may include providing a single layer having a gradient chemical component distribution, for example, formed by varying the supply of one or more chemical components during the vapor deposition.
  • In an embodiment, a photoresist layer is deposited on a substrate using the method 100 or portion thereof, including deposition of the photoresist layer by vapor deposition with in-situ baking process. The method 100 may continue to provide exposure of the photoresist layer to a pattern. The exposure may include irradiation using an ArF, EUV, E-Beam, and/or other suitable radiation. The photoresist may include a PAG, quencher, surfactant, and acid cleavable molecule. The PAG may release acid after irradiation. The method 100 may further continue to provide for development, post-exposure bake, rinse, dry, and/or other suitable photolithography processes. The acid labile polymer may release its leaving group after reacting with acid at post exposure bake step. After releasing the acid leaving group, the polymer may become more soluble to water than the as deposited resist. In an embodiment, the vapor deposition with in-situ baking process eliminates a soft bake process found in conventional lithography processes (e.g., bake after deposition before exposure).
  • Referring now to FIG. 2, illustrated is a system 200 for vapor deposition including in-situ baking process. The system 200 includes a vapor deposition system 202 including material supply chambers 204 and 206, a mixing chamber 208, a reaction chamber 210, a vapor deposition support device 214, and nozzles 216. Though illustrated as two supply chambers 204, 206, any number of supplies may be provided. In an embodiment, a single material supply chamber is provided such as, chamber 204. In an embodiment, the mixing chamber 208 and/or the reaction chamber 210 are omitted from the system 200. The nozzles 216 may include any number of nozzles. The system 200 may allow for liquid components to be supplied in chamber 204 and/or 206 and vaporization occurs at any point in the system 200, such as at supply 204 or 206, mixing chamber 208, reaction chamber 208, vapor deposition support device 214, and/or nozzles 216.
  • A vapor 218 is provided by the vapor deposition system 202 and released from the nozzles 216. The vapor 218 may include one or more materials and/or chemical components supplied from the supply chamber 204 or 206, and/or that are the reaction product provided in the reaction chamber 210 and/or mixing chamber 208. The vapor 218 forms a layer 220 on a wafer 222. The layer 220 may be substantially uniformly across the surface of the entire surface wafer 222. The wafer 222 is positioned on a heating element 224. The heating element 224 is operable to provide an elevated temperature to the substrate 222, and in particular to the layer 220 (e.g., a bake process for the substrate 222). The bake process may be provided in-situ with the vapor deposition. In an embodiment, the heating element 224 is operable to provide a bake process to the substrate 222 substantially simultaneous with the deposition of the vapor 218 on the substrate 222 (e.g., during the formation of the layer 220). In an embodiment, the heating element 224 is operable to provide a bake process following the deposition of the layer 220 without transport of the substrate 222 from a vapor deposition chamber (e.g., the environment of providing the vapor 218).
  • The supply chambers 204 and 206 may provide for control over a relative ratio (e.g., flowrate) of materials and/or chemical components that are supplied from each of the chambers. In an embodiment, the ratio of the component of supply chamber 204 may vary with respect to the component of the supply chamber 206, for example, as the vapor 218 is provided to the substrate 222. Example embodiments of such are described below with reference to FIGS. 5A, 6A, 7A, and/or 11. The deposition system 200 may include one or more valves that control the flow of components from the supply chambers 204, 206. For example, the composition of a deposited layer 220 may be controlled by tuning the supply of components from chambers 204, 206. In an embodiment, materials from one supply may be deposited, and subsequently material from another supply may be provided (see, e.g., FIG. 4).
  • In an embodiment, the system 200 includes a vacuum device. The vacuum device may be operable to remove any material removed (e.g., evaporated) from the layer 220 resulting from the bake process provided by the heating element 224. In an embodiment, the material removed includes a solvent. The solvent may be a solvent included in a photoresist.
  • The substrate 222 may include silicon. The substrate 222 may also include other suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. Alternatively, the substrate 222 may include a non-semiconductor material such as a glass substrate for thin-film-transistor liquid crystal display (TFT-LCD) devices, or fused quartz or calcium fluoride for a photomask (mask). In an embodiment, the substrate 222 includes one or more material layers (e.g., insulating, conductive, semiconductive, etc) formed thereon. The substrate 222 may include various doped regions, dielectric features, and multilevel interconnects. In one embodiment, the substrate 222 includes various doped features for various microelectronic components, such as a complementary metal-oxide-semiconductor field-effect transistor (CMOSFET), imaging sensor, memory cell, and/or capacitive element.
  • In an embodiment, the vapor 218 deposits a layer 220 including photoresist on the substrate 222. One or more components such as, PAG, quencher, surfactant, polymer resin, surface segregated additive, crosslinker, chromophore, acid cleavable molecule, and solvent may be included in the supply 204 and/or 206. In alternative embodiments, the supplies 204, 206 and/or the vapor 218 may include components such that a layer 220 includes ARC (e.g., BARC), top coat, adhesion layer, crosslinking material, organic etch stop layer (ESL), inorganic ESL, Si, Si3N4, SiON, Ti, TiN, Ta, TaN, SiOx, and/or other suitable materials. The layer 220 may include a plurality of layers.
  • Referring now to FIG. 3, illustrated is an embodiment of deposition of a vaporized material 218 to form a conformal layer 302 on the substrate 222. FIG. 3 may be representative of the deposition of a layer using the method 100. FIG. 3 may be representative of use of the system 200. The conformal layer 302 includes a thickness t1 at the top of a feature 304 and a thickness t2 at the bottom of a feature 304 adjacent the substrate 222, t1 and t2 are approximately equal. This is in contrast to deposition of a material using a conventional spin-coating process. The spin-coating process may provide a thinner layer of material at the top of a feature (such as, feature 304) than on the underlying substrate (such as substrate 222). The conventional process may provide, for example, a thickness corresponding to t2 may be four times greater than a thickness corresponding to t1. This may provide for a narrow etch process window when, for example, forming a trench for a shallow trench isolation feature (STI). The features 304 may include photoresist, BARC, top coat, Si containing organic hard mask, Si, Si3N4, SiON, Ti, TiN, Ta, TaNx, SiOx, and/or other suitable materials. The layer 302 may include photoresist, BARC, top coat, Si containing organic hard mask, Si, Si3N4, SiON, Ti, TiN, Ta, TaNx, SiOx, and/or other suitable materials.
  • Referring now to FIG. 4, illustrated is a multi-layer semiconductor device 400 including a plurality of layers deposited on the substrate 222. The semiconductor device 400 may be formed using the method 100, the system 200, and/or a portions thereof. The semiconductor device 400 includes a layer 402 and a layer 404. The layers 402 and 404 may include photoresist, antireflective coating (e.g., BARC), top coat, adhesion layers, crosslinking materials, organic and inorganic etch stop layer, Si, Si3N4, SiON, Ti, TiN, Ta, TaN, SiOx, and/or other suitable materials. The layer 402 and the layer 404 have an interface 406. The interface 406 illustrates a substantially discrete interface between layers 404 and 402 (in contrast to a mixing of the layers). The layer 402 and layer 404 may be vapor deposited in-situ using the method 100 and/or the system 200. A bake process may be performed in-situ with the depositions. In an embodiment, the layer 402 and the layer 404 include separate and distinct compositions. In an embodiment, the layer 402 and the layer 404 include related compositions, for example, similar compositions having ratios of one or more components adjusted.
  • In an embodiment, a first deposited layer, layer 402, and the second deposited layer, layer 404, each provide for different etch resistances (e.g., etch rates). Layer 402 and/or the layer 404 may include an etching resistance molecule. The molecule may include a low onishi number structure, double bond structure, triple bond structure, silicon, silicon nitride, Ti, TiN, Al, aluminum oxide, SiON, and/or other suitable component.
  • In an embodiment, the layer 402 includes photoresist and layer 404 includes a composition more hydrophobic than layer 402. In an embodiment, the layer 404 includes fluorine. The layer 404 may include an isolation layer between an immersion fluid of an immersion lithography system and the layer 402 (e.g., resist). In an embodiment, the immersion fluid includes water.
  • Using conventional spin-coating process, multi-layer applications such as illustrated by the semiconductor device 400 may raise issues. For example, components may intermix with other layers reducing the opportunity to create an interface between layers such as, the interface 406. By providing vapor deposition according the method 100 and/or the system 200, a plurality of layers may be provided in-situ (e.g., at the same time using the same system). The solvent-reduced composition provided by the in-situ bake (and/or vacuum) reduces the movement of components. This may provide for reduced intermixing of components between different layers of a multi-layer structure, in contrast with the interface 406. In an embodiment, the layers 402 and/or layer 404 include a surface switchable photoresist.
  • Referring now to FIGS. 5A, 5B, 6A, 6B, 7A, and 7B, illustrated are cross-sections providing a layer of resist as deposited, and the corresponding patterned resist feature provided from the layer of resist. The layers of resist may be formed using the method 100 and/or the system 200, described above with reference to FIGS. 1 and 2 respectively. In FIG. 5A, the resist layer 500 is formed on the substrate 222. The resist layer 500 includes a greater distribution of component 502 at the surface and a greater distribution of component 504 at the bottom of the photoresist layer 500. The resist layer 500 may be provided by tuning the ratio of component 502 and 504 while vapor depositing the resist layer 500. In an embodiment, the component 502 includes an acid producing component, and the profile 506 (e.g., tapered top) is provided. In FIG. 6A, the resist layer 600 includes a greater distribution of component 604 at the surface and a greater distribution of component 602 at the bottom of the photoresist layer 600. The resist layer 600 may be provided by tuning the ratio of component 602 and 604 while vapor depositing the resist layer 600. In an embodiment, the component 602 includes an acid producing component (CAR) and the profile 606 (e.g., tapered bottom) is provided. In FIG. 7A, the resist layer 700 illustrates a photoresist layer having a substantially distributed composition of component 702 and 704. The profile 706 is provided illustrating a substantially uniform thickness profile 706. The profiles 506, 606, and/or 706 provide photoresist features that may be used to provide masking elements for subsequent processing of the substrate 222. The photoresist features including profiles 506, 606, and/or 706 are provided by exposing the photoresist layers 500, 600, and 700 respectively to a pattern, performing a post-exposure bake, and developing the resist and/or other suitable photolithography processes known in the art.
  • Therefore, the method 100 and/or the system 200 provide a method and system respectively to control the composition (e.g., ratio of components) of a deposited layer. For example, the composition of a photoresist layer may be controlled such that a desired profile of a resultant photoresist feature is formed (e.g., an undercut feature). See FIGS. 5A, 6A, 7A. In contrast, a conventional spin coating process provides a fixed formulation of photoresist that does not allow for modification of the distribution as deposited. This provides difficulties in controlling a photoresist profile. Using the method 100 and/or the system 200, the composition of a layer as deposited, e.g., the composition of a photoresist layer, may be varied by controlling the ratio of two or more components of the material (e.g., controlling PAG component or distribution of acid produced by an exposed resist layer).
  • Referring now to FIG. 8, illustrated is a method 800 for performing a dual pattern photolithography process. The method 800 begins at step 802 where a substrate is provided. Referring to the example of FIG. 9, the substrate 222 is provided. The substrate 222 may be substantially similar to as described above with reference to FIG. 2. The method 800 then proceeds to step 804 where features associated with a first lithography process are formed. Referring again to FIG. 9, the substrate 222 includes features 902. The features 902 may provide photoresist features associated with a first lithography step (e.g., formation of a first pattern on the substrate 222).
  • The method 800 then proceeds to step 806 where a pattern freezing material may be vapor deposited on the features associated with the first lithography process. A pattern freezing material may include a cross linkable component. The pattern freezing material may be deposited by vapor deposition including in-situ baking process. The pattern freezing material may be deposited using the method 100, the system 200, and/or portions thereof. Referring to the example of FIG. 9, a vaporized pattern freezing material 906 is deposited on the substrate 222, and in particular on the first features 902. The vaporized pattern freezing material 906 forms the pattern freezing layer 904. The pattern freezing layer 904 may be a discrete layer, or be intermixed with the features 902. The pattern freezing material 906 may be deposited by a vapor deposition system including the vapor deposition support device 214 and the nozzles 216. The substrate 222 is positioned on the heating element 224. The heating element 224 may provide a baking process to the environment of the substrate 222. The baking process may be performed in-situ with the deposition of the pattern freezing material 906 (e.g., at substantially the same time and/or following the deposition of the pattern freezing layer 904 without moving the substrate 222 from the processing tool).
  • The patterning freezing material may induce cross linking reactions to the features on which it is deposited and/or within the layer of pattern freezing material provided. In an embodiment, after the step 806, the pattern freezing material may be rinsed from the substrate using a developer solution and/or de-ionized water.
  • In a conventional deposition process of pattern freezing material, the features associated with the first lithography process may be easily damaged by the solvent used in the pattern freezing material. The damage may include CD bias and/or profile issues. The vapor deposition process including in-situ baking provides a reduced (or eliminated) solvent environment for the features.
  • The method 800 then proceeds to step 808 where a second set of features associated with a second lithography pattern are formed on the substrate. Due to the pattern freezing material (e.g., cross-linking reactions), the second set of features may be formed without intermixing with the first formed features. For example, to form the second set of features a photoresist layer may be deposited, exposed, and developed. Such processes may not affect the first set of features as they include cross linked photoresist. Referring to the example of FIG. 10, second features 1002 are formed on the substrate 222. Thus a pattern including the first features 902 and the second features 1002 is provided, which may provide for a reduced pitch. In an embodiment, the second features 1002 may be formed using vapor deposition with in-situ baking such as provided by the method of FIG. 1 and/or the system of FIG. 2.
  • Referring now to FIG. 11, illustrated is a method 1100 proving an embodiment of vapor depositing an antireflective coating (ARC), e.g., a BARC. The method 1100 begins at step 1102 where a substrate is provided. The substrate may be substantially similar to the substrate provided above in step 102 of the method 100. The method 1100 then proceeds to step 1104 where two or more BARC material components are provided. The BARC components may be supplied in material supply systems such as, the supply systems 204 and/or 206 of the deposition system 200. The components provided and/or the ratio of the provided components may determine a n and/or k value (reflectivity and/or absorptance) of the deposited BARC layer.
  • The method 1100 then proceeds to step 1106 where the BARC components, in a determined first ratio, are then mixed. In an embodiment, the components are mixed in a mixing chamber such as, the mixing chamber 208, described above with reference to FIG. 2. In an embodiment of the method 1100, the components may be reacted, for example, in a reaction chamber such as the reaction chamber 210, also described above with reference to FIG. 2. The mixed (and/or reacted) components provide a BARC composition that includes a reflectivity property, for example, as determined by the ration of components and/or the composition of components provided.
  • The method 1100 then proceeds to step 1108 where the BARC is vapor deposited onto the substrate. The BARC may be deposited using the vapor deposition system 202, or portion thereof. In an embodiment, the BARC is vaporized in the system 202 and provided to the substrate through nozzles such as, the nozzles 216. In an embodiment, heating element is used to provide a baking process in-situ with the vapor deposition of the BARC material. In a further embodiment, a vacuum device is used to remove evaporants from a formed BARC layer (e.g., released by the baking process). In an embodiment, the heating element and bake process are omitted. The deposition provides a BARC layer having a first reflectivity property.
  • The method 1100 then proceeds to step 1110 where a second ratio of BARC components is determined. The BARC components may be mixed and/or reacted to provide a BARC material having a second reflectivity. The second ratio of components may be different than the first ratio, provided above in step 1104. The second ratio of BARC components may provide a different reflectivity than the first ratio. In an embodiment, the components are mixed in a mixing chamber such as, the mixing chamber 208, described above with reference to FIG. 2. In an embodiment of the method 1100, the components may be reacted, for example, in a reaction chamber such as the reaction chamber 210, also described above with reference to FIG. 2. property.
  • The method 1100 then proceeds to step 1112 where the BARC material having the second ratio of components is vapor deposited onto the substrate. The vapor deposition of the BARC material having the first ratio of components may be stopped prior to step 1112. The BARC may be deposited using the vapor deposition system 202, or portion thereof. In an embodiment, the BARC is vaporized in the system 202 and provided to the substrate through nozzles such as, the nozzles 216. In an embodiment, heating element is used to provide a baking process in-situ with the vapor deposition of the BARC material. In a further embodiment, a vacuum device is used to remove evaporants from a formed BARC layer (e.g., released by the baking process). In an embodiment, the heating element and bake process is omitted. The deposition provides a BARC layer having a second reflectivity property. The BARC layer having the first reflectivity property (formed above in step 1108) and the BARC layer having the second reflectivity property may be distinct layer, or may be a single BARC layer including a gradient chemical component distribution (e.g., a varied ratio of components).
  • In embodiments of the method 1100, the ratio of components of a BARC composition may be continuously modified throughout the vapor deposition process. In embodiments, one or more components may be provided for a portion of the formation of the BARC layer and omitted from a portion of the deposition.
  • Thus, the method 1100 may provide a vapor deposited BARC layer with a gradient reflectivity and/or absorptance—n,k distribution. This may provide an infinite quantity of reflective planes. This may allow for producing a reflectively close to zero and/or that is relatively free of substrate and topography differences. This is in contrast with a conventional spin-coating process where the formulation and/or n,k values of a BARC material are fixed. Therefore there are only two reflective planes (air/BARC and BARC/substrate) in the conventional spin coated layer. The reflectivity of the BARC layer provided by conventional spin coating processes thus provides a reflectivity based on the thickness of the BARC layer.
  • Though the method 1100 is described as providing a BARC layer with a gradient n, k value, the method 1100 may be useful for providing any layer of material wherein a gradient chemical component distribution (e.g., a varying of component ratio) is desired. For example, the photoresist of FIGS. 5A, 5B, 6A, 6B, 7A, and 7B may be provided using the method 1100 of portion thereof. The variation of ratio of components includes embodiments where a component is not provided for a portion of the formation of the layer (e.g., a first chemical component and a second component have a ration of 0:1).
  • Thus, provided are methods and systems for vapor depositing material onto a semiconductor substrate to form one or more layers. The methods and systems provide for varying which components and/or ratio of components that are being supplied to provide for a layer including gradient chemical component distribution. This may be useful in controlling a photoresist profile, reflectivity of an ARC layer, and/or other features. The methods and systems also provide for a bake process and/or heating element to be provided in-situ with the vapor deposition. The elevated temperatures may remove solvent from material being deposited (or deposited) on the substrate.

Claims (20)

1. A deposition system, comprising:
a chemical supply chamber;
a supply nozzle operable to dispense vapor; and
a heating element operable to provide heat to a substrate in-situ with the dispensing of vapor.
2. The system of claim 1, further comprising:
a mixing chamber for mixing two or more chemical components provided by the chemical supply chamber.
3. The system of claim 1, further comprising:
a reaction chamber for reacting two or more chemical components provided by the chemical supply chamber.
4. The system of claim 3, wherein the reaction chamber is connected to the supply nozzle such that the reacted two or more chemicals are provided to the supply nozzle.
5. The system of claim 1, wherein a semiconductor substrate is positioned on the heating element while a material is vapor deposited.
6. The system of claim 1, further comprising:
a vaporization device positioned in the chemical supply chamber.
7. The system of claim 1, wherein the chemical supply chamber includes a first chamber and a second chamber.
8. The system of claim 7, wherein the first and second chambers include a nozzle for controlling the flow of a chemical from each of the first chamber and the second chamber.
9. The system of claim 1, further comprising:
a vacuum device.
10. An apparatus, comprising:
a first material supply chamber and a second material supply chamber;
a mixing chamber connected to the first and second material supply chambers;
a reaction chamber connected to the mixing chamber; and
a plurality of nozzles overlying a heating element operable to hold a substrate.
11. The apparatus of claim 10, wherein the first and second material supply chambers each include a valve that controls a flow of a material exiting the first and second material supply chambers.
12. The apparatus of claim 10, further comprising:
a vacuum device positioned adjacent the heating element.
13. The apparatus of claim 12, wherein the vacuum device is operable to remove outgassing from the substrate disposed on the heating element.
14. The apparatus of claim 10, wherein the substrate is a silicon wafer.
15. The apparatus of claim 10, wherein the plurality of nozzles dispense a photosensitive material.
16. A system of vapor deposition, comprising:
a first material supply chamber and a second material supply chamber;
a mixing chamber connected to the first and second material supply chambers;
a plurality of nozzles overlying a base operable to position a substrate; and
a heating element disposed in the base.
17. The system of vapor deposition of claim 16, wherein the heating element is operable to heat the substrate as vapor is dispensed from the plurality of nozzles.
18. The system of vapor deposition of claim 16, further comprising:
a vacuum device adjacent the base, wherein the vacuum device is operable to remove an evaporated chemical from the substrate positioned on the base.
19. The system of vapor deposition of claim 16, further comprising:
a reaction chamber connected to the mixing chamber, wherein the reaction chamber interposes the mixing chamber and the plurality of nozzles.
20. The system of vapor deposition of claim 16, further comprising:
a third material supply chamber connected to the mixing chamber.
US13/337,846 2008-10-20 2011-12-27 System and method of vapor deposition Abandoned US20120090547A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/337,846 US20120090547A1 (en) 2008-10-20 2011-12-27 System and method of vapor deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/254,658 US8105954B2 (en) 2008-10-20 2008-10-20 System and method of vapor deposition
US13/337,846 US20120090547A1 (en) 2008-10-20 2011-12-27 System and method of vapor deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/254,658 Division US8105954B2 (en) 2008-10-20 2008-10-20 System and method of vapor deposition

Publications (1)

Publication Number Publication Date
US20120090547A1 true US20120090547A1 (en) 2012-04-19

Family

ID=42109023

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/254,658 Expired - Fee Related US8105954B2 (en) 2008-10-20 2008-10-20 System and method of vapor deposition
US13/337,846 Abandoned US20120090547A1 (en) 2008-10-20 2011-12-27 System and method of vapor deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/254,658 Expired - Fee Related US8105954B2 (en) 2008-10-20 2008-10-20 System and method of vapor deposition

Country Status (1)

Country Link
US (2) US8105954B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9589820B2 (en) 2015-05-29 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and adjustment method
US20170256418A1 (en) * 2016-03-04 2017-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography Patterning with a Gas Phase Resist
WO2022010809A1 (en) * 2020-07-07 2022-01-13 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120108040A1 (en) * 2010-11-01 2012-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vaporizing polymer spray deposition system
US9595440B2 (en) 2010-11-01 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of using a vaporizing spray system to perform a trimming process
US8647796B2 (en) * 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US20140117511A1 (en) 2012-10-30 2014-05-01 Infineon Technologies Ag Passivation Layer and Method of Making a Passivation Layer
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9627234B2 (en) 2013-03-14 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for localized and controlled removal of material from a substrate
TWI578504B (en) 2016-02-05 2017-04-11 友達光電股份有限公司 Pixel structure and fabricating method thereof
US10865477B2 (en) 2016-02-08 2020-12-15 Illinois Tool Works Inc. Method and system for the localized deposit of metal on a surface
JP6301042B1 (en) * 2017-03-30 2018-03-28 堺ディスプレイプロダクト株式会社 Organic EL device and manufacturing method thereof
CN110658508B (en) * 2019-10-17 2023-03-10 中国人民解放军火箭军工程大学 K distribution sea clutter parameter estimation method based on characteristic quantity

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US20020022087A1 (en) * 2000-02-28 2002-02-21 Tsukasa Satake Thin film deposition process and device, FTIR gas analyzer used in the thin film deposition process, and mixed gas supplying device used in the thin film deposition process
US20050170665A1 (en) * 2003-04-17 2005-08-04 Fujitsu Limited Method of forming a high dielectric film
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20080083970A1 (en) * 2006-05-08 2008-04-10 Kamber Derrick S Method and materials for growing III-nitride semiconductor compounds containing aluminum

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7811923B2 (en) * 2007-07-17 2010-10-12 International Business Machines Corporation Integrated wafer processing system for integration of patternable dielectric materials

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US20020022087A1 (en) * 2000-02-28 2002-02-21 Tsukasa Satake Thin film deposition process and device, FTIR gas analyzer used in the thin film deposition process, and mixed gas supplying device used in the thin film deposition process
US20050170665A1 (en) * 2003-04-17 2005-08-04 Fujitsu Limited Method of forming a high dielectric film
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20080083970A1 (en) * 2006-05-08 2008-04-10 Kamber Derrick S Method and materials for growing III-nitride semiconductor compounds containing aluminum

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9589820B2 (en) 2015-05-29 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and adjustment method
US20170256418A1 (en) * 2016-03-04 2017-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography Patterning with a Gas Phase Resist
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10514610B2 (en) 2016-03-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2022010809A1 (en) * 2020-07-07 2022-01-13 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
JP2023507677A (en) * 2020-07-07 2023-02-24 ラム リサーチ コーポレーション An Integrated Dry Process for Irradiated Photoresist Patterning
JP7382512B2 (en) 2020-07-07 2023-11-16 ラム リサーチ コーポレーション Integrated dry process for irradiated photoresist patterning

Also Published As

Publication number Publication date
US8105954B2 (en) 2012-01-31
US20100099267A1 (en) 2010-04-22

Similar Documents

Publication Publication Date Title
US8105954B2 (en) System and method of vapor deposition
TWI449084B (en) Methods of forming electronic devices
US7862989B2 (en) Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
US8647817B2 (en) Vapor treatment process for pattern smoothing and inline critical dimension slimming
US6720256B1 (en) Method of dual damascene patterning
JP2951504B2 (en) Silylated flattening resist, flattening method, and integrated circuit device manufacturing method
US9378974B2 (en) Method for chemical polishing and planarization
CN112368645A (en) Adhesion layer for EUV lithography
US5756256A (en) Silylated photo-resist layer and planarizing method
US6852474B2 (en) Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US20110250541A1 (en) Pattern forming method, method for manufacturing semiconductor device, and material for forming coating layer of resist pattern
US20230408918A1 (en) Photoresist composition and method of manufacturing a semiconductor device
JPH0770527B2 (en) Device manufacturing method
US7709383B2 (en) Film forming method, and substrate-processing apparatus
TW202144913A (en) Method of manufacturing a semiconductor device
JP2002043215A (en) Method for forming resist pattern, semiconductor manufacturing apparatus, semiconductor device, and portable information terminal
US6326319B1 (en) Method for coating ultra-thin resist films
Takei et al. New advanced BARC and gap fill materials based on sublimate reduction for 193nm lithography
US7595146B1 (en) Method of creating a graded anti-reflective coating
US20230333477A1 (en) Method of manufacturing a semiconductor device
EP1246706B1 (en) Anti-reflective coating process and apparatus
US20200199744A1 (en) Method for preparing multilayer structure
Shibayama et al. Enhancement of sensitivity and resolution by functional surface treatment process and primers (FSTP)
KR20240016336A (en) How to eliminate material overburden through improved freeze-free anti-spacer formation using a double layer system
Huang et al. Advanced developer-soluble gap-fill materials and applications

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WANG, CHIEN-WEI;LU, DAVID DING-CHUNG;CHANG, CHING-YU;SIGNING DATES FROM 20081008 TO 20081016;REEL/FRAME:027451/0157

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION