US20100038702A1 - Nonvolatile memory device and methods of forming the same - Google Patents

Nonvolatile memory device and methods of forming the same Download PDF

Info

Publication number
US20100038702A1
US20100038702A1 US12/588,071 US58807109A US2010038702A1 US 20100038702 A1 US20100038702 A1 US 20100038702A1 US 58807109 A US58807109 A US 58807109A US 2010038702 A1 US2010038702 A1 US 2010038702A1
Authority
US
United States
Prior art keywords
layer
memory device
nonvolatile memory
gate
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/588,071
Inventor
Chang-Woo Oh
Sung-Hwan Kim
Dong-gun Park
Dong-won Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/588,071 priority Critical patent/US20100038702A1/en
Publication of US20100038702A1 publication Critical patent/US20100038702A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7887Programmable transistors with more than two possible different levels of programmation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42332Gate electrodes for transistors with a floating gate with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate

Definitions

  • Example embodiments relate to a semiconductor memory device and methods of forming the same.
  • Other example embodiments relate to a nonvolatile memory device and methods of forming the same.
  • Semiconductor memory devices may be classified as volatile memory devices or nonvolatile memory devices.
  • the volatile memory devices e.g., a dynamic random access memory (DRAM) and/or a static random access memory (SRAM)
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • the nonvolatile memory devices may retain the stored data even though the power is interrupted.
  • a flash memory device is a nonvolatile memory device and may be a highly integrated device having both an erasable programmable read only memory (EPROM) and an electrically erasable programmable read only memory (EEPROM).
  • the flash memory devices may be classified into a floating gate type flash memory device and a floating trap type flash memory device according to the type of data storage layer in a unit cell.
  • the floating trap type flash memory device may store electrical charges in a trap formed in a nonconductive charge trap layer, whereas the floating gate type flash memory device may store electrical charges in a polysilicon layer.
  • a memory cell of the floating trap type memory device may include a gate structure of a tunnel oxide layer, a silicon nitride layer serving as a charge trap layer, a blocking oxide layer and/or a conductive layer on a silicon substrate.
  • FIG. 1 is a diagram illustrating a conventional nonvolatile memory device 10 of a silicon oxide nitride oxide semiconductor (SONOS) structure.
  • a memory cell of the memory device 10 may include an ONO layer 15 , including an oxide layer 12 , a nitride layer 13 and an oxide layer 14 , and polysilicon 16 , which may be formed in a channel region 18 defined between source/drain regions 17 formed on a substrate 11 .
  • the memory cell may have a single bit structure indicating logic state “0” or “1” according to existence or nonexistence of electrical charges trapped in the nitride layer 13 of the ONO layer 15 .
  • nano technology has been developed, nonvolatile memory devices, using nano crystals, have been studied.
  • FIGS. 2 and 3 are diagrams illustrating a conventional nonvolatile memory device using nano crystal.
  • a channel region 28 may be disposed between source/drain regions 27 formed on a substrate 21 .
  • a memory cell may include a memory layer 25 and a gate electrode 26 formed on the channel region 28 .
  • the memory layer 25 may include a tunnel oxide layer 22 , a charge trap layer 23 and a blocking oxide layer 24 .
  • the charge trap layer 23 may include nano crystals 23 NC of cluster and/or dot shape of several to several tens nm. Electrical charges injected into the nano crystals 23 NC may not move easily between the nano crystals 23 NC. Compared with the general memory device of the SONOS structure, the memory device using nano crystals may restrain the lateral diffusion of electrical charges and may be suitable to achieve the memory device of a multi bit structure.
  • nonvolatile memory device In implementing the nonvolatile memory device using nano crystals as a multi-bit (e.g., about 2 bits per cell) nonvolatile memory device, there may be a limitation in scaling down the size of the memory device. Electrical charges may be locally injected into a charge trap layer close to the source/drain regions 27 in order to use the memory device with nano crystals as the multi-bit memory device. With a short-channel memory device, overlapping may occur when injecting electrical charges and the injected electrical charges may be laterally diffused, causing disturbance. The memory device may not achieve the 1 cell-2 bits operation. A channel length of the memory device may be maintained at more than a given length, but this may be contrary to a relatively high integration of the memory device. Therefore, the memory layer may be divided into two layers.
  • source/drain regions 37 may be formed in a substrate 31 .
  • Two memory layers 35 L and 35 R, separated horizontally, may be disposed on a channel region 38 defined between the source/drain regions 37 , with an insulating layer 35 C being interposed therebetween.
  • the memory layers 35 L and 35 R may each include tunnel oxide layers 32 L and 32 R, charge trap layers 33 L and 33 R, and blocking oxide layers 34 L and 34 R.
  • a gate electrode 36 may be located on the memory devices 35 L and 35 R and the insulating layer 35 C.
  • the structure may scale down the memory device to a degree. Depending on the number of the nano crystal 33 NC included in the charge trap layers 33 L and 33 R during scaling down, a threshold voltage shift may become large and degrade the reliability of the device.
  • FIG. 4A is a diagram illustrating a charge storage layer in the conventional nonvolatile memory device of FIG. 3
  • FIG. 4B is a diagram illustrating a dispersion of a threshold voltage shift according to a width W of the charge storage layer in the conventional nonvolatile memory device of FIG. 4A .
  • the threshold voltage may increase due to the bottleneck effect.
  • electrical charges passing through the channel may be trapped by the nano crystals 33 NC, so that the threshold voltage may increase.
  • the threshold voltage in each of the memory cells may increases to a different degree depending on the number of nano crystals 33 NC included in the charge trap layer. This may be a problem when the width W of the channel decreases.
  • the dispersion of the threshold voltage may be relatively small, but the dispersion of the threshold voltage in each of the memory cells may also be relatively small.
  • the width W of the channel is about 10 nm, the threshold voltage may increase, but the dispersion of the threshold voltage in each of the memory cells may be relatively large. Accordingly, errors may occur when the memory cell operates and there may be less reliability of the memory device.
  • Example embodiments relate to a semiconductor memory device and methods of forming the same. Other example embodiments relate to a nonvolatile memory device and methods of forming the same. Example embodiments provide larger scale integrated (VLSI) nonvolatile memory devices having improved reliability and a method of fabricating the same.
  • a method of forming nonvolatile memory devices according to example embodiments may include forming a memory cell of a multi bit structure.
  • Example embodiments provide a method of forming nonvolatile memory devices including forming a device isolation layer in a substrate to define an active region in which a memory layer including a tunnel insulating layer, a charge storage layer, and a blocking insulating layer may be formed.
  • a portion of the memory layer may be removed and a middle gate may be formed having an upper surface higher than an upper surface of the memory layer and a gate insulating layer adjacent to both sides and a bottom of the middle gate in the removed space.
  • Side gates may be formed adjacent to the gate insulating layer on both sides of the middle gate and the memory layer may be patterned using the side gates as an etching mask to form first and second memory cells each including the patterned memory layer and the side gates.
  • An ion implantation process may be performed to form a first impurity region on the substrate outside the first memory cell and to form a second impurity region on the substrate outside the second memory cell.
  • forming the middle gate and the gate insulating layer may include forming a hard mask pattern exposing a portion of the memory layer on the substrate including the device isolation layer. A portion of the memory layer may be etched using the hard mask pattern as an etching mask to expose the substrate. An insulating layer and a first conductive layer may be formed on the overall substrate and a planarization process may be performed for exposing the hard mask pattern, wherein forming the side gates may include forming the middle gate and the gate insulating layer and removing the hard mask pattern and forming a second conductive layer adjacent to the gate insulating layer on both sides of the middle gate.
  • forming the middle gate and the gate insulating layer may include forming a hard mask pattern exposing a portion of the memory layer on the substrate including the device isolation layer. Spacers may be formed on sides of the hard mask pattern and the memory layer may be etched using the hard mask pattern and the spacers as an etching mask to expose the substrate. An insulating layer and a first conductive layer may be formed on the overall substrate and a planarization process may be performed for exposing the hard mask pattern and the spacers, wherein the forming of the side gates may include forming the middle gate and the gate insulating layer, removing the spacers, forming a second conductive layer and performing a planarization process for exposing the hard mask pattern.
  • Example embodiments provide a method of forming nonvolatile memory devices including forming a device isolation layer in a substrate to define an active region in which a memory layer including a tunnel insulating layer, a charge storage layer, and a blocking insulating layer may be formed.
  • a hard mask pattern may be formed exposing a portion of the memory layer on the substrate and spacers may be formed on sides of the hard mask pattern.
  • a portion of the memory layer may be etched using the hard mask pattern and the spacers as an etching mask to expose the substrate.
  • An insulating layer and a conductive layer may be formed on the overall substrate and a planarization process may be performed for exposing the hard mask pattern and the spacers to form a middle gate and a gate insulating layer on the exposed substrate.
  • the hard mask pattern may be removed and the memory layer may be patterned using the spacers as an etching mask.
  • An ion implantation process may be performed to form impurity regions in the substrate outside the patterned memory layer.
  • the spacers may be formed of a conductive layer, and the spacers may serve as side gates.
  • the memory layer may be patterned using the spacers as an etching mask, and then the spacers may be removed to form side gates in the removed space.
  • the spacers may be formed of material having etch selectivity with respect to the hard mask pattern and the gate insulating layer.
  • the device isolation layer may be formed through the following methods.
  • the first method may include forming the tunnel insulating layer, the charge storage layer, and the blocking insulating layer on the substrate and forming a linear sacrifice layer pattern on the blocking insulating layer. Spacers may be formed in sides of the sacrifice layer pattern and the sacrifice layer pattern may be removed. A trench may be formed using the spacers as an etching mask, the trench may be filled with oxide and a planarization process may be performed for exposing an upper surface of the blocking insulating layer. In this method, the sacrifice pattern and the spacer may be formed of material having etch selectivity with respect to each other.
  • the second method may include forming the tunnel insulating layer, the charge storage layer, and the blocking insulating layer on the substrate and forming a linear hard mask pattern on the blocking insulating layer.
  • a trench may be formed using the hard mask pattern as an etching mask and the trench may be filled with oxide.
  • the oxide may be planarized up to an upper surface of the blocking insulating layer to form a first device isolation layer and a portion of both sides of the hard mask pattern may be removed to reduce its width and to expose a portion of the blocking insulating layer.
  • a mask layer may be formed on the exposed blocking insulating layer and the first device isolation layer and the reduced hard mask pattern may be removed.
  • a trench may be formed using the mask layer as an etching mask and the trench may be filled with oxide.
  • the oxide may be planarized up to the upper surface of the blocking insulating layer to form a second device isolation layer.
  • the hard mask pattern and the mask layer may be formed of a material having etch selectivity with respect to each other.
  • larger scale integrated nonvolatile memory devices may be formed through a spacer forming process and/or a pull-back process.
  • Nonvolatile memory devices may include a nano-sized charge storage layer. Nano-sized means a size smaller than that of a pattern that may be formed by a photolithography process.
  • Example embodiments provide nonvolatile memory devices including first and second impurity regions separately formed on a substrate to define a channel region therebetween, a middle gate formed on the channel region, a gate insulating layer adjacent to both sides and a bottom of the middle gate and first and second memory cells disposed on both sides of the middle gate, wherein the first and second memory cells each include a memory layer including a tunnel insulating layer, a nano-sized charge storage layer and a blocking insulating layer formed on the channel region and a side gate formed on the memory layer.
  • the charge storage layer may be formed of a single material, for example, amorphous silicon. Electrical charges may be more stably injected into the charge storage layer, thereby improving the reliability of the memory device.
  • the nonvolatile memory devices may further include a gate connection layer formed on the middle gate and the side gates to electrically connect the middle gate with the side gates.
  • the nonvolatile memory devices may further include a first spacer layer covering outer sides of the first and second memory cells.
  • the nonvolatile memory devices may further include a second spacer layer covering the first spacer layer, and each of the first and second impurity regions may include a low concentration impurity region formed under the second spacer layer.
  • FIGS. 5-26C represent non-limiting, example embodiments as described herein.
  • FIG. 1 is a diagram illustrating a conventional nonvolatile memory device with a SONOS structure and FIGS. 2 and 3 are diagrams illustrating a conventional nonvolatile memory device using nano crystals;
  • FIG. 4A is a diagram illustrating a charge storage layer in the conventional nonvolatile memory device of FIG. 3
  • FIG. 4B is a diagram illustrating a dispersion of a threshold voltage shift according to a width of the charge storage layer in the conventional nonvolatile memory device of FIG. 4A ;
  • FIG. 5 is a diagram illustrating a nonvolatile memory device according to example embodiments.
  • FIGS. 6A to 13A are diagrams illustrating a portion of a substrate to show a method of forming a nonvolatile memory device according to example embodiments.
  • FIGS. 6B and 13B are diagrams illustrating line A-A′ of FIGS. 6A to 13A according to example embodiments and
  • FIGS. 6C to 13C are diagrams illustrating line B-B′ of FIGS. 6A to 13A according to example embodiments;
  • FIGS. 14A and 21A are diagrams illustrating a portion of a substrate to show a method of forming a nonvolatile memory device according to example embodiments
  • FIGS. 14B to 21B are diagrams illustrating line A-A′ of FIGS. 14A to 21A according to example embodiments
  • FIGS. 14C to 21C are diagrams illustrating line B-B′ of FIGS. 14A to 21A according to example embodiments;
  • FIGS. 22A and 23A are diagrams illustrating a portion of a substrate to show a method of forming a nonvolatile memory device according to example embodiments
  • FIGS. 22B and 23B are diagrams illustrating line A-A′ of FIGS. 22A and 23A according to example embodiments
  • FIGS. 22C and 23C are diagrams illustrating line B-B′ of FIGS. 22A and 23A according to example embodiments;
  • FIGS. 24A to 26A are diagrams illustrating a portion of a substrate to show a method of forming a nonvolatile memory device according to example embodiments
  • FIGS. 24B to 26B are diagrams illustrating line A-A′ of FIGS. 24A and 26A according to example embodiments
  • FIGS. 24C to 26C are diagrams illustrating line B-B′ of FIGS. 24A and 26A according to example embodiments.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the example term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90° or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • the terms “first,” “second,” “third,” and the like in this specification, if any, are used for describing a memory cell, an impurity region, a side gate, or a spacer layer, etc., but such elements should not be construed as being limited by the terms so used. These terms are merely used for distinguishing desired memory cell, impurity region, side gate, or spacer layer, etc. from other memory cell, impurity region, side gate, or spacer layer, etc.
  • Example embodiments relate to a semiconductor memory device and methods of forming the same.
  • Other example embodiments relate to a nonvolatile memory device and methods of forming the same.
  • FIG. 5 is a diagram illustrating a nonvolatile memory device according to example embodiments.
  • a channel region 108 may be defined between impurity regions 107 L and 107 R formed on a substrate 100 .
  • the impurity regions 107 L and 107 R may include low-concentration impurity regions 105 L and 105 R and high-concentration impurity regions 106 L and 106 R.
  • Memory cells 120 L and 120 R may be separately disposed on the channel region 108 .
  • the memory cells 120 L and 120 R may each include memory layers 114 L and 114 R and side gates 118 L and 118 R thereon.
  • the memory layers 114 L and 114 R may each include tunnel insulating layers 111 L and 111 R, charge storage layers 112 L and 112 R, and blocking insulating layers 113 L and 113 R.
  • the charge storage layers 112 L and 112 R may be formed of a nano-sized material (e.g., amorphous silicon).
  • a middle gate 127 may be disposed on the channel region 108 between the memory cells 120 L and 120 R, and a gate insulating layer 121 may surround both sides and bottom of the middle gate 127 .
  • First and second spacer layers 131 and 133 may be disposed to cover outer sides of the memory cells 120 L and 120 R.
  • a gate connection layer 141 may be disposed on the middle gate 127 and the side gates 118 L and 118 R.
  • the gate connection layer 141 may be formed of a conductive layer and may electrically connect the middle gate 127 to the side gates 118 L and 118 R. When a control voltage is applied to operate the memory cells 120 L and 120 R, the same voltage may be applied to the middle gate 127 and the side gates 118 L and 118 R.
  • the gate connection layer may not be formed on the middle gate and the side gates.
  • the middle gate may be electrically isolated from the side gates by the gate insulating layer. Accordingly, different control voltages may be applied to the middle gate and the side gates, and the memory cells may independently perform a program operation, an erase operation, and a read operation. However, the same control voltages may be applied to each gate.
  • the nonvolatile memory device may have a multi bit structure where two memory cells are disposed in a channel region. Because the charge storage layer is nano-sized, the larger scale integration of the memory device may be achieved. When the charge storage layer is formed of single material, electrical charges may be stably injected into the charge storage layer, increasing the reliability of the memory device. When the number of electrons stored in the charge storage layer is controlled to form a multi-potential state of the charge storage layer, the memory cell may be implemented as a multi level cell (MLC).
  • MLC multi level cell
  • FIGS. 6A to 13A are diagrams illustrating a portion of a substrate to illustrate a method of forming a nonvolatile memory device according to example embodiments.
  • FIGS. 6B and 13B are diagrams illustrating line A-A′ of FIGS. 6A to 13A
  • FIGS. 6C to 13C are diagrams illustrating line B-B′ of FIGS. 6A to 13A .
  • a memory layer 114 may be formed on a substrate 100 .
  • the memory layer 114 may include a tunnel insulating layer 111 , a charge storage layer 112 , and a blocking insulating layer 113 .
  • the tunnel insulating layer 111 may be formed of silicon oxide using a thermal oxidation process or a well-known thin film deposition process.
  • the charge storage layer 112 may be formed of amorphous silicon using a well-known thin film deposition process.
  • the blocking insulating layer 113 may be formed of silicon oxide using a well-known thin film deposition process.
  • the blocking insulating layer 113 may be formed of an insulating material having a higher dielectric constant (e.g., Al 2 O 3 , HfO, HfAlO, HfSiO and/or any other suitable material) than silicon oxide.
  • a linear sacrifice layer pattern 116 may be formed on the memory layer 114 .
  • Spacers 116 s may be formed on sides of the sacrifice layer pattern 116 .
  • the spacers 116 s may be formed of a material having an etch selectivity with respect to the sacrifice layer pattern 116 .
  • the spacers 116 s may be formed of silicon nitride and/or polysilicon.
  • the spacers 116 s may be formed to a width less than about 30 nm using a well-known spacer forming process.
  • the sacrifice layer pattern 116 may be removed using a method (e.g., a wet etching process), and then the memory layer 114 and the substrate 100 may be etched using the spacers 116 s as an etching mask to form memory layers 114 a and a trench 101 t .
  • the spacers 116 s used as an etching mask, may also be etched.
  • the trench 101 t may be filled with silicon oxide 101 s using a well-known thin film deposition process. Referring to FIGS.
  • a planarization process may be performed to remove the spacers 116 s and an upper portion of the silicon oxide 101 s and to expose upper surfaces of the memory layers 114 a .
  • the remaining silicon oxides may serve as device isolation layers 101 .
  • an active region may be defined between the device isolation layers 101 and the memory layers 114 a may be located on the active region.
  • Hard mask patterns 119 may be formed on the substrate 100 , and then the memory layers 114 a may be etched using the hard mask patterns 119 as an etching mask to expose the substrate 100 . Patterned memory layers 114 b may remain between the device isolation layers 101 under the hard mask patterns 119 . Referring to FIGS.
  • an insulating layer and a conductive layer may be formed on the substrate 100 through a well-known thin film deposition process.
  • a planarization process for exposing upper surfaces of the hard mask patterns 119 may be performed to form a middle gate 127 and a gate insulating layer 121 .
  • the gate insulating layer 121 may be formed of a material having an etch selectivity with respect to the hard mask patterns 119 .
  • the gate insulating layer 121 may be formed of silicon oxide.
  • the middle gate 127 may be formed of doped polysilicon.
  • the hard mask patterns 119 may be removed through a method (e.g., a wet etching process), and then side gates 118 L and 118 R may be formed adjacent to the gate insulating layer 121 on both sides of the middle gate 127 .
  • the side gates 118 L and 118 R may be formed to have a width below about 30 nm through a well-known spacer forming process.
  • the memory layers 114 b may be etched using the side gates 118 L and 118 R as an etching mask to expose the substrate 100 . Patterned memory layers 114 L and 114 R may remain under the side gates 118 L and 118 R.
  • Memory cells 120 L and 120 R each including the memory layers 114 L and 114 R and the side gates 118 L and 118 R, may be formed in both sides of the middle gate 127 .
  • the memory layers 114 L and 114 R each including tunnel insulating layers 111 L and 111 R, charge storage layers 112 L and 112 R, and blocking insulating layers 113 L and 113 R may be formed to have a width and a length below about 30 nm.
  • first spacer layers 131 may be formed to cover the outer sides of the memory cells 120 L and 120 R.
  • the first spacer layers 131 may be formed of silicon oxide through a well-known spacer forming process.
  • An ion implantation process may be performed to form low concentration impurity regions 105 L and 105 R on the exposed substrate 100 outside the first spacer layers 131 .
  • the first spacer layers 131 may retard or prevent the low concentration impurity regions 105 L and 105 R from enlarging into a channel region 108 under the memory layers 114 L and 114 R.
  • second spacer layers 133 may be formed to cover the outer sides of the first spacer layers 131 .
  • the second spacer layers 133 may be formed of silicon nitride through a well-known spacer forming process.
  • An ion implantation process may be performed to form high concentration impurity regions 106 L and 106 R on the exposed substrate 100 outside the second spacer layers 133 .
  • Impurity regions 107 L and 107 R including the low concentration impurity regions 105 L and 105 R and the high concentration impurity regions 106 L and 106 R, may be formed.
  • a gate connection layer 141 may be formed on the middle gate 127 and the side gates 118 L and 118 R.
  • the gate connection layer 141 may be formed of a conductive layer (e.g., a suicide layer).
  • a conductive layer (not shown) of the same material as the gate connection layer 141 may be formed on the impurity regions 107 L and 107 R.
  • a silicide layer 141 may be formed on the middle gate 127 , the side gates 118 L and 118 R, and the impurity regions 107 L and 107 R.
  • the silicide layer 141 may be formed by a reaction between metal and silicon. Because the gate insulating layer 121 does not react with metal, the suicide layer 141 may not be formed on the gate insulating layer 121 .
  • the silicide layer 141 formed on the middle gate 127 and the side gates 131 , may be connected with the gate insulating layer 121 .
  • the middle gate 127 may be electrically connected with the side gates 118 L and 118 R by the gate insulating layer 141 . The same control voltage may be applied to the middle gates 127 and the side gates 118 L and 118 R in operation of the memory cell.
  • FIGS. 14A and 21A are diagrams illustrating a portion of a substrate to illustrate a method of forming a nonvolatile memory device according to example embodiments.
  • FIGS. 14B to 21B are diagrams illustrating line A-A′ of FIGS. 14A to 21A
  • FIGS. 14C to 21C are diagrams illustrating line B-B′ of FIGS. 14A to 21A .
  • a memory layer 114 may be formed on a substrate 100 .
  • the memory layer 114 may include a tunnel insulating layer 111 , a charge storage layer 112 , and a blocking insulating layer 113 .
  • a linear hard mask pattern 115 may be formed on the memory layer 114 .
  • the memory layer 114 and the substrate 100 may be etched using the hard mask pattern 115 as an etching mask to form trenches 102 t .
  • the trenches 102 t may be filled with silicon oxide, and then the silicon oxide may be planarized as high as an upper surface of a patterned memory layer 114 p (an upper surface of a blocking insulating layer 113 p ) to form first device isolation layers 102 .
  • the patterned memory layer 114 p may remain between the first device isolation layers 102 under the hard mask pattern 115 .
  • a portion of the hard mask pattern 115 may be etched to decrease its width and expose a portion of the upper surface of the memory layer 114 p .
  • An etching process may be a wet etching process using a phosphoric acid solution as a pull-back process.
  • a removed portion of the hard mask pattern 115 may be adjusted through the pull-back process.
  • a width of the removed portion (a width of the exposed memory layer 114 p ) may be adjusted to be below about 30 nm.
  • the hard mask pattern 115 may be formed of silicon nitride.
  • Mask layers 117 may be formed on both sides of the reduced hard mask pattern 115 a .
  • the mask layers 117 may be formed of a material having an etch selectivity with respect to the hard mask pattern 115 a .
  • the mask layers 117 may be formed of oxide.
  • the hard mask pattern 115 a may be removed, and then the memory layer 114 a and the substrate 100 may be etched using the mask layers 117 as an etching mask to form a trench 103 t .
  • the trench 103 t may be filled with oxide, and then a planarization process may be performed up to an upper surface of the memory layer 114 a (an upper surface of a blocking insulating layer 113 a ) to form a second device isolation layer 103 .
  • the second device isolation layer 103 may not be formed at the same depth as the first device isolation layers 102 .
  • An active region may be defined between the first and second device isolation layers 102 and 103 , and the memory layer 114 a may be located only on the active region.
  • hard mask patterns 119 may be formed on the substrate 100 .
  • Spacers 119 s may be formed on the sides of the hard mask patterns 119 .
  • the spacers 119 s may be formed of a material having an etch selectivity with respect to the hard mask patterns 119 .
  • the spacers 119 s may be formed of silicon nitride.
  • the spacers 119 s may be formed to have a width below about 30 nm through a well-known spacer forming process.
  • the memory layers 114 a may be etched using the hard mask patterns 119 and the spacers 119 s as an etching mask to expose the substrate 100 .
  • Patterned memory layers 114 b may remain between the first and second device isolation layers 102 and 103 and under the hard mask patterns 119 and the spacers 119 s.
  • an insulating layer and a conductive layer may be formed on the substrate 100 through a well-known thin film deposition process.
  • a planarization process for exposing upper surfaces of the hard mask patterns 119 may be performed to form a middle gate 127 and a gate insulating layer 121 on the exposed substrate 100 .
  • the gate insulating layer 121 may be formed of a material having an etch selectivity with respect to the spacers 119 s .
  • the spacers 119 s are formed of silicon nitride
  • the gate insulating layer 121 may be formed of silicon oxide.
  • the middle gate 127 may be formed of doped polysilicon. Referring to FIGS.
  • the spacers 119 s may be selectively removed, and then a conductive layer may be formed in the removed space and planarized to expose the hard mask patterns 119 and form side gates 118 L and 118 R.
  • the spacers 119 s may be removed through a wet etching process using a phosphoric acid solution or a dry etching process using plasma.
  • the side gates 118 L and 118 R may be formed of doped polysilicon.
  • the memory layers 114 b may be etched using the side gates 118 L and 118 R as an etching mask to expose the substrate 100 .
  • Patterned memory layers 114 L and 114 R may remain between the first and second device isolation layers 102 and 103 under the side gates 118 L and 118 R.
  • Memory cells 120 L and 120 R, each including the memory layers 114 L and 114 R and the side gates 118 L and 118 R, may be formed on both sides of the middle gate 127 .
  • the memory layers 114 L and 114 R, including charge storage layers 112 L and 112 R, may be formed to have a width and a length below about 30 nm through a pull-back process and a spacer forming process.
  • FIGS. 22A and 23A are diagrams illustrating a portion of a substrate to illustrate a method of forming a nonvolatile memory device according to example embodiments.
  • FIGS. 22B and 23B are diagrams illustrating line A-A′ of FIGS. 22A and 23A
  • FIGS. 22C and 23C are diagrams illustrating line B-B′ of FIGS. 22A and 23A .
  • hard mask patterns 119 may be removed, and then memory layers 114 b may be etched using spacer 119 s as an etching mask. Patterned memory layers 114 L and 114 R may remain between first and second device isolation layers 102 and 103 and under the spacers 119 s .
  • the spacers 119 s may be removed, and then side gates 118 L and 118 R may be formed on the memory layers 114 L and 114 R.
  • the side gates 118 L and 118 R may be formed of a conductive layer, for example, doped polysilicon.
  • FIGS. 24A to 26A are diagrams illustrating a portion of a substrate to illustrate a method of forming a nonvolatile memory device according to example embodiments.
  • FIGS. 24B to 26B are diagrams illustrating line A-A′ of FIGS. 24A and 26A and
  • FIGS. 24C to 26C are diagrams illustrating line B-B′ of FIGS. 24A and 26A .
  • Example embodiments provide a method of forming side gates 118 L and 118 R.
  • hard mask patterns 119 may be formed on a substrate 100 .
  • the side gates 118 L and 118 R may be formed in sides of the hard mask patterns 119 .
  • the side gates 118 L and 118 R may be formed of a conductive layer, for example doped polysilicon.
  • the side gates 118 L and 118 R may be formed to have a width below about 30 nm through a well-known spacer forming process.
  • Memory layers may be etched using the hard mask patterns 119 and the side gates 118 L and 118 R as an etching mask to expose the substrate 100 . Patterned memory layers 114 b may remain between device isolation layers 101 under the hard mask patterns 119 and the side gates 118 L and 118 R.
  • an insulating layer and a conductive layer may be formed on the substrate 100 through a well-known thin film deposition process.
  • a planarization process for exposing upper surfaces of the hard mask patterns 119 may be performed to form a middle gate 127 and a gate insulating layer 121 on the exposed substrate 100 .
  • the gate insulating layer 121 may be formed of silicon oxide, and the middle gates 127 may be formed of doped polysilicon.
  • the hard mask patterns 119 may be removed, and then the memory layers 114 b may be etched using the side gates 118 L and 118 R as an etching mask to expose the substrate 100 .
  • Patterned memory layers 114 L and 114 R may remain under the side gates 118 L and 118 R.
  • Memory cells 120 L and 120 R, each including the memory layers 114 L and 114 R and the side gates 118 L and 118 R, may be formed on both sides of the middle gate 127 .
  • the memory layers 114 L and 114 R each including tunnel insulating layers 111 L and 111 R, charge storage layers 112 L and 112 R and blocking insulating layers 113 L and 113 R, may be formed to have a width and a length below about 30 nm. According to example embodiments, a nano-sized memory layer including a tunnel insulating layer, a charge storage layer, and a blocking insulating layer may be formed. The larger scale integration of the memory device may be achieved.
  • a larger scale integrated nonvolatile memory device may be achieved with improved reliability.
  • the memory cell may be implemented as a multi level cell (MLC) by controlling the number of electrons stored in the charge storage layer.
  • MLC multi level cell
  • example embodiments may be created by combining the methods of forming the device isolation layer with the methods of forming the side gates.
  • example embodiments cover all of the modifications and variations of example embodiments provided they come within the scope of the appended claims and their equivalents

Abstract

Example embodiments relate to a semiconductor memory device and methods of forming the same. Other example embodiments relate to a nonvolatile memory device and methods of forming the same. The memory device may include memory cells separately formed on a channel region between impurity regions formed on a substrate. The memory cells may each include a memory layer having a tunnel insulating layer, a nano-sized charge storage layer, and a blocking insulating layer and a side gate formed on the memory layer. According to example embodiments, larger scale integration of the nonvolatile memory devices may be achieved and the reliability of the memory devices may increase.

Description

    PRIORITY STATEMENT
  • This non-provisional application is a divisional application of U.S. application Ser. No. 11/589,178, filed Oct. 30, 2006, which claims priority under 35 U.S.C. §119(a) to Korean Patent Application No. 2005-102470, filed on Oct. 28, 2005, in the Korean Intellectual Property Office (KIPO), the entire contents of which are herein incorporated by reference.
  • BACKGROUND
  • 1. Field
  • Example embodiments relate to a semiconductor memory device and methods of forming the same. Other example embodiments relate to a nonvolatile memory device and methods of forming the same.
  • 2. Description of the Related Art
  • Semiconductor memory devices may be classified as volatile memory devices or nonvolatile memory devices. The volatile memory devices (e.g., a dynamic random access memory (DRAM) and/or a static random access memory (SRAM)) may input and output data at a relatively high speed, but lose the stored data when power is interrupted. The nonvolatile memory devices may retain the stored data even though the power is interrupted.
  • A flash memory device is a nonvolatile memory device and may be a highly integrated device having both an erasable programmable read only memory (EPROM) and an electrically erasable programmable read only memory (EEPROM). The flash memory devices may be classified into a floating gate type flash memory device and a floating trap type flash memory device according to the type of data storage layer in a unit cell.
  • The floating trap type flash memory device may store electrical charges in a trap formed in a nonconductive charge trap layer, whereas the floating gate type flash memory device may store electrical charges in a polysilicon layer. A memory cell of the floating trap type memory device may include a gate structure of a tunnel oxide layer, a silicon nitride layer serving as a charge trap layer, a blocking oxide layer and/or a conductive layer on a silicon substrate.
  • FIG. 1 is a diagram illustrating a conventional nonvolatile memory device 10 of a silicon oxide nitride oxide semiconductor (SONOS) structure. Referring to FIG. 1, a memory cell of the memory device 10 may include an ONO layer 15, including an oxide layer 12, a nitride layer 13 and an oxide layer 14, and polysilicon 16, which may be formed in a channel region 18 defined between source/drain regions 17 formed on a substrate 11. The memory cell may have a single bit structure indicating logic state “0” or “1” according to existence or nonexistence of electrical charges trapped in the nitride layer 13 of the ONO layer 15. There may be a demand for a memory device that has an increased data storage capacity so that more than two logic states may be indicated, without increasing the size of the memory device. As nano technology has been developed, nonvolatile memory devices, using nano crystals, have been studied.
  • FIGS. 2 and 3 are diagrams illustrating a conventional nonvolatile memory device using nano crystal. Referring to FIG. 2, a channel region 28 may be disposed between source/drain regions 27 formed on a substrate 21. A memory cell may include a memory layer 25 and a gate electrode 26 formed on the channel region 28. The memory layer 25 may include a tunnel oxide layer 22, a charge trap layer 23 and a blocking oxide layer 24. The charge trap layer 23 may include nano crystals 23NC of cluster and/or dot shape of several to several tens nm. Electrical charges injected into the nano crystals 23NC may not move easily between the nano crystals 23NC. Compared with the general memory device of the SONOS structure, the memory device using nano crystals may restrain the lateral diffusion of electrical charges and may be suitable to achieve the memory device of a multi bit structure.
  • In implementing the nonvolatile memory device using nano crystals as a multi-bit (e.g., about 2 bits per cell) nonvolatile memory device, there may be a limitation in scaling down the size of the memory device. Electrical charges may be locally injected into a charge trap layer close to the source/drain regions 27 in order to use the memory device with nano crystals as the multi-bit memory device. With a short-channel memory device, overlapping may occur when injecting electrical charges and the injected electrical charges may be laterally diffused, causing disturbance. The memory device may not achieve the 1 cell-2 bits operation. A channel length of the memory device may be maintained at more than a given length, but this may be contrary to a relatively high integration of the memory device. Therefore, the memory layer may be divided into two layers.
  • Referring to FIG. 3, source/drain regions 37 may be formed in a substrate 31. Two memory layers 35L and 35R, separated horizontally, may be disposed on a channel region 38 defined between the source/drain regions 37, with an insulating layer 35C being interposed therebetween. The memory layers 35L and 35R may each include tunnel oxide layers 32L and 32R, charge trap layers 33L and 33R, and blocking oxide layers 34L and 34R. A gate electrode 36 may be located on the memory devices 35L and 35R and the insulating layer 35C. The structure may scale down the memory device to a degree. Depending on the number of the nano crystal 33NC included in the charge trap layers 33L and 33R during scaling down, a threshold voltage shift may become large and degrade the reliability of the device.
  • FIG. 4A is a diagram illustrating a charge storage layer in the conventional nonvolatile memory device of FIG. 3, and FIG. 4B is a diagram illustrating a dispersion of a threshold voltage shift according to a width W of the charge storage layer in the conventional nonvolatile memory device of FIG. 4A.
  • Referring to FIGS. 4A and 4B, as the width W of the channel decreases during scale down, the threshold voltage may increase due to the bottleneck effect. When the width of the channel decreases, electrical charges passing through the channel may be trapped by the nano crystals 33NC, so that the threshold voltage may increase. The threshold voltage in each of the memory cells may increases to a different degree depending on the number of nano crystals 33NC included in the charge trap layer. This may be a problem when the width W of the channel decreases.
  • For example, when the width W of the channel is about 70 nm, the dispersion of the threshold voltage may be relatively small, but the dispersion of the threshold voltage in each of the memory cells may also be relatively small. When the width W of the channel is about 10 nm, the threshold voltage may increase, but the dispersion of the threshold voltage in each of the memory cells may be relatively large. Accordingly, errors may occur when the memory cell operates and there may be less reliability of the memory device. There may be a limitation in the relatively high integration of the memory device having divided memory layers, and thus, a more highly integrated memory device may be required.
  • SUMMARY
  • Example embodiments relate to a semiconductor memory device and methods of forming the same. Other example embodiments relate to a nonvolatile memory device and methods of forming the same. Example embodiments provide larger scale integrated (VLSI) nonvolatile memory devices having improved reliability and a method of fabricating the same. A method of forming nonvolatile memory devices according to example embodiments may include forming a memory cell of a multi bit structure.
  • Example embodiments provide a method of forming nonvolatile memory devices including forming a device isolation layer in a substrate to define an active region in which a memory layer including a tunnel insulating layer, a charge storage layer, and a blocking insulating layer may be formed. A portion of the memory layer may be removed and a middle gate may be formed having an upper surface higher than an upper surface of the memory layer and a gate insulating layer adjacent to both sides and a bottom of the middle gate in the removed space. Side gates may be formed adjacent to the gate insulating layer on both sides of the middle gate and the memory layer may be patterned using the side gates as an etching mask to form first and second memory cells each including the patterned memory layer and the side gates. An ion implantation process may be performed to form a first impurity region on the substrate outside the first memory cell and to form a second impurity region on the substrate outside the second memory cell.
  • In example embodiments, forming the middle gate and the gate insulating layer may include forming a hard mask pattern exposing a portion of the memory layer on the substrate including the device isolation layer. A portion of the memory layer may be etched using the hard mask pattern as an etching mask to expose the substrate. An insulating layer and a first conductive layer may be formed on the overall substrate and a planarization process may be performed for exposing the hard mask pattern, wherein forming the side gates may include forming the middle gate and the gate insulating layer and removing the hard mask pattern and forming a second conductive layer adjacent to the gate insulating layer on both sides of the middle gate.
  • In example embodiments, forming the middle gate and the gate insulating layer may include forming a hard mask pattern exposing a portion of the memory layer on the substrate including the device isolation layer. Spacers may be formed on sides of the hard mask pattern and the memory layer may be etched using the hard mask pattern and the spacers as an etching mask to expose the substrate. An insulating layer and a first conductive layer may be formed on the overall substrate and a planarization process may be performed for exposing the hard mask pattern and the spacers, wherein the forming of the side gates may include forming the middle gate and the gate insulating layer, removing the spacers, forming a second conductive layer and performing a planarization process for exposing the hard mask pattern.
  • Example embodiments provide a method of forming nonvolatile memory devices including forming a device isolation layer in a substrate to define an active region in which a memory layer including a tunnel insulating layer, a charge storage layer, and a blocking insulating layer may be formed. A hard mask pattern may be formed exposing a portion of the memory layer on the substrate and spacers may be formed on sides of the hard mask pattern. A portion of the memory layer may be etched using the hard mask pattern and the spacers as an etching mask to expose the substrate. An insulating layer and a conductive layer may be formed on the overall substrate and a planarization process may be performed for exposing the hard mask pattern and the spacers to form a middle gate and a gate insulating layer on the exposed substrate. The hard mask pattern may be removed and the memory layer may be patterned using the spacers as an etching mask. An ion implantation process may be performed to form impurity regions in the substrate outside the patterned memory layer.
  • In example embodiments, the spacers may be formed of a conductive layer, and the spacers may serve as side gates. The memory layer may be patterned using the spacers as an etching mask, and then the spacers may be removed to form side gates in the removed space. The spacers may be formed of material having etch selectivity with respect to the hard mask pattern and the gate insulating layer. In example embodiments, the device isolation layer may be formed through the following methods.
  • The first method may include forming the tunnel insulating layer, the charge storage layer, and the blocking insulating layer on the substrate and forming a linear sacrifice layer pattern on the blocking insulating layer. Spacers may be formed in sides of the sacrifice layer pattern and the sacrifice layer pattern may be removed. A trench may be formed using the spacers as an etching mask, the trench may be filled with oxide and a planarization process may be performed for exposing an upper surface of the blocking insulating layer. In this method, the sacrifice pattern and the spacer may be formed of material having etch selectivity with respect to each other.
  • The second method may include forming the tunnel insulating layer, the charge storage layer, and the blocking insulating layer on the substrate and forming a linear hard mask pattern on the blocking insulating layer. A trench may be formed using the hard mask pattern as an etching mask and the trench may be filled with oxide. The oxide may be planarized up to an upper surface of the blocking insulating layer to form a first device isolation layer and a portion of both sides of the hard mask pattern may be removed to reduce its width and to expose a portion of the blocking insulating layer. A mask layer may be formed on the exposed blocking insulating layer and the first device isolation layer and the reduced hard mask pattern may be removed. A trench may be formed using the mask layer as an etching mask and the trench may be filled with oxide. The oxide may be planarized up to the upper surface of the blocking insulating layer to form a second device isolation layer. In this method, the hard mask pattern and the mask layer may be formed of a material having etch selectivity with respect to each other.
  • According to example embodiments, larger scale integrated nonvolatile memory devices may be formed through a spacer forming process and/or a pull-back process. Nonvolatile memory devices, according to example embodiments, may include a nano-sized charge storage layer. Nano-sized means a size smaller than that of a pattern that may be formed by a photolithography process.
  • Example embodiments provide nonvolatile memory devices including first and second impurity regions separately formed on a substrate to define a channel region therebetween, a middle gate formed on the channel region, a gate insulating layer adjacent to both sides and a bottom of the middle gate and first and second memory cells disposed on both sides of the middle gate, wherein the first and second memory cells each include a memory layer including a tunnel insulating layer, a nano-sized charge storage layer and a blocking insulating layer formed on the channel region and a side gate formed on the memory layer.
  • In example embodiments, the charge storage layer may be formed of a single material, for example, amorphous silicon. Electrical charges may be more stably injected into the charge storage layer, thereby improving the reliability of the memory device.
  • In example embodiments, the nonvolatile memory devices may further include a gate connection layer formed on the middle gate and the side gates to electrically connect the middle gate with the side gates. The nonvolatile memory devices may further include a first spacer layer covering outer sides of the first and second memory cells. The nonvolatile memory devices may further include a second spacer layer covering the first spacer layer, and each of the first and second impurity regions may include a low concentration impurity region formed under the second spacer layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Example embodiments will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings. FIGS. 5-26C represent non-limiting, example embodiments as described herein.
  • FIG. 1 is a diagram illustrating a conventional nonvolatile memory device with a SONOS structure and FIGS. 2 and 3 are diagrams illustrating a conventional nonvolatile memory device using nano crystals;
  • FIG. 4A is a diagram illustrating a charge storage layer in the conventional nonvolatile memory device of FIG. 3, and FIG. 4B is a diagram illustrating a dispersion of a threshold voltage shift according to a width of the charge storage layer in the conventional nonvolatile memory device of FIG. 4A;
  • FIG. 5 is a diagram illustrating a nonvolatile memory device according to example embodiments;
  • FIGS. 6A to 13A are diagrams illustrating a portion of a substrate to show a method of forming a nonvolatile memory device according to example embodiments. FIGS. 6B and 13B are diagrams illustrating line A-A′ of FIGS. 6A to 13A according to example embodiments and FIGS. 6C to 13C are diagrams illustrating line B-B′ of FIGS. 6A to 13A according to example embodiments;
  • FIGS. 14A and 21A are diagrams illustrating a portion of a substrate to show a method of forming a nonvolatile memory device according to example embodiments, FIGS. 14B to 21B are diagrams illustrating line A-A′ of FIGS. 14A to 21A according to example embodiments and FIGS. 14C to 21C are diagrams illustrating line B-B′ of FIGS. 14A to 21A according to example embodiments;
  • FIGS. 22A and 23A are diagrams illustrating a portion of a substrate to show a method of forming a nonvolatile memory device according to example embodiments, FIGS. 22B and 23B are diagrams illustrating line A-A′ of FIGS. 22A and 23A according to example embodiments and FIGS. 22C and 23C are diagrams illustrating line B-B′ of FIGS. 22A and 23A according to example embodiments;
  • FIGS. 24A to 26A are diagrams illustrating a portion of a substrate to show a method of forming a nonvolatile memory device according to example embodiments, FIGS. 24B to 26B are diagrams illustrating line A-A′ of FIGS. 24A and 26A according to example embodiments and FIGS. 24C to 26C are diagrams illustrating line B-B′ of FIGS. 24A and 26A according to example embodiments.
  • DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
  • Reference will now be made in detail to example embodiments, examples of which are illustrated in the accompanying drawings. Example embodiments are not limited to example embodiments illustrated herein after, but rather example embodiments herein are introduced to provide easy and complete understanding of the scope and spirit of the claims.
  • Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the example term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90° or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • In example embodiments, the terms “first,” “second,” “third,” and the like in this specification, if any, are used for describing a memory cell, an impurity region, a side gate, or a spacer layer, etc., but such elements should not be construed as being limited by the terms so used. These terms are merely used for distinguishing desired memory cell, impurity region, side gate, or spacer layer, etc. from other memory cell, impurity region, side gate, or spacer layer, etc.
  • In the drawings, the thickness of layers and regions are exaggerated for clarity. It will also be understood that when a layer is referred to as being “on” another layer or substrate, it may be directly on the other layer or substrate, or intervening layers may also be present. Like reference numerals in the drawings denote like elements, and thus their description will be omitted.
  • Example embodiments relate to a semiconductor memory device and methods of forming the same. Other example embodiments relate to a nonvolatile memory device and methods of forming the same.
  • Structure of Nonvolatile Memory Device
  • FIG. 5 is a diagram illustrating a nonvolatile memory device according to example embodiments. Referring to FIG. 5, a channel region 108 may be defined between impurity regions 107L and 107R formed on a substrate 100. The impurity regions 107L and 107R may include low- concentration impurity regions 105L and 105R and high- concentration impurity regions 106L and 106R. Memory cells 120L and 120R may be separately disposed on the channel region 108.
  • The memory cells 120L and 120R may each include memory layers 114L and 114R and side gates 118L and 118R thereon. The memory layers 114L and 114R may each include tunnel insulating layers 111L and 111R, charge storage layers 112L and 112R, and blocking insulating layers 113L and 113R. The charge storage layers 112L and 112R may be formed of a nano-sized material (e.g., amorphous silicon). A middle gate 127 may be disposed on the channel region 108 between the memory cells 120L and 120R, and a gate insulating layer 121 may surround both sides and bottom of the middle gate 127. First and second spacer layers 131 and 133 may be disposed to cover outer sides of the memory cells 120L and 120R. A gate connection layer 141 may be disposed on the middle gate 127 and the side gates 118L and 118R. The gate connection layer 141 may be formed of a conductive layer and may electrically connect the middle gate 127 to the side gates 118L and 118R. When a control voltage is applied to operate the memory cells 120L and 120R, the same voltage may be applied to the middle gate 127 and the side gates 118L and 118R.
  • In a nonvolatile memory device according to example embodiments, the gate connection layer may not be formed on the middle gate and the side gates. In this case, the middle gate may be electrically isolated from the side gates by the gate insulating layer. Accordingly, different control voltages may be applied to the middle gate and the side gates, and the memory cells may independently perform a program operation, an erase operation, and a read operation. However, the same control voltages may be applied to each gate.
  • The nonvolatile memory device, according to example embodiments, may have a multi bit structure where two memory cells are disposed in a channel region. Because the charge storage layer is nano-sized, the larger scale integration of the memory device may be achieved. When the charge storage layer is formed of single material, electrical charges may be stably injected into the charge storage layer, increasing the reliability of the memory device. When the number of electrons stored in the charge storage layer is controlled to form a multi-potential state of the charge storage layer, the memory cell may be implemented as a multi level cell (MLC).
  • Method of Forming Nonvolatile Memory Device
  • Hereinafter, a method of forming a nonvolatile memory device according to example embodiments will be described in detail. FIGS. 6A to 13A are diagrams illustrating a portion of a substrate to illustrate a method of forming a nonvolatile memory device according to example embodiments. FIGS. 6B and 13B are diagrams illustrating line A-A′ of FIGS. 6A to 13A, and FIGS. 6C to 13C are diagrams illustrating line B-B′ of FIGS. 6A to 13A.
  • Referring to FIGS. 6A, 6B and 6C, a memory layer 114 may be formed on a substrate 100. The memory layer 114 may include a tunnel insulating layer 111, a charge storage layer 112, and a blocking insulating layer 113. The tunnel insulating layer 111 may be formed of silicon oxide using a thermal oxidation process or a well-known thin film deposition process. The charge storage layer 112 may be formed of amorphous silicon using a well-known thin film deposition process. The blocking insulating layer 113 may be formed of silicon oxide using a well-known thin film deposition process. In order to effectively retard or prevent electrons injected into the charge storage layer 112 from leaking out, the blocking insulating layer 113 may be formed of an insulating material having a higher dielectric constant (e.g., Al2O3, HfO, HfAlO, HfSiO and/or any other suitable material) than silicon oxide. A linear sacrifice layer pattern 116 may be formed on the memory layer 114. Spacers 116 s may be formed on sides of the sacrifice layer pattern 116. The spacers 116 s may be formed of a material having an etch selectivity with respect to the sacrifice layer pattern 116. For example, when the sacrifice layer pattern 116 is formed of silicon oxide, the spacers 116 s may be formed of silicon nitride and/or polysilicon. The spacers 116 s may be formed to a width less than about 30 nm using a well-known spacer forming process.
  • Referring to FIGS. 7A, 7B, and 7C, the sacrifice layer pattern 116 may be removed using a method (e.g., a wet etching process), and then the memory layer 114 and the substrate 100 may be etched using the spacers 116 s as an etching mask to form memory layers 114 a and a trench 101 t. The spacers 116 s, used as an etching mask, may also be etched. The trench 101 t may be filled with silicon oxide 101 s using a well-known thin film deposition process. Referring to FIGS. 8A, 8B and 8C, a planarization process may be performed to remove the spacers 116 s and an upper portion of the silicon oxide 101 s and to expose upper surfaces of the memory layers 114 a. The remaining silicon oxides may serve as device isolation layers 101. Accordingly, an active region may be defined between the device isolation layers 101 and the memory layers 114 a may be located on the active region. Hard mask patterns 119 may be formed on the substrate 100, and then the memory layers 114 a may be etched using the hard mask patterns 119 as an etching mask to expose the substrate 100. Patterned memory layers 114 b may remain between the device isolation layers 101 under the hard mask patterns 119. Referring to FIGS. 9A, 9B, and 9C, an insulating layer and a conductive layer may be formed on the substrate 100 through a well-known thin film deposition process. A planarization process for exposing upper surfaces of the hard mask patterns 119 may be performed to form a middle gate 127 and a gate insulating layer 121. The gate insulating layer 121 may be formed of a material having an etch selectivity with respect to the hard mask patterns 119. For example, when the hard mask patterns 119 are formed of silicon nitride, the gate insulating layer 121 may be formed of silicon oxide. The middle gate 127 may be formed of doped polysilicon.
  • Referring to FIGS. 10A, 10B, and 10C, the hard mask patterns 119 may be removed through a method (e.g., a wet etching process), and then side gates 118L and 118R may be formed adjacent to the gate insulating layer 121 on both sides of the middle gate 127. The side gates 118L and 118R may be formed to have a width below about 30 nm through a well-known spacer forming process. The memory layers 114 b may be etched using the side gates 118L and 118R as an etching mask to expose the substrate 100. Patterned memory layers 114L and 114R may remain under the side gates 118L and 118R. Memory cells 120L and 120R, each including the memory layers 114L and 114R and the side gates 118L and 118R, may be formed in both sides of the middle gate 127. The memory layers 114L and 114R each including tunnel insulating layers 111L and 111R, charge storage layers 112L and 112R, and blocking insulating layers 113L and 113R may be formed to have a width and a length below about 30 nm.
  • Referring to FIGS. 11A, 11B, and 11C, first spacer layers 131 may be formed to cover the outer sides of the memory cells 120L and 120R. The first spacer layers 131 may be formed of silicon oxide through a well-known spacer forming process. An ion implantation process may be performed to form low concentration impurity regions 105L and 105R on the exposed substrate 100 outside the first spacer layers 131. The first spacer layers 131 may retard or prevent the low concentration impurity regions 105L and 105R from enlarging into a channel region 108 under the memory layers 114L and 114R.
  • Referring to FIGS. 12A, 12B, and 12C, second spacer layers 133 may be formed to cover the outer sides of the first spacer layers 131. The second spacer layers 133 may be formed of silicon nitride through a well-known spacer forming process. An ion implantation process may be performed to form high concentration impurity regions 106L and 106R on the exposed substrate 100 outside the second spacer layers 133. Impurity regions 107L and 107R, including the low concentration impurity regions 105L and 105R and the high concentration impurity regions 106L and 106R, may be formed.
  • Referring to FIGS. 13A, 13B, and 13C, a gate connection layer 141 may be formed on the middle gate 127 and the side gates 118L and 118R. The gate connection layer 141 may be formed of a conductive layer (e.g., a suicide layer). When the gate connection layer 141 is formed, a conductive layer (not shown) of the same material as the gate connection layer 141 may be formed on the impurity regions 107L and 107R. For example, when a metal layer is deposited and heated on the overall substrate 100, and a non-reactive metal layer is removed, a silicide layer 141 may be formed on the middle gate 127, the side gates 118L and 118R, and the impurity regions 107L and 107R. The silicide layer 141 may be formed by a reaction between metal and silicon. Because the gate insulating layer 121 does not react with metal, the suicide layer 141 may not be formed on the gate insulating layer 121. The silicide layer 141, formed on the middle gate 127 and the side gates 131, may be connected with the gate insulating layer 121. The middle gate 127 may be electrically connected with the side gates 118L and 118R by the gate insulating layer 141. The same control voltage may be applied to the middle gates 127 and the side gates 118L and 118R in operation of the memory cell.
  • FIGS. 14A and 21A are diagrams illustrating a portion of a substrate to illustrate a method of forming a nonvolatile memory device according to example embodiments. FIGS. 14B to 21B are diagrams illustrating line A-A′ of FIGS. 14A to 21A, and FIGS. 14C to 21C are diagrams illustrating line B-B′ of FIGS. 14A to 21A.
  • Referring to FIGS. 14A, 14B, and 14C, a memory layer 114 may be formed on a substrate 100. The memory layer 114 may include a tunnel insulating layer 111, a charge storage layer 112, and a blocking insulating layer 113. A linear hard mask pattern 115 may be formed on the memory layer 114. Referring to FIGS. 15A, 15B, and 15C, the memory layer 114 and the substrate 100 may be etched using the hard mask pattern 115 as an etching mask to form trenches 102 t. The trenches 102 t may be filled with silicon oxide, and then the silicon oxide may be planarized as high as an upper surface of a patterned memory layer 114 p (an upper surface of a blocking insulating layer 113 p) to form first device isolation layers 102. The patterned memory layer 114 p may remain between the first device isolation layers 102 under the hard mask pattern 115.
  • Referring FIGS. 16A, 16B, and 16C, a portion of the hard mask pattern 115 may be etched to decrease its width and expose a portion of the upper surface of the memory layer 114 p. An etching process may be a wet etching process using a phosphoric acid solution as a pull-back process. A removed portion of the hard mask pattern 115 may be adjusted through the pull-back process. A width of the removed portion (a width of the exposed memory layer 114 p) may be adjusted to be below about 30 nm. In order to perform the pull-back process using the phosphoric acid solution, the hard mask pattern 115 may be formed of silicon nitride.
  • Mask layers 117 may be formed on both sides of the reduced hard mask pattern 115 a. The mask layers 117 may be formed of a material having an etch selectivity with respect to the hard mask pattern 115 a. For example, when the hard mask pattern 115 a is formed of nitride, the mask layers 117 may be formed of oxide.
  • Referring to FIGS. 17A, 17 b, and 17C, the hard mask pattern 115 a may be removed, and then the memory layer 114 a and the substrate 100 may be etched using the mask layers 117 as an etching mask to form a trench 103 t. The trench 103 t may be filled with oxide, and then a planarization process may be performed up to an upper surface of the memory layer 114 a (an upper surface of a blocking insulating layer 113 a) to form a second device isolation layer 103. The second device isolation layer 103 may not be formed at the same depth as the first device isolation layers 102. An active region may be defined between the first and second device isolation layers 102 and 103, and the memory layer 114 a may be located only on the active region.
  • Referring to FIGS. 18A, 18B, and 18C, hard mask patterns 119 may be formed on the substrate 100. Spacers 119 s may be formed on the sides of the hard mask patterns 119. The spacers 119 s may be formed of a material having an etch selectivity with respect to the hard mask patterns 119. For example, when the hard mask patterns 119 are formed of silicon oxide, the spacers 119 s may be formed of silicon nitride. The spacers 119 s may be formed to have a width below about 30 nm through a well-known spacer forming process. The memory layers 114 a may be etched using the hard mask patterns 119 and the spacers 119 s as an etching mask to expose the substrate 100. Patterned memory layers 114 b may remain between the first and second device isolation layers 102 and 103 and under the hard mask patterns 119 and the spacers 119 s.
  • Referring to FIGS. 19A, 19B, and 19C, an insulating layer and a conductive layer may be formed on the substrate 100 through a well-known thin film deposition process. A planarization process for exposing upper surfaces of the hard mask patterns 119 may be performed to form a middle gate 127 and a gate insulating layer 121 on the exposed substrate 100. The gate insulating layer 121 may be formed of a material having an etch selectivity with respect to the spacers 119 s. For example, when the spacers 119 s are formed of silicon nitride, the gate insulating layer 121 may be formed of silicon oxide. The middle gate 127 may be formed of doped polysilicon. Referring to FIGS. 20A, 20B, and 20C, the spacers 119 s may be selectively removed, and then a conductive layer may be formed in the removed space and planarized to expose the hard mask patterns 119 and form side gates 118L and 118R. The spacers 119 s may be removed through a wet etching process using a phosphoric acid solution or a dry etching process using plasma. The side gates 118L and 118R may be formed of doped polysilicon.
  • Referring FIGS. 21A, 21 b, and 21C, the memory layers 114 b may be etched using the side gates 118L and 118R as an etching mask to expose the substrate 100. Patterned memory layers 114L and 114R may remain between the first and second device isolation layers 102 and 103 under the side gates 118L and 118R. Memory cells 120L and 120R, each including the memory layers 114L and 114R and the side gates 118L and 118R, may be formed on both sides of the middle gate 127. The memory layers 114L and 114R, including charge storage layers 112L and 112R, may be formed to have a width and a length below about 30 nm through a pull-back process and a spacer forming process.
  • FIGS. 22A and 23A are diagrams illustrating a portion of a substrate to illustrate a method of forming a nonvolatile memory device according to example embodiments. FIGS. 22B and 23B are diagrams illustrating line A-A′ of FIGS. 22A and 23A, and FIGS. 22C and 23C are diagrams illustrating line B-B′ of FIGS. 22A and 23A. Referring to FIGS. 22A, 2B, and 22C, hard mask patterns 119 may be removed, and then memory layers 114 b may be etched using spacer 119 s as an etching mask. Patterned memory layers 114L and 114R may remain between first and second device isolation layers 102 and 103 and under the spacers 119 s. Referring to FIGS. 23A, 23B, and 23C, the spacers 119 s may be removed, and then side gates 118L and 118R may be formed on the memory layers 114L and 114R. The side gates 118L and 118R may be formed of a conductive layer, for example, doped polysilicon.
  • FIGS. 24A to 26A are diagrams illustrating a portion of a substrate to illustrate a method of forming a nonvolatile memory device according to example embodiments. FIGS. 24B to 26B are diagrams illustrating line A-A′ of FIGS. 24A and 26A and FIGS. 24C to 26C are diagrams illustrating line B-B′ of FIGS. 24A and 26A. Example embodiments provide a method of forming side gates 118L and 118R.
  • Referring to FIGS. 24A, 24B, and 24C, hard mask patterns 119 may be formed on a substrate 100. The side gates 118L and 118R may be formed in sides of the hard mask patterns 119. The side gates 118L and 118R may be formed of a conductive layer, for example doped polysilicon. The side gates 118L and 118R may be formed to have a width below about 30 nm through a well-known spacer forming process. Memory layers may be etched using the hard mask patterns 119 and the side gates 118L and 118R as an etching mask to expose the substrate 100. Patterned memory layers 114 b may remain between device isolation layers 101 under the hard mask patterns 119 and the side gates 118L and 118R.
  • Referring to FIGS. 25A, 25B, and 25C, an insulating layer and a conductive layer may be formed on the substrate 100 through a well-known thin film deposition process. A planarization process for exposing upper surfaces of the hard mask patterns 119 may be performed to form a middle gate 127 and a gate insulating layer 121 on the exposed substrate 100. The gate insulating layer 121 may be formed of silicon oxide, and the middle gates 127 may be formed of doped polysilicon.
  • Referring to FIGS. 26A, 26 b, and 26C, the hard mask patterns 119 may be removed, and then the memory layers 114 b may be etched using the side gates 118L and 118R as an etching mask to expose the substrate 100. Patterned memory layers 114L and 114R may remain under the side gates 118L and 118R. Memory cells 120L and 120R, each including the memory layers 114L and 114R and the side gates 118L and 118R, may be formed on both sides of the middle gate 127. The memory layers 114L and 114R, each including tunnel insulating layers 111L and 111R, charge storage layers 112L and 112R and blocking insulating layers 113L and 113R, may be formed to have a width and a length below about 30 nm. According to example embodiments, a nano-sized memory layer including a tunnel insulating layer, a charge storage layer, and a blocking insulating layer may be formed. The larger scale integration of the memory device may be achieved.
  • According to example embodiments, a larger scale integrated nonvolatile memory device may be achieved with improved reliability. The memory cell may be implemented as a multi level cell (MLC) by controlling the number of electrons stored in the charge storage layer.
  • It will be apparent to those skilled in the art that various modifications and variations may be made in example embodiments. For example, various example embodiments may be created by combining the methods of forming the device isolation layer with the methods of forming the side gates. Thus, it is intended that example embodiments cover all of the modifications and variations of example embodiments provided they come within the scope of the appended claims and their equivalents

Claims (16)

1. A nonvolatile memory device comprising:
device isolation layers formed in a substrate to define an active region between the device isolation layers;
first and second impurity regions separately formed in the active region to define a channel region therebetween;
a middle gate formed on the channel region;
a gate insulating layer adjacent to sidewalls and a bottom of the middle gate;
first and second memory cells adjacent to the sidewalls of the middle gate and spaced apart from each other, the first and second memory cells each including a memory layer on the channel region and a side gate formed on the memory layer;
a first spacer layer covering outer sides of the memory layer and the side gate; and
a second spacer layer covering the first spacer layer,
wherein each of the first and second impurity regions include a low concentration impurity region formed under the second spacer layer and a high concentration impurity region self-aligned to the second spacer layer.
2. The nonvolatile memory device of claim 1, wherein the memory layer includes a tunnel insulating layer, a nano-sized charge storage layer, and a blocking insulating layer on the channel region.
3. The nonvolatile memory device of claim 2, wherein the charge storage layer is formed of a single material.
4. The nonvolatile memory device of claim 2, wherein the charge storage layer is formed of amorphous silicon.
5. The nonvolatile memory device of claim 1, further comprising:
a gate connection layer formed on the middle gate and the side gates to electrically connect the middle gate with the side gates.
6. The nonvolatile memory device of claim 1, wherein the first spacer layers is formed of silicon oxide and the second spacer layer is formed of silicon nitride.
7. The nonvolatile memory device of claim 1, wherein the middle gate and the side gate cross the device isolation layers and the memory layer is disposed between sidewalls of the device isolation layers.
8. The nonvolatile memory device of claim 1, wherein a top surface of the memory layer is coplanar with a top surface of the device isolation layers.
9. A nonvolatile memory device comprising:
device isolation layers formed in a substrate to define an active region between the device isolation layers;
a middle gate crossing the active region;
a gate insulating layer adjacent to sidewalls and a bottom of the middle gate;
first and second memory cells adjacent to the sidewalls of the middle gate and spaced apart from each other, the first and second memory cells each including a side gate crossing the active region and a memory layer between the side gate and the active region,
wherein the memory layer is disposed between sidewalls of the device isolation layers.
10. The nonvolatile memory device of claim 9, wherein a top surface of the memory layer is coplanar with a top surface of the device isolation layers.
11. The nonvolatile memory device of claim 9, wherein the memory layer includes a tunnel insulating layer, a nano-sized charge storage layer, and a blocking insulating layer on the channel region.
12. The nonvolatile memory device of claim 11, wherein the charge storage layer is formed of a single material.
13. The nonvolatile memory device of claim 11, wherein the charge storage layer is formed of a single material.
14. The nonvolatile memory device of claim 9, further comprising:
a gate connection layer formed on the middle gate and the side gates to electrically connect the middle gate with the side gates.
15. The nonvolatile memory device of claim 9, further comprising:
a first spacer layer covering outer sides of the first and second memory cells.
16. The nonvolatile memory device of claim 15, further comprising:
a second spacer layer covering the first spacer layer, wherein each of the first and second impurity regions include a low concentration impurity region formed under the second spacer layer and a high concentration impurity region self-aligned to the second spacer layer.
US12/588,071 2005-10-28 2009-10-02 Nonvolatile memory device and methods of forming the same Abandoned US20100038702A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/588,071 US20100038702A1 (en) 2005-10-28 2009-10-02 Nonvolatile memory device and methods of forming the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2005-102470 2005-10-28
KR1020050102470A KR100669345B1 (en) 2005-10-28 2005-10-28 Nonvalitile memory device and method for forming the same
US11/589,178 US7618864B2 (en) 2005-10-28 2006-10-30 Nonvolatile memory device and methods of forming the same
US12/588,071 US20100038702A1 (en) 2005-10-28 2009-10-02 Nonvolatile memory device and methods of forming the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/589,178 Division US7618864B2 (en) 2005-10-28 2006-10-30 Nonvolatile memory device and methods of forming the same

Publications (1)

Publication Number Publication Date
US20100038702A1 true US20100038702A1 (en) 2010-02-18

Family

ID=38013825

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/589,178 Active 2027-07-17 US7618864B2 (en) 2005-10-28 2006-10-30 Nonvolatile memory device and methods of forming the same
US12/588,071 Abandoned US20100038702A1 (en) 2005-10-28 2009-10-02 Nonvolatile memory device and methods of forming the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/589,178 Active 2027-07-17 US7618864B2 (en) 2005-10-28 2006-10-30 Nonvolatile memory device and methods of forming the same

Country Status (2)

Country Link
US (2) US7618864B2 (en)
KR (1) KR100669345B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090143598A1 (en) * 2007-12-04 2009-06-04 E.I. Du Pont De Nemours And Company Fluorosilanes

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8564042B2 (en) * 2006-02-03 2013-10-22 Spansion Llc Dual storage node memory
US7697344B2 (en) * 2006-11-03 2010-04-13 Samsung Electronics Co., Ltd. Memory device and method of operating and fabricating the same
US7682905B2 (en) * 2007-05-09 2010-03-23 Spansion Llc Self aligned narrow storage elements for advanced memory device
JP5308024B2 (en) * 2007-12-28 2013-10-09 スパンション エルエルシー Semiconductor device and manufacturing method thereof
KR20100079465A (en) * 2008-12-31 2010-07-08 한양대학교 산학협력단 Multi-bit flash memory and method of manufacturing the same
JP6501588B2 (en) * 2015-03-30 2019-04-17 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5364804A (en) * 1993-11-03 1994-11-15 Taiwan Semiconductor Manufacturing Company Nitride cap sidewall oxide protection from BOE etch
US5874760A (en) * 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US20020094646A1 (en) * 2001-01-12 2002-07-18 Oliver Gehring Method for fabricating embedded nonvolatile semiconductor memory cells
US20030034518A1 (en) * 1999-03-08 2003-02-20 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor memory
US6673677B2 (en) * 2000-07-28 2004-01-06 Infineon Technologies Ag Method for manufacturing a multi-bit memory cell
US6927131B2 (en) * 2002-08-19 2005-08-09 Samsung Electronics Co., Ltd. Methods of forming a nonvolatile memory device having a local SONOS structure that use spacers to adjust the overlap between a gate electrode and a charge trapping layer
US20060154421A1 (en) * 2005-01-12 2006-07-13 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having notched gate MOSFET

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505108B1 (en) 2003-02-12 2005-07-29 삼성전자주식회사 Sonos memory cell and method of fabricating the same
KR100480645B1 (en) 2003-04-01 2005-03-31 삼성전자주식회사 Method for manufacturing SONOS memory device with twin-ONO by reverse self-aligning process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5364804A (en) * 1993-11-03 1994-11-15 Taiwan Semiconductor Manufacturing Company Nitride cap sidewall oxide protection from BOE etch
US5874760A (en) * 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US20030034518A1 (en) * 1999-03-08 2003-02-20 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor memory
US6673677B2 (en) * 2000-07-28 2004-01-06 Infineon Technologies Ag Method for manufacturing a multi-bit memory cell
US20020094646A1 (en) * 2001-01-12 2002-07-18 Oliver Gehring Method for fabricating embedded nonvolatile semiconductor memory cells
US6927131B2 (en) * 2002-08-19 2005-08-09 Samsung Electronics Co., Ltd. Methods of forming a nonvolatile memory device having a local SONOS structure that use spacers to adjust the overlap between a gate electrode and a charge trapping layer
US20060154421A1 (en) * 2005-01-12 2006-07-13 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having notched gate MOSFET

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090143598A1 (en) * 2007-12-04 2009-06-04 E.I. Du Pont De Nemours And Company Fluorosilanes
US8058463B2 (en) 2007-12-04 2011-11-15 E. I. Du Pont De Nemours And Compnay Fluorosilanes
US8420826B2 (en) 2007-12-04 2013-04-16 E. I. Du Pont De Nemours And Company Fluoroalkyl silanes
US8501952B2 (en) 2007-12-04 2013-08-06 E. I. Du Pont De Nemours And Company Fluoroalkyl silanes

Also Published As

Publication number Publication date
US20070141796A1 (en) 2007-06-21
US7618864B2 (en) 2009-11-17
KR100669345B1 (en) 2007-01-16

Similar Documents

Publication Publication Date Title
US7029974B2 (en) Split gate type nonvolatile semiconductor memory device, and method of fabricating the same
KR100674958B1 (en) Split type flash memory device having self aligned control gate and method for manufacturing the same
US7697328B2 (en) Split gate flash memory cell with ballistic injection
US20040245564A1 (en) Semiconductor storage device, semiconductor device and their manufacturing methods, and portable electronic equipment, and IC card
JPH11260944A (en) Non-volatile semiconductor memory device and manufacture thereof and semiconductor integrated circuit device
US8546217B2 (en) Flash memory and method for forming the same
CN107887394B (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
WO2009104688A1 (en) Non-volatile memory device and method of manufacturing same
US20100038702A1 (en) Nonvolatile memory device and methods of forming the same
KR20070090375A (en) Nonvolatile memory device and method for forming the same
JP2018107176A (en) Manufacturing method for semiconductor device and semiconductor device
US20100155817A1 (en) Hto offset for long leffective, better device performance
US7544993B2 (en) Semiconductor storage device and portable electronic equipment
CN109994542B (en) Semiconductor device and method for manufacturing the same
US9252150B1 (en) High endurance non-volatile memory cell
US11183571B2 (en) Memory device and manufacturing method thereof
KR20080076074A (en) Non-volatile memory devices and methods of fabricating the same
US20110169069A1 (en) Hto offset and bl trench process for memory device to improve device performance
US11316024B2 (en) Split-gate non-volatile memory cells with erase gates disposed over word line gates, and method of making same
US7791130B2 (en) Non-volatile memory device and methods of forming the same
KR100683389B1 (en) Cell transistor of flash memory and forming method
EP4222743A1 (en) Split-gate, 2-bit non-volatile memory cell with erase gate disposed over word line gate, and method of making same
JP5351274B2 (en) Nonvolatile semiconductor memory device
US7163862B1 (en) Semiconductor memory devices and methods for fabricating the same
US20110079840A1 (en) Memory cell and manufacturing method thereof and memory structure

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION