US20090166625A1 - Mos device structure - Google Patents

Mos device structure Download PDF

Info

Publication number
US20090166625A1
US20090166625A1 US11/966,734 US96673407A US2009166625A1 US 20090166625 A1 US20090166625 A1 US 20090166625A1 US 96673407 A US96673407 A US 96673407A US 2009166625 A1 US2009166625 A1 US 2009166625A1
Authority
US
United States
Prior art keywords
layer
substrate
silicon germanium
disposed
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/966,734
Inventor
Shyh-Fann Ting
Shih-Chieh Hsu
Cheng-Tung Huang
Chih-Chiang Wu
Wen-Han Hung
Meng-Yi Wu
Li-Shian Jeng
Chung-Min Shih
Kun-Hsien Lee
Tzyy-Ming Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US11/966,734 priority Critical patent/US20090166625A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHENG, TZYY-MING, HSU, SHIH-CHIEH, HUANG, CHENG-TUNG, HUNG, WEN-HAN, JENG, LI-SHIAN, LEE, KUN-HSIEN, SHIH, CHUNG-MIN, TING, SHYH-FANN, WU, CHIH-CHIANG, WU, MENG-YI
Priority to US12/469,135 priority patent/US8222113B2/en
Publication of US20090166625A1 publication Critical patent/US20090166625A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Definitions

  • the present invention relates to a semiconductor device and manufacture method thereof. More particularly, the present invention relates to a manufacture method of forming semiconductor device with improved characteristics, and the semiconductor device structure thereof.
  • MOS transistor is one of the most important devices widely applied for very-large-scale-integration (VLSI) circuits, including logic circuits, microprocessors and memories.
  • VLSI very-large-scale-integration
  • the MOS transistor further includes a source/drain region having dopants with a conductivity type opposite to that of the substrate.
  • SiGe silicon germanium
  • germanium As compared with silicon, germanium has larger atomic volume and applies lateral compressive stress toward the channel region. Thus, mobility of electrons and holes can be enhanced with the source/drain region formed by SiGe, and the device performance can be improved.
  • SEG selective epitaxy growth
  • the invention provides a method of forming a metal-oxide-semiconductor (MOS) device, which can restrain boron channeling effects and alleviating possible damages caused by ion implantation.
  • MOS metal-oxide-semiconductor
  • the present invention provides a semiconductor device with the metal silicide layer higher than the substrate surface, so as to mitigate possible counteracting effects of the metal silicide layer and enhance the device performance.
  • the invention provides a method for forming a semiconductor device structure, comprising providing a substrate having at least an isolation structure and forming a gate structure having a pair of spacers on both sidewalls of the gate structure. After forming a pair of trenches in the substrate at both sides of the gate structure, a first selective epitaxy growth process is performed to form a silicon germanium (SiGe) layer filling the trenches and then a second selective epitaxy growth process is performed to form a cap layer on the silicon germanium layer. Later, a pair of source/drain regions is formed by performing an ion implantation process and a metal silicide layer is formed on the gate structure and the cap layer in the source/drain regions.
  • SiGe silicon germanium
  • a pair of source/drain regions is formed by performing an ion implantation process and a metal silicide layer is formed on the gate structure and the cap layer in the source/drain regions.
  • the cap layer includes an amorphous silicon layer and the amorphous silicon layer covers a whole upper surface of the silicon germanium layer.
  • the amorphous silicon layer has a thickness of about 20 angstroms to about 300 angstroms.
  • the first selective epitaxy growth process is in-situ boron doping selective SiGe epitaxy growth process. Moreover, the first and second selective epitaxy growth processes are performed in-situ in the same chamber or performed in the different chambers of the same platform in clusters.
  • the upper surface of the silicon germanium layer at least substantially levels with or can be slightly higher than the substrate surface.
  • the ion implantation process comprises implanting boron or BF 2 + ions to the silicon germanium layer. Additionally, an annealing process can be further performed after the ion implantation process to active the implanted ions, and the annealing process comprises performing rapid thermal processing or laser-spike annealing.
  • a pair of source/drain extension regions is formed in the substrate at both sides of the gate structure before forming the first spacers.
  • the source/drain extension regions are formed in the substrate at both sides of the gate structure after removing the first spacers and before forming the second spacers.
  • the invention provides a semiconductor device structure, comprising a substrate, at least a gate structure, spacers and at least a pair of source/drain regions.
  • a pair of trenches is disposed in the substrate at both sides of the gate structure, and a pair of source/drain region formed by a doped silicon germanium (SiGe) layer disposed in the trenches and filling up the trenches.
  • the upper surface of the doped silicon germanium layer at least substantially levels with the substrate surface.
  • the device structure includes an amorphous silicon layer covering the upper surface of the doped silicon germanium layer in the trenches and a metal silicide layer disposed on the gate structure and on the amorphous silicon layer.
  • the device structure further includes a pair of source/drain extension regions disposed in the substrate between the gate structure and the source/drain regions.
  • the amorphous silicon layer has a thickness of about 20 angstroms to about 300 angstroms.
  • the source/drain region further comprises an undoped silicon germanium layer disposed between the trench and the doped silicon germanium layer.
  • a material of the metal silicide layer can be nickel silicide, nickel platinum silicide, a combination of both or an alloy of both.
  • the SiGe layer is covered by the amorphous silicon layer, the upper surface of the source/drain region is higher than the substrate surface and the subsequently formed metal silicide layer thereon is relatively raised. Hence, possible counteracting effect of the tensile stress of the metal silicide toward the compressive stress of the silicon germanium layer is mitigated and the device performance is enhanced.
  • FIGS. 1A-1F are cross-sectional views of the manufacture processes for forming a semiconductor device structure according to one preferred embodiment of this invention.
  • FIG. 2 is a cross-sectional view of a semiconductor device structure according to one preferred embodiment of this invention.
  • FIGS. 1A-1F are cross-sectional views of the manufacture processes for forming a semiconductor device structure according to one preferred embodiment of this invention.
  • a substrate 100 e.g. a monocrystalline silicon substrate is provided.
  • a trench 104 a is formed in the substrate 100 , and an isolation structure 104 is formed in the trench 104 a so as to define an active region 101 .
  • the isolation structure 104 is made of an insulating material e.g. silicon oxide and is formed by performing a chemical vapor deposition process, for example.
  • a gate structure 106 is formed on the substrate 100 within the active region 101 .
  • the gate structure 106 is composed of a gate dielectric layer 108 and a conductive layer 110 .
  • the gate structure 106 is formed by forming a dielectric material layer (not shown) on the substrate 100 within the active region 101 .
  • the dielectric material layer is made of silicon oxide, for example.
  • a conductive material layer (not shown) is formed on the dielectric material layer to completely cover the substrate 100 .
  • the conductive material layer is made of polysilicon or doped polysilicon, for example.
  • a photolithography and etching process is performed to pattern the conductive material layer and the dielectric material layer, so as to form the conductive layer 110 and the gate dielectric layer 108 .
  • At least a pair of source/drain extension regions 102 is formed in the substrate 100 by performing an ion implantation process.
  • boron or BF 2 + ions are doped into the source/drain extension region 102 , for example.
  • a pair of spacers 111 is formed on the sidewalls of the gate structure 106 .
  • a pair of trenches 112 and 114 is formed in the substrate 100 at both sides of the gate structure 106 and beside spacers 111 .
  • the depth of the trenches 112 / 114 is about 200-1500 Angstroms, for example.
  • the source/drain extension regions 102 are formed before forming the trenches 112 / 114 . Nevertheless, the order of the process steps can be re-arranged or switched based on the requirements of the manufacturing processes. Alternatively, the trenches are formed and the source/drain extension regions 102 are formed in the subsequent steps.
  • the first selective epitaxy growth (SEG) process is performed, by using the silicon containing gas source, to form a silicon germanium (Si 1-x Ge x ; SiGe) epitaxy layer 120 .
  • the SiGe layer 120 fills up the trenches 112 and 114 .
  • first selective epitaxy growth process is the selective SiGe epitaxy growth process, for example, performed under a pressure ranging from 5-50 torrs and a temperature ranging from 550° C. to 750° C.
  • the SEG process is, for example, performed at 660° C. in the chemical vapor deposition reaction chamber.
  • the gas source flowed into the reaction chamber includes at least a silicon-containing gas (SiH 4 , SiH 3 Cl or SiH 2 Cl 2 ), GeH 4 and HCl.
  • a flow rate of silicon-containing gas ranges from 30 sccm to 200 sccm
  • a flow rate of GeH 4 ranges from 50 sccm to 250 sccm
  • a flow rate of HCl ranges from 80 sccm to 260 sccm.
  • the SiGe layer 120 is the strained layer that provides compressive stress along the channel direction for enhancing the mobility of electrons or holes in the channel, thus increasing the driving current and improving the device performance.
  • the first selective epitaxy growth process can be, for example, in-situ boron doping selective SiGe epitaxy growth process to directly form boron doping SiGe epitaxy layer.
  • P + grade implantation is further performed by implanting e.g. boron ions to about the junction depth for reducing junction resistance.
  • the step of P + grade implantation may be used to dope the polysilicon gate simultaneously.
  • the second selective epitaxy growth process is performed, by using the silicon containing gas source, to form an amorphous silicon epitaxy layer 122 on the top surface 120 a of the SiGe epitaxy layer 120 .
  • the amorphous silicon layer 122 covers the whole surface 120 a of the SiGe layer 120 and functions as the cap layer.
  • the amorphous silicon layer 122 has a thickness of at least about 20 angstroms, preferably, ranging about 20-300 angstroms.
  • second selective epitaxy growth (SEG) process is the selective Si epitaxy growth process, for example, performed under a pressure ranging from 5-80 torrs and a temperature ranging from 650° C. to 1100° C.
  • the SEG process is, for example, performed at 800° C. in the chemical vapor deposition reaction chamber.
  • the gas source flowed into the reaction chamber includes at least a silicon-containing gas (SiH 4 , SiH 3 Cl, SiH 2 Cl 2 or SiCl 4 ) and HCl (or Cl 2 ).
  • a flow rate of silicon-containing gas ranges from 50 sccm to 250 sccm
  • a flow rate of HCl or Cl 2 ranges from 100 sccm to 300 sccm.
  • the first SEG process and the second SEG process can be performed in-situ in the same chamber or performed as cluster in different chamber of the same platform.
  • the spacers 111 are removed and a pair of spacers 124 is formed on the sidewalls of the gate structure 106 .
  • the spacer 124 can be a single spacer structure or double spacer structure, for example.
  • an ion implantation process 150 is performed to form a pair of source/drain regions 126 in the SiGe epitaxy layer 120 within the trenches 112 / 114 of the substrate 100 .
  • boron or BF 2 + ions are doped into the source/drain regions 126 , for example.
  • the ion implantation process 150 is performed using boron ions with an energy of about 1 keV and implant dose of 1 ⁇ 10 15 -5 ⁇ 10 15 atoms/cm 2 ; or using BF 2 + ions with an energy of about 4 keV and implant dose of 1 ⁇ 10 15 -5 ⁇ 10 15 atoms/cm 2 .
  • the annealing process is performed to activate the diffusion of dopants to form proper dopant distribution profile.
  • the annealing process can be rapid thermal processing (RTP) or laser-spike annealing (LSA), for example.
  • the source/drain extension regions 102 are formed before forming the trenches 112 / 114 .
  • the source/drain extension regions are formed after the formation of the SiGe layer and removal of spacers 111 but before the formation of spacers 124 .
  • a metal silicide layer 128 is formed on the gate conductive layer 110 and a metal silicide layer 130 is formed on the amorphous silicon layer 122 in the source/drain regions 126 by depositing a metal layer (not shown) over the substrate 100 , performing the annealing process allowing the reaction between the metal and silicon, and then selectively removing the un-reacted metal layer.
  • the material of the metal layer can be nickel, platinum or its alloy, while the annealing process can be rapid thermal annealing (RTA). The reaction temperature and time of the annealing process depend on the choice in the material of the metal layer.
  • the implanted dopants will collide with the atoms arranged in random in the amorphous silicon layer, thus help relieving the damage to the SiGe layer caused by ion implantation and mininizing the channeling effects of the boron dopants.
  • the amorphous silicon layer 122 (as cap layer) is formed to cover the SiGe layer 120 , the strain of the SiGe layer 120 will be retained and will not be lessened by germanium ion implantation.
  • Table 1 shows testing data of three wafers going through different doping processes with other compatible fabrication process steps. Taking the P-type ion implantation as an example, testing results show the impacts of using P + grade implantation, B + or BF 2 + source/drain implantation or germanium ion PAI on the stress of the SiGe layer.
  • wafer #21 having the lowest stress indicates that the step of germanium ion PAI seriously weakens the stress of the SiGe layer.
  • wafer #22 and wafer #20 still have relatively high stress, indicating that the impacts of P + grade implantation or B + /BF 2 + implantation on the stress of the SiGe layer are not that decisive.
  • FIG. 2 is a cross-sectional view of a semiconductor device structure according to one preferred embodiment of this invention.
  • the semiconductor device 20 includes at least a substrate 200 , an active region 201 , source/drain extension regions 202 , isolation structures 204 , a gate structure 206 , spacers 208 and source/drain regions 210 .
  • the isolation structures 204 are disposed in the substrate 200 to define the active region 201
  • the gate structure 206 is disposed on the substrate 200 .
  • the spacers 208 are disposed on sidewalls of the gate structure 206
  • the source/drain extension regions 202 are disposed in the substrate 200 at both sides of the gate structure 206 .
  • the source/drain region 210 consists of a SiGe epitaxy layer 214 filling up the whole trench 212 in the substrate 200 .
  • the SiGe epitaxy layer 214 fills up the whole trench 212 disposed in the substrate 200 , until the upper surface 214 a of the SiGe layer at least levels with the substrate surface. That is, the upper surface 214 a of the SiGe layer 214 either substantially levels with the substrate surface or is slightly higher than the substrate surface.
  • the device 20 further includes an amorphous silicon epitaxy layer 216 on the SiGe layer 214 and covering the upper surface 214 a of the SiGe layer 214 .
  • the SiGe layer 214 can be a boron doped SiGe layer, for example.
  • the device 20 may further include an undoped SiGe epitaxy layer 215 between the trench 212 and the SiGe layer 214 , for strengthening the structure and avoiding boron channeling effects.
  • the device 20 may further includes a metal silicide layer 218 disposed on the top surface of the gate structure 206 and a metal silicide layer 220 disposed on the amorphous silicon layer 216 in the source/drain regions 210 .
  • the material of the metal silicide layer 218 / 220 may be nickel silicide, nickel platinum silicide or their combinations or alloys, for example.
  • the upper or top surface of the source/drain regions should be higher than the substrate surface.
  • the subsequently formed metal silicide layer 220 is disposed on the amorphous silicon epitaxy layer 216 and higher than the substrate surface.
  • the metal silicide layer is somewhat raised, thus relieving the counteracting effect of the tensile stress of the metal silicide layer toward the compressive stress of the SiGe layer. Therefore, the device performance will not be downgraded by the formation of the metal silicide layer.
  • the use of the amorphous silicon layer covering on the SiGe layer can mitigate the boron channeling effects to avoid short channel effect. Further, the amorphous silicon layer help to sustain the strain of the underlying SiGe layer and alleviate implant damages in the subsequent doping processes. As a result, not only the device performance but also reliability of the device can be improved.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The present invention provides a method for forming a metal-oxide-semiconductor (MOS) device and the structure thereof. The method includes at least the steps of forming a silicon germanium layer by the first selective epitaxy growth process and forming a cap layer on the silicon germanium layer by the second selective epitaxy growth process. Hence, the undesirable effects caused by ion implantation can be mitigated.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of Invention
  • The present invention relates to a semiconductor device and manufacture method thereof. More particularly, the present invention relates to a manufacture method of forming semiconductor device with improved characteristics, and the semiconductor device structure thereof.
  • 2. Description of Related Art
  • The metal-oxide-semiconductor (MOS) transistor is one of the most important devices widely applied for very-large-scale-integration (VLSI) circuits, including logic circuits, microprocessors and memories. In addition to a gate oxide layer and a conductive gate structure, the MOS transistor further includes a source/drain region having dopants with a conductivity type opposite to that of the substrate.
  • With the rapid developments of electronic products e.g. telecommunication products, operating speed of transistors is bound to increase. However, due to the limitations in mobility of electrons and holes in silicon, the applications of the transistors are confined.
  • The prior art has proposed using silicon germanium (SiGe) epitaxy material as a major component of the source/drain region of the transistor. As compared with silicon, germanium has larger atomic volume and applies lateral compressive stress toward the channel region. Thus, mobility of electrons and holes can be enhanced with the source/drain region formed by SiGe, and the device performance can be improved.
  • At present, selective epitaxy growth (SEG) process is commonly used to form a SiGe layer for the semiconductor manufacturing processes. However, certain issues still exist in the manufacturing processes involving using SEG process, which may downgrade the device performances.
  • SUMMARY OF THE INVENTION
  • The invention provides a method of forming a metal-oxide-semiconductor (MOS) device, which can restrain boron channeling effects and alleviating possible damages caused by ion implantation.
  • Accordingly, the present invention provides a semiconductor device with the metal silicide layer higher than the substrate surface, so as to mitigate possible counteracting effects of the metal silicide layer and enhance the device performance.
  • As embodied and broadly described herein, the invention provides a method for forming a semiconductor device structure, comprising providing a substrate having at least an isolation structure and forming a gate structure having a pair of spacers on both sidewalls of the gate structure. After forming a pair of trenches in the substrate at both sides of the gate structure, a first selective epitaxy growth process is performed to form a silicon germanium (SiGe) layer filling the trenches and then a second selective epitaxy growth process is performed to form a cap layer on the silicon germanium layer. Later, a pair of source/drain regions is formed by performing an ion implantation process and a metal silicide layer is formed on the gate structure and the cap layer in the source/drain regions.
  • Based on the preferred embodiment, the cap layer includes an amorphous silicon layer and the amorphous silicon layer covers a whole upper surface of the silicon germanium layer. For example, the amorphous silicon layer has a thickness of about 20 angstroms to about 300 angstroms.
  • Based on the preferred embodiment, the first selective epitaxy growth process is in-situ boron doping selective SiGe epitaxy growth process. Moreover, the first and second selective epitaxy growth processes are performed in-situ in the same chamber or performed in the different chambers of the same platform in clusters.
  • Based on the preferred embodiment, the upper surface of the silicon germanium layer at least substantially levels with or can be slightly higher than the substrate surface.
  • According to the preferred embodiment, the ion implantation process comprises implanting boron or BF2 + ions to the silicon germanium layer. Additionally, an annealing process can be further performed after the ion implantation process to active the implanted ions, and the annealing process comprises performing rapid thermal processing or laser-spike annealing.
  • According to the preferred embodiment, a pair of source/drain extension regions is formed in the substrate at both sides of the gate structure before forming the first spacers. Alternatively, the source/drain extension regions are formed in the substrate at both sides of the gate structure after removing the first spacers and before forming the second spacers.
  • As embodied and broadly described herein, the invention provides a semiconductor device structure, comprising a substrate, at least a gate structure, spacers and at least a pair of source/drain regions. A pair of trenches is disposed in the substrate at both sides of the gate structure, and a pair of source/drain region formed by a doped silicon germanium (SiGe) layer disposed in the trenches and filling up the trenches. The upper surface of the doped silicon germanium layer at least substantially levels with the substrate surface. The device structure includes an amorphous silicon layer covering the upper surface of the doped silicon germanium layer in the trenches and a metal silicide layer disposed on the gate structure and on the amorphous silicon layer. The device structure further includes a pair of source/drain extension regions disposed in the substrate between the gate structure and the source/drain regions.
  • Based on the preferred embodiment, the amorphous silicon layer has a thickness of about 20 angstroms to about 300 angstroms. The source/drain region further comprises an undoped silicon germanium layer disposed between the trench and the doped silicon germanium layer.
  • Based on the preferred embodiment, a material of the metal silicide layer can be nickel silicide, nickel platinum silicide, a combination of both or an alloy of both.
  • For the semiconductor device of the present invention and the manufacture method thereof, because the SiGe layer is covered by the amorphous silicon layer, the upper surface of the source/drain region is higher than the substrate surface and the subsequently formed metal silicide layer thereon is relatively raised. Hence, possible counteracting effect of the tensile stress of the metal silicide toward the compressive stress of the silicon germanium layer is mitigated and the device performance is enhanced.
  • It is to be understood that both the foregoing general description and the following detailed description are exemplary, and are intended to provide further explanation of the invention as claimed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention.
  • FIGS. 1A-1F are cross-sectional views of the manufacture processes for forming a semiconductor device structure according to one preferred embodiment of this invention.
  • FIG. 2 is a cross-sectional view of a semiconductor device structure according to one preferred embodiment of this invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIGS. 1A-1F are cross-sectional views of the manufacture processes for forming a semiconductor device structure according to one preferred embodiment of this invention.
  • Referring to FIG. 1A, a substrate 100 e.g. a monocrystalline silicon substrate is provided. A trench 104 a is formed in the substrate 100, and an isolation structure 104 is formed in the trench 104 a so as to define an active region 101. The isolation structure 104 is made of an insulating material e.g. silicon oxide and is formed by performing a chemical vapor deposition process, for example.
  • Then, a gate structure 106 is formed on the substrate 100 within the active region 101. The gate structure 106 is composed of a gate dielectric layer 108 and a conductive layer 110. Here, the gate structure 106 is formed by forming a dielectric material layer (not shown) on the substrate 100 within the active region 101. The dielectric material layer is made of silicon oxide, for example. Next, a conductive material layer (not shown) is formed on the dielectric material layer to completely cover the substrate 100. The conductive material layer is made of polysilicon or doped polysilicon, for example. Thereafter, a photolithography and etching process is performed to pattern the conductive material layer and the dielectric material layer, so as to form the conductive layer 110 and the gate dielectric layer 108. Afterwards, using the gate structure 106 as the mask, at least a pair of source/drain extension regions 102 is formed in the substrate 100 by performing an ion implantation process. For the P-MOS transistor device, boron or BF2 + ions are doped into the source/drain extension region 102, for example.
  • As shown in FIG. 1B, a pair of spacers 111 is formed on the sidewalls of the gate structure 106. Using the gate structure 106 and the spacers 111 as the mask, a pair of trenches 112 and 114 is formed in the substrate 100 at both sides of the gate structure 106 and beside spacers 111. The depth of the trenches 112/114 is about 200-1500 Angstroms, for example.
  • According to the above embodiment, the source/drain extension regions 102 are formed before forming the trenches 112/114. Nevertheless, the order of the process steps can be re-arranged or switched based on the requirements of the manufacturing processes. Alternatively, the trenches are formed and the source/drain extension regions 102 are formed in the subsequent steps.
  • As shown in FIG. 1C, the first selective epitaxy growth (SEG) process is performed, by using the silicon containing gas source, to form a silicon germanium (Si1-xGex; SiGe) epitaxy layer 120. The SiGe layer 120 fills up the trenches 112 and 114. In this embodiment, first selective epitaxy growth process is the selective SiGe epitaxy growth process, for example, performed under a pressure ranging from 5-50 torrs and a temperature ranging from 550° C. to 750° C. Preferably, the SEG process is, for example, performed at 660° C. in the chemical vapor deposition reaction chamber. The gas source flowed into the reaction chamber includes at least a silicon-containing gas (SiH4, SiH3Cl or SiH2Cl2), GeH4 and HCl. For example, a flow rate of silicon-containing gas ranges from 30 sccm to 200 sccm, a flow rate of GeH4 ranges from 50 sccm to 250 sccm, and a flow rate of HCl ranges from 80 sccm to 260 sccm.
  • According to the preferred embodiment, the SiGe epitaxy layer 120 has a thickness filling up the whole trench 112/114, until the top surface 120 a of the SiGe layer 120 substantially levels with the top surface of the substrate 100. Preferably, the top surface 120 a of the SiGe layer 120 substantially levels with the top surface of the substrate 100. Depending on the process requirements or performance consideration, the top surface 120 a of the SiGe layer 120 may be slightly higher than the top surface of the substrate 100, for example.
  • For the P-MOS transistor device, the SiGe layer 120 is the strained layer that provides compressive stress along the channel direction for enhancing the mobility of electrons or holes in the channel, thus increasing the driving current and improving the device performance.
  • Additionally, for the P-MOS transistor device, the first selective epitaxy growth process can be, for example, in-situ boron doping selective SiGe epitaxy growth process to directly form boron doping SiGe epitaxy layer. Alternatively, after forming the SiGe epitaxy layer, P+ grade implantation is further performed by implanting e.g. boron ions to about the junction depth for reducing junction resistance. Moreover, the step of P+ grade implantation may be used to dope the polysilicon gate simultaneously.
  • As shown in FIG. 1D, the second selective epitaxy growth process is performed, by using the silicon containing gas source, to form an amorphous silicon epitaxy layer 122 on the top surface 120 a of the SiGe epitaxy layer 120. The amorphous silicon layer 122 covers the whole surface 120 a of the SiGe layer 120 and functions as the cap layer. For example, the amorphous silicon layer 122 has a thickness of at least about 20 angstroms, preferably, ranging about 20-300 angstroms.
  • In this embodiment, second selective epitaxy growth (SEG) process is the selective Si epitaxy growth process, for example, performed under a pressure ranging from 5-80 torrs and a temperature ranging from 650° C. to 1100° C. Preferably, the SEG process is, for example, performed at 800° C. in the chemical vapor deposition reaction chamber. The gas source flowed into the reaction chamber includes at least a silicon-containing gas (SiH4, SiH3Cl, SiH2Cl2 or SiCl4) and HCl (or Cl2). For example, a flow rate of silicon-containing gas ranges from 50 sccm to 250 sccm, and a flow rate of HCl or Cl2 ranges from 100 sccm to 300 sccm.
  • Depending on the throughput or process considerations, the first SEG process and the second SEG process can be performed in-situ in the same chamber or performed as cluster in different chamber of the same platform.
  • Thereafter, referring to FIG. 1E, the spacers 111 are removed and a pair of spacers 124 is formed on the sidewalls of the gate structure 106. The spacer 124 can be a single spacer structure or double spacer structure, for example. Then, an ion implantation process 150 is performed to form a pair of source/drain regions 126 in the SiGe epitaxy layer 120 within the trenches 112/114 of the substrate 100. For the P-MOS transistor device, boron or BF2 + ions are doped into the source/drain regions 126, for example. The ion implantation process 150, for example, is performed using boron ions with an energy of about 1 keV and implant dose of 1×1015-5×1015 atoms/cm2; or using BF2 + ions with an energy of about 4 keV and implant dose of 1×1015-5×1015 atoms/cm2.
  • Afterwards, the annealing process is performed to activate the diffusion of dopants to form proper dopant distribution profile. The annealing process can be rapid thermal processing (RTP) or laser-spike annealing (LSA), for example.
  • According to the above embodiment, the source/drain extension regions 102 are formed before forming the trenches 112/114. Alternatively, in another embodiment, the source/drain extension regions are formed after the formation of the SiGe layer and removal of spacers 111 but before the formation of spacers 124.
  • Referring to FIG. 1F, a metal silicide layer 128 is formed on the gate conductive layer 110 and a metal silicide layer 130 is formed on the amorphous silicon layer 122 in the source/drain regions 126 by depositing a metal layer (not shown) over the substrate 100, performing the annealing process allowing the reaction between the metal and silicon, and then selectively removing the un-reacted metal layer. For example, the material of the metal layer can be nickel, platinum or its alloy, while the annealing process can be rapid thermal annealing (RTA). The reaction temperature and time of the annealing process depend on the choice in the material of the metal layer.
  • Since the amorphous silicon layer 122 covers the SiGe layer 120 as the cap layer, the implanted dopants will collide with the atoms arranged in random in the amorphous silicon layer, thus help relieving the damage to the SiGe layer caused by ion implantation and mininizing the channeling effects of the boron dopants.
  • According to the preferred embodiment of this invention, because the commonly performed germanium ion implantation (or so-called pre-amorphism implantation; PAI) is omitted and the amorphous silicon layer 122 (as cap layer) is formed to cover the SiGe layer 120, the strain of the SiGe layer 120 will be retained and will not be lessened by germanium ion implantation.
  • Table 1 shows testing data of three wafers going through different doping processes with other compatible fabrication process steps. Taking the P-type ion implantation as an example, testing results show the impacts of using P+ grade implantation, B+ or BF2 + source/drain implantation or germanium ion PAI on the stress of the SiGe layer.
  • TABLE 1
    Wafer # 20 Wafer # 21 Wafer # 22
    Epitaxy growth + + +
    P+ grade implant +
    Ge ion PAI +
    P+-type ion implant (BF2 +) +
    P+-type ion implant (B+) +
    RTP + + +
    LSA + + +
    2 micron-array −223 Mpa −70 Mpa −270 Mpa
    channel stress
    * compressive stress shown in “−”
  • As shown in Table 1, wafer #21 having the lowest stress indicates that the step of germanium ion PAI seriously weakens the stress of the SiGe layer. On the other hand, wafer #22 and wafer #20 still have relatively high stress, indicating that the impacts of P+ grade implantation or B+/BF2 + implantation on the stress of the SiGe layer are not that decisive.
  • FIG. 2 is a cross-sectional view of a semiconductor device structure according to one preferred embodiment of this invention. The semiconductor device 20 includes at least a substrate 200, an active region 201, source/drain extension regions 202, isolation structures 204, a gate structure 206, spacers 208 and source/drain regions 210. The isolation structures 204 are disposed in the substrate 200 to define the active region 201, while the gate structure 206 is disposed on the substrate 200. The spacers 208 are disposed on sidewalls of the gate structure 206, and the source/drain extension regions 202 are disposed in the substrate 200 at both sides of the gate structure 206.
  • In FIG. 2, the source/drain region 210 consists of a SiGe epitaxy layer 214 filling up the whole trench 212 in the substrate 200. The SiGe epitaxy layer 214 fills up the whole trench 212 disposed in the substrate 200, until the upper surface 214 a of the SiGe layer at least levels with the substrate surface. That is, the upper surface 214 a of the SiGe layer 214 either substantially levels with the substrate surface or is slightly higher than the substrate surface. The device 20 further includes an amorphous silicon epitaxy layer 216 on the SiGe layer 214 and covering the upper surface 214 a of the SiGe layer 214. For P-MOS transistor device, the SiGe layer 214 can be a boron doped SiGe layer, for example. The device 20 may further include an undoped SiGe epitaxy layer 215 between the trench 212 and the SiGe layer 214, for strengthening the structure and avoiding boron channeling effects.
  • Depending on the device designs, the device 20 may further includes a metal silicide layer 218 disposed on the top surface of the gate structure 206 and a metal silicide layer 220 disposed on the amorphous silicon layer 216 in the source/drain regions 210. The material of the metal silicide layer 218/220 may be nickel silicide, nickel platinum silicide or their combinations or alloys, for example.
  • According to this invention, as the upper surface 214 a of the SiGe layer at least levels with the substrate surface and considering the amorphous silicon epitaxy layer 216 covering the SiGe layer 214, the upper or top surface of the source/drain regions should be higher than the substrate surface. Hence, the subsequently formed metal silicide layer 220 is disposed on the amorphous silicon epitaxy layer 216 and higher than the substrate surface. Compared with prior structure, the metal silicide layer is somewhat raised, thus relieving the counteracting effect of the tensile stress of the metal silicide layer toward the compressive stress of the SiGe layer. Therefore, the device performance will not be downgraded by the formation of the metal silicide layer.
  • In addition, the use of the amorphous silicon layer covering on the SiGe layer can mitigate the boron channeling effects to avoid short channel effect. Further, the amorphous silicon layer help to sustain the strain of the underlying SiGe layer and alleviate implant damages in the subsequent doping processes. As a result, not only the device performance but also reliability of the device can be improved.
  • It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the following claims and their equivalents.

Claims (9)

1-12. (canceled)
13. A semiconductor device structure, comprising:
a substrate having at least an isolation structure to define an active region;
at least a gate structure disposed on the substrate in the active region, wherein a pair of spacers is disposed on both sidewalls of the gate structure, a pair of source/drain extension regions is disposed in the substrate and below the spacers, and a pair of trenches is disposed in the substrate at both sides of the gate structure;
a pair of source/drain region formed by a doped silicon germanium (SiGe) layer disposed in the trenches filling up the trenches, wherein an upper surface of the doped silicon germanium layer at least substantially levels with the substrate surface;
an amorphous silicon layer covering the upper surface of the doped silicon germanium layer in the trenches; and
a metal silicide layer disposed on the gate structure and on the amorphous silicon layer.
14. The structure of claim 13, wherein the amorphous silicon layer has a thickness of about 20 angstroms to about 300 angstroms.
15. The structure of claim 13, wherein the source/drain region further comprises an undoped silicon germanium layer disposed between the trench and the doped silicon germanium layer.
16. The structure of claim 13, wherein a material of the metal silicide layer is selected from the group consisting of nickel silicide, nickel platinum silicide, a combination of both and an alloy of both.
17. The structure of claim 13, wherein the spacer is a double spacer structure.
18. The structure of claim 13, wherein the doped silicon germanium layer is a boron doped silicon germanium layer formed by in-situ boron doping selective SiGe epitaxy growth process.
19. The structure of claim 13, wherein the amorphous silicon layer is a amorphous silicon epitaxy layer formed by selective silicon epitaxy growth process.
20. The structure of claim 13, wherein the upper surface of the doped silicon germanium layer is higher than the substrate surface.
US11/966,734 2007-12-28 2007-12-28 Mos device structure Abandoned US20090166625A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/966,734 US20090166625A1 (en) 2007-12-28 2007-12-28 Mos device structure
US12/469,135 US8222113B2 (en) 2007-12-28 2009-05-20 Method of forming MOS device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/966,734 US20090166625A1 (en) 2007-12-28 2007-12-28 Mos device structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/469,135 Division US8222113B2 (en) 2007-12-28 2009-05-20 Method of forming MOS device

Publications (1)

Publication Number Publication Date
US20090166625A1 true US20090166625A1 (en) 2009-07-02

Family

ID=40796998

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/966,734 Abandoned US20090166625A1 (en) 2007-12-28 2007-12-28 Mos device structure
US12/469,135 Active 2028-05-05 US8222113B2 (en) 2007-12-28 2009-05-20 Method of forming MOS device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/469,135 Active 2028-05-05 US8222113B2 (en) 2007-12-28 2009-05-20 Method of forming MOS device

Country Status (1)

Country Link
US (2) US20090166625A1 (en)

Cited By (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110024804A1 (en) * 2009-07-28 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration sige stressor
US20110049613A1 (en) * 2009-09-01 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type finfet, circuits and fabrication method thereof
US20110068405A1 (en) * 2009-09-24 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
CN102157380A (en) * 2010-02-12 2011-08-17 三星电子株式会社 Methods of manufacturing semiconductor devices
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US20130105861A1 (en) * 2011-11-01 2013-05-02 Chin-I Liao Semiconductor device and method of forming epitaxial layer
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US20130119479A1 (en) * 2009-07-14 2013-05-16 United Microelectronics Corp. Transistor structure
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US20130126949A1 (en) * 2011-11-17 2013-05-23 United Microelectronics Corp. Mos device and method for fabricating the same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8482073B2 (en) 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US20140084369A1 (en) * 2009-12-21 2014-03-27 Anand S. Murthy Semiconductor device having doped epitaxial region and its methods of fabrication
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US8753945B2 (en) 2012-03-22 2014-06-17 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US20150137198A1 (en) * 2011-07-07 2015-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. In-Situ Doping of Arsenic for Source and Drain Epitaxy
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US20150236125A1 (en) * 2014-02-14 2015-08-20 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method thereof
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US9275995B2 (en) 2013-12-05 2016-03-01 Samsung Electronics Co., Ltd. Semiconductor devices having composite spacers containing different dielectric materials
CN105702723A (en) * 2014-11-27 2016-06-22 中芯国际集成电路制造(上海)有限公司 Transistor and formation method thereof
CN105990141A (en) * 2015-02-02 2016-10-05 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof, electronic device
US20170133460A1 (en) * 2015-11-09 2017-05-11 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US10883173B2 (en) 2018-04-06 2021-01-05 Samsung Electronics., Ltd. Gas storage cylinder, deposition system, and method of manufacturing semiconductor device
CN112201691A (en) * 2020-09-28 2021-01-08 上海华力集成电路制造有限公司 Germanium-silicon source drain structure and manufacturing method thereof
US11145723B2 (en) * 2019-06-07 2021-10-12 Samsung Electronics Co., Ltd. Semiconductor device

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293611B2 (en) * 2007-05-08 2012-10-23 Micron Technology, Inc. Implantation processes for straining transistor channels of semiconductor device structures and semiconductor devices with strained transistor channels
JP5668277B2 (en) 2009-06-12 2015-02-12 ソニー株式会社 Semiconductor device
US20130069172A1 (en) * 2011-09-16 2013-03-21 United Microelectronics Corp. Semiconductor device and method for fabricating the same
CN103107070B (en) * 2011-11-14 2017-11-07 联华电子股份有限公司 Semiconductor device and the method for making epitaxial layer
US9484207B2 (en) * 2014-05-30 2016-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040067631A1 (en) * 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US20080119025A1 (en) * 2006-11-21 2008-05-22 O Sung Kwon Method of making a strained semiconductor device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
TWI288472B (en) 2001-01-18 2007-10-11 Toshiba Corp Semiconductor device and method of fabricating the same
KR100882930B1 (en) 2004-12-17 2009-02-10 삼성전자주식회사 CMOS semiconductor devices having source and drain regions and methods of fabricating the same
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7482211B2 (en) 2006-06-22 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Junction leakage reduction in SiGe process by implantation
US7652336B2 (en) * 2007-08-06 2010-01-26 International Business Machines Corporation Semiconductor devices and methods of manufacture thereof
US20090140351A1 (en) * 2007-11-30 2009-06-04 Hong-Nien Lin MOS Devices Having Elevated Source/Drain Regions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040067631A1 (en) * 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US20080119025A1 (en) * 2006-11-21 2008-05-22 O Sung Kwon Method of making a strained semiconductor device

Cited By (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8823109B2 (en) * 2009-07-14 2014-09-02 United Microelectronics Corp. Transistor structure
US20130119479A1 (en) * 2009-07-14 2013-05-16 United Microelectronics Corp. Transistor structure
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US9660082B2 (en) 2009-07-28 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit transistor structure with high germanium concentration SiGe stressor
US20110024804A1 (en) * 2009-07-28 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration sige stressor
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US20110049613A1 (en) * 2009-09-01 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type finfet, circuits and fabrication method thereof
US11158725B2 (en) 2009-09-24 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US10355108B2 (en) 2009-09-24 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a fin field effect transistor comprising two etching steps to define a fin structure
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US20110068405A1 (en) * 2009-09-24 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US10957796B2 (en) * 2009-12-21 2021-03-23 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
CN107068737A (en) * 2009-12-21 2017-08-18 英特尔公司 The semiconductor devices and its manufacture method of epitaxial region with doping
CN107068737B (en) * 2009-12-21 2022-07-26 英特尔公司 Semiconductor device with doped epitaxial region and method of manufacturing the same
US11908934B2 (en) 2009-12-21 2024-02-20 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US20140084369A1 (en) * 2009-12-21 2014-03-27 Anand S. Murthy Semiconductor device having doped epitaxial region and its methods of fabrication
US9922827B2 (en) 2010-01-14 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor structure
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
TWI505349B (en) * 2010-02-12 2015-10-21 Samsung Electronics Co Ltd Methods of manufacturing semiconductor devices
CN102157380A (en) * 2010-02-12 2011-08-17 三星电子株式会社 Methods of manufacturing semiconductor devices
US8207040B2 (en) * 2010-02-12 2012-06-26 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices including forming (111) facets in silicon capping layers on source/drain regions
KR101576529B1 (en) 2010-02-12 2015-12-11 삼성전자주식회사 Semiconductor device with have silicon facet using wet etch and method for manufacturing same
US20110201166A1 (en) * 2010-02-12 2011-08-18 Chung Hoi-Sung Methods of manufacturing semiconductor devices
US8482073B2 (en) 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US9450097B2 (en) 2010-04-28 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping Fin field-effect transistors and Fin field-effect transistor
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9209280B2 (en) 2010-04-28 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9147594B2 (en) 2010-05-06 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9564529B2 (en) 2010-05-06 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US11251303B2 (en) 2010-05-06 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US10998442B2 (en) 2010-05-06 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US10510887B2 (en) 2010-05-06 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US11855210B2 (en) 2010-05-06 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8809940B2 (en) 2010-10-13 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin held effect transistor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9716091B2 (en) 2010-10-13 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US9209300B2 (en) 2010-10-13 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US9893160B2 (en) 2010-10-19 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US9026959B2 (en) 2010-11-12 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8806397B2 (en) 2010-11-12 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US9184088B2 (en) 2011-01-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a shallow trench isolation (STI) structures
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8592271B2 (en) 2011-03-24 2013-11-26 United Microelectronics Corp. Metal-gate CMOS device and fabrication method thereof
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US20150137198A1 (en) * 2011-07-07 2015-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. In-Situ Doping of Arsenic for Source and Drain Epitaxy
US9887290B2 (en) * 2011-07-07 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon germanium source/drain regions
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8853740B2 (en) 2011-10-17 2014-10-07 United Microelectronics Corp. Strained silicon channel semiconductor structure
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US20130105861A1 (en) * 2011-11-01 2013-05-02 Chin-I Liao Semiconductor device and method of forming epitaxial layer
US20140235038A1 (en) * 2011-11-01 2014-08-21 United Microelectronics Corp. Semiconductor device and method of forming epitaxial layer
US8754448B2 (en) * 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8927376B2 (en) * 2011-11-01 2015-01-06 United Microelectronics Corp. Semiconductor device and method of forming epitaxial layer
US8647953B2 (en) * 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US20130126949A1 (en) * 2011-11-17 2013-05-23 United Microelectronics Corp. Mos device and method for fabricating the same
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9312359B2 (en) 2012-03-12 2016-04-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9443970B2 (en) 2012-03-14 2016-09-13 United Microelectronics Corporation Semiconductor device with epitaxial structures and method for fabricating the same
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8753945B2 (en) 2012-03-22 2014-06-17 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8884346B2 (en) 2012-04-05 2014-11-11 United Microelectronics Corp. Semiconductor structure
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US9269811B2 (en) 2012-06-20 2016-02-23 United Microelectronics Corp. Spacer scheme for semiconductor device
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8999793B2 (en) 2012-06-22 2015-04-07 United Microelectronics Corp. Multi-gate field-effect transistor process
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US9263579B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9275995B2 (en) 2013-12-05 2016-03-01 Samsung Electronics Co., Ltd. Semiconductor devices having composite spacers containing different dielectric materials
US20150236125A1 (en) * 2014-02-14 2015-08-20 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method thereof
US9368600B2 (en) * 2014-02-14 2016-06-14 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method thereof
CN105702723A (en) * 2014-11-27 2016-06-22 中芯国际集成电路制造(上海)有限公司 Transistor and formation method thereof
CN105990141A (en) * 2015-02-02 2016-10-05 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof, electronic device
US20170133460A1 (en) * 2015-11-09 2017-05-11 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US10883173B2 (en) 2018-04-06 2021-01-05 Samsung Electronics., Ltd. Gas storage cylinder, deposition system, and method of manufacturing semiconductor device
US20210408241A1 (en) * 2019-06-07 2021-12-30 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device
US11563089B2 (en) * 2019-06-07 2023-01-24 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device
US11145723B2 (en) * 2019-06-07 2021-10-12 Samsung Electronics Co., Ltd. Semiconductor device
CN112201691A (en) * 2020-09-28 2021-01-08 上海华力集成电路制造有限公司 Germanium-silicon source drain structure and manufacturing method thereof

Also Published As

Publication number Publication date
US20090239347A1 (en) 2009-09-24
US8222113B2 (en) 2012-07-17

Similar Documents

Publication Publication Date Title
US8222113B2 (en) Method of forming MOS device
US10037924B2 (en) Fin-FET device and fabrication method thereof
US9653574B2 (en) Selective etching in the formation of epitaxy regions in MOS devices
US7402872B2 (en) Method for forming an integrated circuit
US9443847B2 (en) Epitaxial formation of source and drain regions
US9917191B2 (en) Semiconductor devices and methods of manufacture thereof
KR100621546B1 (en) Method and Apparatus For Making MOS Transistors With Elevated Source/Drain
US7315063B2 (en) CMOS transistor and method of manufacturing the same
US7737468B2 (en) Semiconductor devices having recesses filled with semiconductor materials
US20060189053A1 (en) PMOS transistor with discontinuous CESL and method of fabrication
JP2010171174A (en) Semiconductor device
US20110070703A1 (en) Disposable Spacer Integration with Stress Memorization Technique and Silicon-Germanium
KR101522792B1 (en) Source and drain stressors with recessed top surfaces
CN101202305B (en) Semiconductor device with improved source and drain and method of manufacturing the same
CN116314018B (en) Semiconductor integrated device and manufacturing method thereof
US20080303060A1 (en) Semiconductor devices and methods of manufacturing thereof
JP5310722B2 (en) Manufacturing method of semiconductor device
US20080057665A1 (en) Strained semiconductor device and method of making same
US20110306170A1 (en) Novel Method to Improve Performance by Enhancing Poly Gate Doping Concentration in an Embedded SiGe PMOS Process
CN116646402B (en) Semiconductor device and manufacturing method thereof
JP2010245233A (en) Semiconductor device and method of fabricating the same
JP2010161223A (en) Semiconductor device and method of manufacturing the same
TWI387011B (en) Method of forming mos device and structure thereof
US9412869B2 (en) MOSFET with source side only stress
CN101814456B (en) IC circuit device and a fabricating method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TING, SHYH-FANN;HSU, SHIH-CHIEH;HUANG, CHENG-TUNG;AND OTHERS;REEL/FRAME:020311/0168

Effective date: 20071224

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION