US20090014323A1 - High temperature cathode for plasma etching - Google Patents

High temperature cathode for plasma etching Download PDF

Info

Publication number
US20090014323A1
US20090014323A1 US12/171,556 US17155608A US2009014323A1 US 20090014323 A1 US20090014323 A1 US 20090014323A1 US 17155608 A US17155608 A US 17155608A US 2009014323 A1 US2009014323 A1 US 2009014323A1
Authority
US
United States
Prior art keywords
base
electrostatic chuck
cathode
disposed
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/171,556
Inventor
Boris Yendler
Alexander Matyushkin
Denis Koosau
Glen Egami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/171,556 priority Critical patent/US20090014323A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EGAMI, GLEN, Koosau, Denis, Matyushkin, Alexander
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: Yendler, Boris
Publication of US20090014323A1 publication Critical patent/US20090014323A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Definitions

  • Embodiments of the present invention generally relate to semiconductor substrate processing systems. More specifically, the invention relates to a high temperature cathode suitable for plasma etching.
  • Plasma etching is of particular importance in obtaining critical dimensions less than 1.0 micron.
  • plasma etching is accomplished by applying a RF power to a working gas supplied over a substrate held by a pedestal in a low pressure environment.
  • the resulting electric field creates a reaction zone that excites the working gas into a plasma. Ions migrate towards the boundary of plasma, and accelerate upon leaving the boundary layer. The accelerated ions produce the energy required to remove, or etch, the target material, which generally is a layer of material disposed on the substrate.
  • the present invention generally is a cathode suitable for use in high temperature plasma etch applications.
  • the cathode includes a ceramic electrostatic chuck secured to a base.
  • the base has cooling conduits formed therein.
  • a rigid support ring is disposed between the chuck and the base, thereby maintaining the chuck and the base in a spaced-apart relation.
  • a cathode includes a gas distribution ring disposed between the base and chuck.
  • a cathode further includes a gas passage formed through the base and a gas feed formed through the chuck.
  • the passage and feed are not aligned but fluidly coupled through a gas distribution ring to define a gas delivery path.
  • a ceramic baffle disk is disposed in the gas delivery path.
  • a cathode further includes an annular spreader plate disposed in a gap defined between the chuck and the base, wherein the annular spreader plate touches the base but not the chuck.
  • a clamp ring is utilized to secure the chuck to the base.
  • the clamp ring includes a least two thermal chokes disposed in series between portions of the clamp ring touching the chuck and the base.
  • the cathode further includes a stem and a sleeve.
  • the stem is coupled to the chuck and extends through the base.
  • the sleeve is disposed through the stem such that a first gap defined between the stem and base is greater than a second gap defined between the stem and sleeve.
  • a seal disposed between a lower end of the stem and the base to seal the first gap.
  • the base further includes a channel coupling the stem to the chuck and extending through the base. The channel vents the first gap through the base.
  • a plasma processing cathode in another embodiment, includes a base, a ceramic electrostatic chuck and rigid support ring maintaining a bottom of the electrostatic chuck and the base in a spaced-apart relation.
  • the electrostatic chuck has a plurality of gas feeds extending from the bottom surface of the electrostatic chuck to a top surface the electrostatic chuck.
  • a fluid distribution ring is disposed between the base and the electrostatic chuck. The fluid distribution ring is spaced from the base to define an annular channel therebetween.
  • the fluid distribution ring includes a plurality of gas passages configured to direct gas through the fluid distribution ring from the channel to the electrostatic chuck.
  • a plurality of ceramic baffles are disposed in the gas passages.
  • a plasma processing cathode in yet another embodiment, includes a base having cooling conduits formed therein, a ceramic electrostatic chuck secured to a top surface of the base, and a rigid support ring disposed between the electrostatic chuck and the base.
  • the support ring maintains a lower surface of the electrostatic chuck spaced-apart from the top surface of the base.
  • a flat annular spreader plate is disposed radially inward of the support ring in a gap defined between the lower surface of the electrostatic chuck and the upper surface of the base.
  • a seal is provided to seal the electrostatic chuck to the base in a location outward of the spreader plate, the seal sealingly permitting radial movement of the electrostatic chuck relative to the plate.
  • FIG. 1 is a sectional perspective view of one embodiment of a substrate support assembly suitable for use in a plasma etch chamber;
  • FIG. 2 is a partial sectional view of the substrate support assembly of FIG. 1 depicting one embodiment of a gas distribution ring;
  • FIG. 3A is a partial plan view of a portion of the gas distribution ring disposed on a cooling base, the gas distribution ring having a baffle disk covering a gas inlet formed through the ring;
  • FIG. 3B is a partial plan view of the gas distribution ring of FIG. 3A with the baffle disk removed to show the gas inlet;
  • FIG. 4 is another partial sectional view of the substrate support assembly of FIG. 1 through the gas distribution ring;
  • FIG. 5 is a perspective view of one embodiment of a baffle disk
  • FIG. 6 is a partial sectional view of the substrate support assembly of FIG. 1 through an inner gas feed
  • FIG. 7 is a partial sectional view of a substrate support assembly utilizing an E-seal between a cooling base and electrostatic chuck.
  • FIG. 8 is a sectional perspective view of another embodiment of a substrate support assembly suitable for use in a plasma etch chamber.
  • FIG. 1 is a sectional isometric view of one embodiment of a high temperature cathode 100 suitable for plasma etching.
  • the cathode 100 may be advantageously utilized in plasma etch reactors, such as the AdvantEdgeTM Etch reactor, available from Applied Materials, Inc., of Santa Clara, Calif., among other etch reactors, including suitable reactors available from other manufacturers.
  • FIG. 1 is one embodiment of a cathode 100 .
  • the cathode 100 generally includes an electrostatic chuck 104 secured to a cooling base 102 .
  • a stem 106 extends from a bottom of the electrostatic chuck 104 .
  • the stem 106 may be coupled to the electrostatic chuck 104 by braising or other suitable method.
  • the stem 106 is generally fabricated from a conductive material such as stainless steel.
  • the electrostatic chuck 104 is supported above the cooling base 102 in a spaced-apart relation.
  • a support ring 110 is provided between the cooling base 102 and electrostatic chuck 104 , such that a gap 118 is maintained between the underside of the electrostatic chuck 104 and the upper surface of the cooling base 102 .
  • the gap 118 limits the heat transfer between the electrostatic chuck 104 and cooling base 102 .
  • the distance across the gap 118 between the electrostatic chuck 104 and cooling base 102 is about 0.025 to about 0.045 inches.
  • the support ring 110 may be made of a material having a low coefficient of thermal conductivity relative to the base, such as titanium, among other materials.
  • the support ring 110 may be fabricated from hard anodized aluminum, high temperature plastics or other suitable material.
  • the support ring 110 is fabricated from a rigid material so that the dimension across the gap 118 is maintained while clamping the chuck 104 to the base 102 .
  • the support ring 110 is fabricated from a rigid plastic, for example a polyimide such as VESPEL®.
  • the support ring 110 touches less than 15 percent, for example, 10 percent, of the bottom surface of the electrostatic chuck 104 .
  • the top or crown of the support ring 110 contacting the electrostatic chuck 104 is narrowed to provide a heat choke.
  • the heat flow can be restricted through the ring 110 by choking heat transfer at the bottom of the support ring 110 by means of decreasing contact area between the ring 110 and the cooling base 102 (“reverse crown”).
  • the cooling base 102 is fabricated from a material having good heat transfer, for example, a metal such as stainless steel or aluminum.
  • the cooling base 102 includes one or more fluid conduits 152 formed therein.
  • the conduits 152 are coupled to a fluid source such that the temperature of the cooling base 102 may be selectively heated or cooled. Examples of cooling bases having conduits formed therein to regulate temperature thereof as described in U.S. patent application Ser. No. 10/960,874 filed Oct. 7, 2004, which is hereby incorporated by reference in its entirety.
  • the cooling base 102 also includes a cylinder 150 extending from the lower surface of the cooling base 102 .
  • An inside diameter 154 of the cylinder 150 is configured such that a gap 112 is maintained between the cooling base 102 and the stem 106 .
  • the lower end of the cylinder 150 includes an inwardly-extending lip 156 which accommodates a gland that secures an o-ring 116 .
  • the o-ring 116 provides a pressure barrier between the cooling base 102 and the stem 106 .
  • a shield 108 is utilized to manage the temperature of the stem 106 so that heat passing from the electrostatic chuck 104 does not damage the o-ring 116 .
  • the shield 108 increases amount of heat transfer from the stem 106 by about two times.
  • the shield 108 includes a flange 162 and a sleeve 160 .
  • the sleeve 160 fits inside the stem 106 , such that the heat transfer from the stem 106 is predominantly to the sleeve 160 .
  • the sleeve 160 may have a close fit to the stem 106 , or have a gap defined therebetween which is less than the gap 112 defined between the stem 106 and the inside diameter 154 of the cooling base 102 .
  • the shield 108 provides enough thermal sink to allow the electrostatic chuck 104 to be operated at temperatures in excess of 300 degrees Celsius without damaging the seal 116 .
  • the heat removal from the shaft 106 may be conducted by radiation and conduction.
  • the heat removal has to be limited in order to prevent damage to the electrostatic chuck 104 due to high thermal stresses in the ceramic chuck material.
  • the gap 112 between the shaft 106 and the cooling base 102 may be filled with helium supplied for substrate cooling due to seal leakage. The pressure of the helium in the gap 112 will change significantly during the process cycle, which can lead to an additional repeating thermal stress and breakdown of the shaft 106 .
  • the gap 112 may be connected to the chamber by a small channel 192 , thereby dumping any helium that may be present in the gap 112 into the chamber in which the cathode is installed.
  • the channel 192 may include a sintered ceramic plug 194 to prevent arcing in the channel 192 .
  • the channel 192 is shown through the cylinder 150 , the channel 192 may be formed in other locations, for example, through the main portion of the base 102 above the conduits 152 .
  • the electrostatic chuck 104 is typically fabricated from aluminum nitride or other suitable material.
  • the electrostatic chuck 104 includes a resistive heater 122 and at least one chucking electrode 120 .
  • the heater 122 is disposed in the middle of the electrostatic chuck 104
  • the chucking electrode 120 is disposed between the heater 122 and the upper surface 130 .
  • the chucking electrode 120 is also provided with RF power to sustain a plasma within a processing chamber during etching.
  • Power to the chucking electrode 120 and the heater 122 are generally provided through electrical feeds 124 , 126 which extend through the hollow interior of the stem 106 and shield 108 to facilitate coupling of the chucking electrode 120 and heater 122 to power sources not shown.
  • a secondary electrode 128 may be disposed below the chucking electrode 120 .
  • the outer edge of the secondary electrode 128 extends beyond the outside edge of the chucking electrode 120 . Since the upper surface 130 of the electrostatic chuck 104 is smaller than the substrate fixed on top of the chuck to prevent damage to the chuck by plasma at the edge of the substrate during processing, the electrical field at the edge of the substrate may be distorted and provide a so-called “tilted” etch profile.
  • the secondary electrode 128 is connected to the main RF terminal as the chucking electrode 120 and is provided with generally same electrical potential during etch process.
  • the secondary electrode 128 may also be utilized to prevent and/or remove material deposited on a process kit (e.g., a process ring) supported on a ledge 190 outward and below the upper surface 130 of the electrostatic chuck 104 .
  • the upper surface 130 of the electrostatic chuck 104 generally includes a plurality of mesas 132 separated by a groove network 134 .
  • the mesas may include surface features 144 , such as bumps, projections, embossments, texture and the like, which are utilized to tailor heat transfer and chucking characteristics of the surface 130 .
  • Helium or other suitable heat transfer gas is provided to the groove network 134 through an inner gas feed 140 formed through the electrostatic chuck 104 .
  • the upper surface 130 of the electrostatic chuck 104 additionally includes an outer peripheral channel 136 which is separated from the groove network 134 by an annular ridge 138 .
  • Helium or other suitable heat transfer gas is provided to the outer peripheral channel 136 by an outer gas feed 140 so that the gases delivered to the groove network 134 and the outer peripheral channel 136 may be independently controlled.
  • one or more gas feeds 140 , 142 may be provided to provide a desired distribution of gas in the groove network 134 and outer peripheral channel 136 .
  • one inner gas feed 142 and twelve equally spaced outer gas feeds 140 are formed through the electrostatic chuck 104 .
  • a plurality of lift pin holes 146 are formed through the cooling base 102 and electrostatic chuck 104 .
  • a second annular outer channel (not shown) may be disposed adjacent the outer peripheral channel 136 .
  • the second annular outer channel may be utilized to collect contaminants and to decrease the contamination of the rest of chuck surface, thereby increasing chucking performance.
  • FIG. 2 depicts a partial cross-sectional view of one embodiment of the clamp ring 114 of the cathode 100 .
  • the clamp ring 114 is fabricated from a rigid material, such as anodized aluminum, titanium, or other suitable material. The material of the clamp ring 114 may be selected to have a low thermal conductivity so that heat transfer between the electrostatic chuck 104 and base 102 is minimized.
  • the clamp ring 114 generally includes an annular body 202 having a flange 204 extending inwardly therefrom. The distal end of the flange 204 includes a downwardly extending lip 206 . The flange 204 and lip 206 are dimensioned such that the lip 206 contacts a mounting flange 212 extending radially outward below the ledge 190 of the electrostatic chuck 104 when clamped.
  • the clamp ring 114 may be configured to minimize the heat transfer between the electrostatic chuck 104 and the clamp ring 114 , thereby preventing or minimizing the formation of lateral temperature gradients in the chuck 104 .
  • the lip 206 may be segmented around the circumference of the flange 204 to minimize the contact between the clamp ring 114 and the electrostatic chuck 104 .
  • the flange 204 may include a region of reduced cross-section as to create a thermal choke between the lip 206 and the body 202 , thereby limiting heat transfer therebetween.
  • a thermal insulation ring 210 may be provided between the lip 206 and the mounting flange 212 .
  • the insulation ring 210 may be fabricated from a material having a coefficient of thermal conductivity less than at least one of or both of the electrostatic chuck 104 and ring 114 .
  • the body 202 includes a threaded hole 216 configured to accept a fastener 222 .
  • the fastener 222 extends through a clearance hole 218 formed through the cooling base 102 .
  • the clearance hole 218 has a diameter sufficient to accommodate differences in thermal expansion between the clamp ring 114 and the cooling base 102 while fastened.
  • One or more washers 220 are utilized to prevent the head of the fastener 222 from extending or binding in the clearance hole 218 .
  • a counter bore 226 is provided through the cooling base 202 to facilitate access to the fastener 222 .
  • the fastener 222 and washers 220 may be fabricated from a suitable material, and in one embodiment, are fabricated from at nickel alloy, such as HASTELLOY®.
  • springs (not shown) may be disposed between the head of the fastener 222 and cooling base 102 to bias the clamp ring 114 against the electrostatic chuck 104 .
  • the body 202 may additionally include a ridge 208 extending from a lower surface thereof.
  • the ridge 208 maintains the body 202 in a spaced-apart relation relative to an upper surface 214 of the cooling base 102 .
  • the ridge 208 provides a thermal choke between the body 202 and the cooling base 102 , such that heat transfer from the periphery of the electrostatic chuck 104 to the cooling base 102 through the clamp ring 114 is minimized.
  • the ridge 208 may be segmented into discrete portions to further limit the heat transfer between the body 202 and the cooling base 102 .
  • a gas distribution ring 230 is also depicted in FIG. 2 .
  • the gas distribution ring is configured to provide gas to the outer gas feeds 140 .
  • the gas distribution ring 230 is disposed in a stepped recess 232 formed in the upper surface 214 of the cooling base 102 .
  • a plurality of fasteners 234 are arranged to engage a threaded hole 236 formed in the cooling base 102 to secure the gas distribution ring 230 .
  • a plurality of inner and outer ring seals 238 are provided between the gas distribution ring 230 and the base 102 and the stepped recess 232 of the base 102 .
  • a bottom 254 of gas distribution ring 230 is maintained in a spaced-apart relation with a bottom 256 of the stepped recess 232 , there by defining an annular channel 250 into which gas is feed through the base 102 .
  • One or more feed holes 252 are formed through the gas distribution ring 230 to allow gases in the channel to pass through the gas distribution ring 230 .
  • the gas distribution ring 230 also includes a stepped counter bore 240 .
  • An upper portion of the stepped counter bore 240 is configured to receive a baffle disk 244 .
  • Each baffle disk 244 is circumscribed by a baffle seal 242 that provides a seal between the gas distribution ring 230 and a lower surface of the electrostatic chuck 104 .
  • the baffle seal 242 additionally sealingly circumscribes the outer gas feed 140 .
  • the seals 238 , 242 are fabricated from a high temperature elastomer, such as a perfluoroelastomer, one example of which is KALREZ®.
  • the feed holes 252 break into the stepped counter bore 240 to allow gases to pass from the annular channel 250 through the gas distribution ring 230 and eventually through the outer gas feed 140 of the electrostatic chuck 104 .
  • the baffle disk 244 is provided to prevent a direct line of sight exposure of grounded surfaces of the cathode 100 and the electrically charged substrate disposed on top of the electrostatic chuck 104 during processing.
  • the baffle disk 244 made from electrically non-conductive material such as a ceramic material, prevents electrical discharge (e.g., arcing) within the cathode 100 .
  • the baffle disk 244 is made of alumina (Al 2 O 3 ).
  • FIG. 3A the baffle disk 244 and gas distribution ring 230 are shown with the electrostatic chuck 104 removed.
  • the location of the outer gas feed 140 is shown in phantom.
  • FIG. 3B depicts the gas distribution ring 230 with the baffle disk 244 removed such that the head of the fastener 234 disposed in the lower region of the stepped bore 240 is shown.
  • the gas feed hole 252 formed through the gas distribution ring 230 is visible.
  • the gas feed hole 252 couples the stepped counter bore 240 with a gas source (not shown) with a passage 402 formed through the cooling base 102 , as shown in FIG. 4 .
  • the support ring 110 may be retained on a ledge 224 formed on the periphery of the gas distribution ring 230 .
  • the support ring 110 may be located in a groove formed in at least one of the electrostatic chuck 104 or cooling base 102 .
  • FIG. 5 depicts one embodiment of the baffle disk 244 .
  • the upper and lower surfaces of the baffle disk 244 respectively include cross channels 502 , 504 , formed therein to enhance gas flow around the baffle disk 244 .
  • the baffle disk 244 may also include notches 506 formed in the perimeter of the plate 244 to further enhance flow from the lower surface to the upper surface of the baffle disk 244 .
  • FIG. 6 is another partial cross-sectional view of the cathode 100 illustrating a baffle disk 244 utilized below the inner gas feed 142 .
  • the baffle disk 244 is retained in a stepped bore 602 , which is also utilized to retain a baffle seal 242 .
  • the baffle seal 242 provide a seal around the inner gas feed 142 .
  • one or more of the seals described herein may be replace by an E-seal 702 , such as shown in FIG. 7 .
  • the E-seal 702 may be fabricated from a flexible metal and configured to provide a high temperature seal when compressed between the cooling base 102 and electrostatic chuck 104 .
  • the E-seal 702 is fabricated from Ni-plated INCONEL® 718 material.
  • the E-seals 702 allow relative movement of the parts due to thermal expansion or contraction during heating and cooling while providing vacuum sealing of the area.
  • a lower region of the stepped bore 602 is coupled to a gas passage 604 utilized to couple the groove network 134 to a gas source (not shown) through the inner gas feed 142 .
  • the gas passage 604 and the inner gas feed 142 are offset to prevent line of sight alignment as discussed above. Additionally, the baffle disk 244 further obstructs the alignment between the feed 142 and passage 604 to provide an extra measure of protection without adversely affecting the flow of gas through the feed 142 from the passage 604 .
  • FIG. 8 depicts another embodiment of a cathode 800 .
  • the cathode 800 is substantially similar to the cathode 100 and includes a spreader plate 802 disposed in a recess 804 of a cooling base 102 .
  • Annular seals, shown as E-seals 702 may be provided on each side of the spreader plate 802 to isolate the spreader plate 802 from gas pressure changes due to inadvertent gas leakage within the cathode 800 .
  • the E-seals 702 may allow a portion of the gap 118 , for example the region containing the spreader plate 802 , to be selectively flooded with a heat transfer gas such as helium to assist in regulating the heat transfer between the cooling base 102 and electrostatic chuck 104 .
  • a heat transfer gas such as helium
  • the gap 118 between the bottom of the electrostatic 104 and the top of the cooling base 102 is configured to can accommodate a thin (e.g., about 0.020 to 0.060 inches) spreader plate 802 .
  • the spreader plate 802 is made of sturdy material with high thermal conductivity and high electrical resistivity (e.g., aluminum nitride, aluminum oxide, and the like).
  • the spreader plate 802 beneficially makes heat flow from the electrostatic chuck 104 to the cooling base 102 more uniform by “spreading” any thermal non-uniformities caused by local features in the electrostatic chuck 104 or the base 102 , such as backside He holes, or lift-pin holes, as well as caused by the heater 122 disposed in the chuck, imperfections with regard to the coolant channel pattern, and coolant temperature changes in the channel.
  • the spreader plate 802 also allows greater distance between the electrostatic chuck 104 and the cooling base 102 without danger of igniting secondary plasma in the gap between the chuck and the cooling base due to electrical discharge in the filling gas in the gap.
  • patent application Ser. No. 10/440,365 filed May 16, 2003, which is incorporated by reference in its entirety.
  • the interior surfaces of a plasma etch processing chamber such as in previously incorporated U.S. patent application Ser. Nos. 10/440,365 and 10/960,874 may be fabricated from, and/or coated with, a yttria comprising material. Examples of such yttria comprising surfaces include shields, process kits, wall liners, chamber walls, showerheads and gas delivery nozzles, among others.
  • the base 102 and chuck 104 do not touch, and that the stem 108 coupled to the chuck 104 only comes close to the base 102 proximate the seal 116 , such that the chuck and stem assembly essentially does not contact the base.
  • the gap 118 which in one embodiment, is filled with helium, reduces the heat flux to the cooling base 102 in order to keep the surface of the electrostatic chuck 104 at significantly higher temperature than the cooling base 102 .
  • a spreader plate 802 is utilized to reduce temperature non-uniformity, created by heater 122 due to a non-optimized heater power distribution and/or uneven cooling by the cooling base 102 .
  • the stem 106 is used to keep terminals of the electrostatic chuck 104 under atmospheric pressure in order to prevent arcing between terminals as well as between terminal and other parts.
  • the stem 106 is long enough to allow placement of the o-ring 116 at a distance sufficient to allow heat removal from the stem 106 to occur at a rate suitable to prevent damage to the stem 106 or chuck 104 , while reducing the temperature at the bottom of the stem 106 to a temperature below the melting point of the material of the o-ring 116 .
  • cathode suitable for high temperature plasma etching.
  • the cathode allows ceramic electrostatic chucks to operate at temperatures up to 450 degree Celsius in conjunction with cooling bases maintained in the range of about 20 to about 80 degree Celsius while preventing damage to cathode components due to thermal stress or exposure to high temperatures.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The present invention generally is a cathode suitable for use in high temperature plasma etch applications. In one embodiment, the cathode includes a ceramic electrostatic chuck secured to a base. The base has cooling conduits formed therein. A rigid support ring is disposed between the chuck and the base, thereby maintaining the chuck and the base in a spaced-apart relation.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit to U.S. Provisional Patent application Ser. No. 60/949,833, filed on Jul. 13, 2007.
  • BACKGROUND
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to semiconductor substrate processing systems. More specifically, the invention relates to a high temperature cathode suitable for plasma etching.
  • 2. Description of the Related Art
  • In semiconductor wafer processing, the trend towards increasingly smaller feature size and linewidths have placed a premium on the ability to mask, etch, and deposit material on a semiconductor workpiece, or wafer, with greater precision. Plasma etching is of particular importance in obtaining critical dimensions less than 1.0 micron.
  • Typically, plasma etching is accomplished by applying a RF power to a working gas supplied over a substrate held by a pedestal in a low pressure environment. The resulting electric field creates a reaction zone that excites the working gas into a plasma. Ions migrate towards the boundary of plasma, and accelerate upon leaving the boundary layer. The accelerated ions produce the energy required to remove, or etch, the target material, which generally is a layer of material disposed on the substrate.
  • In some plasma etch applications, it is desirable to maintain the substrate at temperatures in excess of 100 degrees Celsius, and up to about 400 degrees Celsius, during processing. Significant challenges in substrate support design must be overcome in order to successfully process substrates at such high temperatures. For example, the large differences in thermal expansion encountered between ceramic and metal components may result in damage to the ceramic component. Moreover, high temperature regions of the substrate support must be isolated from polymer seals generally utilized to prevent leakage between the internal regions of the substrate support, which is typically maintained at substantially ambient pressures, and the vacuum environment surrounding the substrate support. Furthermore, such challenges must be overcome while providing good control of the substrate temperature distribution across the diameter of the substrate. The inability to control substrate temperature uniformity has an adverse effect on process uniformity both within a single substrate and between substrates, device yield and overall quality of processed substrates.
  • Therefore, there is a need in the art for an improved substrate support suitable for use in high temperature plasma etch applications.
  • SUMMARY
  • The present invention generally is a cathode suitable for use in high temperature plasma etch applications. In one embodiment, the cathode includes a ceramic electrostatic chuck secured to a base. The base has cooling conduits formed therein. A rigid support ring is disposed between the chuck and the base, thereby maintaining the chuck and the base in a spaced-apart relation.
  • In at least one other embodiment, a cathode includes a gas distribution ring disposed between the base and chuck.
  • In at least one other embodiment, a cathode further includes a gas passage formed through the base and a gas feed formed through the chuck. The passage and feed are not aligned but fluidly coupled through a gas distribution ring to define a gas delivery path.
  • In at least one other embodiment, a ceramic baffle disk is disposed in the gas delivery path.
  • In at least one other embodiment, a cathode further includes an annular spreader plate disposed in a gap defined between the chuck and the base, wherein the annular spreader plate touches the base but not the chuck.
  • In at least one other embodiment, a clamp ring is utilized to secure the chuck to the base. The clamp ring includes a least two thermal chokes disposed in series between portions of the clamp ring touching the chuck and the base.
  • In at least one other embodiment, the cathode further includes a stem and a sleeve. The stem is coupled to the chuck and extends through the base. The sleeve is disposed through the stem such that a first gap defined between the stem and base is greater than a second gap defined between the stem and sleeve. A seal disposed between a lower end of the stem and the base to seal the first gap.
  • In at least one other embodiment, the base further includes a channel coupling the stem to the chuck and extending through the base. The channel vents the first gap through the base.
  • In another embodiment, a plasma processing cathode includes a base, a ceramic electrostatic chuck and rigid support ring maintaining a bottom of the electrostatic chuck and the base in a spaced-apart relation. The electrostatic chuck has a plurality of gas feeds extending from the bottom surface of the electrostatic chuck to a top surface the electrostatic chuck. A fluid distribution ring is disposed between the base and the electrostatic chuck. The fluid distribution ring is spaced from the base to define an annular channel therebetween. The fluid distribution ring includes a plurality of gas passages configured to direct gas through the fluid distribution ring from the channel to the electrostatic chuck. A plurality of ceramic baffles are disposed in the gas passages.
  • In yet another embodiment, a plasma processing cathode includes a base having cooling conduits formed therein, a ceramic electrostatic chuck secured to a top surface of the base, and a rigid support ring disposed between the electrostatic chuck and the base. The support ring maintains a lower surface of the electrostatic chuck spaced-apart from the top surface of the base. A flat annular spreader plate is disposed radially inward of the support ring in a gap defined between the lower surface of the electrostatic chuck and the upper surface of the base. A seal is provided to seal the electrostatic chuck to the base in a location outward of the spreader plate, the seal sealingly permitting radial movement of the electrostatic chuck relative to the plate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a sectional perspective view of one embodiment of a substrate support assembly suitable for use in a plasma etch chamber;
  • FIG. 2 is a partial sectional view of the substrate support assembly of FIG. 1 depicting one embodiment of a gas distribution ring;
  • FIG. 3A is a partial plan view of a portion of the gas distribution ring disposed on a cooling base, the gas distribution ring having a baffle disk covering a gas inlet formed through the ring;
  • FIG. 3B is a partial plan view of the gas distribution ring of FIG. 3A with the baffle disk removed to show the gas inlet;
  • FIG. 4 is another partial sectional view of the substrate support assembly of FIG. 1 through the gas distribution ring;
  • FIG. 5 is a perspective view of one embodiment of a baffle disk;
  • FIG. 6 is a partial sectional view of the substrate support assembly of FIG. 1 through an inner gas feed;
  • FIG. 7 is a partial sectional view of a substrate support assembly utilizing an E-seal between a cooling base and electrostatic chuck; and
  • FIG. 8 is a sectional perspective view of another embodiment of a substrate support assembly suitable for use in a plasma etch chamber.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • FIG. 1 is a sectional isometric view of one embodiment of a high temperature cathode 100 suitable for plasma etching. The cathode 100 may be advantageously utilized in plasma etch reactors, such as the AdvantEdge™ Etch reactor, available from Applied Materials, Inc., of Santa Clara, Calif., among other etch reactors, including suitable reactors available from other manufacturers.
  • FIG. 1 is one embodiment of a cathode 100. The cathode 100 generally includes an electrostatic chuck 104 secured to a cooling base 102. A stem 106 extends from a bottom of the electrostatic chuck 104. The stem 106 may be coupled to the electrostatic chuck 104 by braising or other suitable method. The stem 106 is generally fabricated from a conductive material such as stainless steel.
  • The electrostatic chuck 104 is supported above the cooling base 102 in a spaced-apart relation. In the embodiment depicted in FIG. 1, a support ring 110 is provided between the cooling base 102 and electrostatic chuck 104, such that a gap 118 is maintained between the underside of the electrostatic chuck 104 and the upper surface of the cooling base 102. The gap 118 limits the heat transfer between the electrostatic chuck 104 and cooling base 102. In one embodiment, the distance across the gap 118 between the electrostatic chuck 104 and cooling base 102 is about 0.025 to about 0.045 inches.
  • To further minimize heat transfer between the electrostatic chuck 104 and cooling base 102, the support ring 110 may be made of a material having a low coefficient of thermal conductivity relative to the base, such as titanium, among other materials. In other embodiments, the support ring 110 may be fabricated from hard anodized aluminum, high temperature plastics or other suitable material. In other embodiments, the support ring 110 is fabricated from a rigid material so that the dimension across the gap 118 is maintained while clamping the chuck 104 to the base 102. In the embodiment depicted in FIG. 1, the support ring 110 is fabricated from a rigid plastic, for example a polyimide such as VESPEL®.
  • In one embodiment, the support ring 110 touches less than 15 percent, for example, 10 percent, of the bottom surface of the electrostatic chuck 104. In the embodiment depicted in FIG. 1, the top or crown of the support ring 110 contacting the electrostatic chuck 104 is narrowed to provide a heat choke. Alternatively, the heat flow can be restricted through the ring 110 by choking heat transfer at the bottom of the support ring 110 by means of decreasing contact area between the ring 110 and the cooling base 102 (“reverse crown”).
  • The cooling base 102 is fabricated from a material having good heat transfer, for example, a metal such as stainless steel or aluminum. The cooling base 102 includes one or more fluid conduits 152 formed therein. The conduits 152 are coupled to a fluid source such that the temperature of the cooling base 102 may be selectively heated or cooled. Examples of cooling bases having conduits formed therein to regulate temperature thereof as described in U.S. patent application Ser. No. 10/960,874 filed Oct. 7, 2004, which is hereby incorporated by reference in its entirety.
  • The cooling base 102 also includes a cylinder 150 extending from the lower surface of the cooling base 102. An inside diameter 154 of the cylinder 150 is configured such that a gap 112 is maintained between the cooling base 102 and the stem 106. The lower end of the cylinder 150 includes an inwardly-extending lip 156 which accommodates a gland that secures an o-ring 116. The o-ring 116 provides a pressure barrier between the cooling base 102 and the stem 106.
  • A shield 108 is utilized to manage the temperature of the stem 106 so that heat passing from the electrostatic chuck 104 does not damage the o-ring 116. The shield 108 increases amount of heat transfer from the stem 106 by about two times. The shield 108 includes a flange 162 and a sleeve 160. The sleeve 160 fits inside the stem 106, such that the heat transfer from the stem 106 is predominantly to the sleeve 160. The sleeve 160 may have a close fit to the stem 106, or have a gap defined therebetween which is less than the gap 112 defined between the stem 106 and the inside diameter 154 of the cooling base 102. The shield 108 provides enough thermal sink to allow the electrostatic chuck 104 to be operated at temperatures in excess of 300 degrees Celsius without damaging the seal 116.
  • The heat removal from the shaft 106 may be conducted by radiation and conduction. The heat removal has to be limited in order to prevent damage to the electrostatic chuck 104 due to high thermal stresses in the ceramic chuck material. Potentially, the gap 112 between the shaft 106 and the cooling base 102 may be filled with helium supplied for substrate cooling due to seal leakage. The pressure of the helium in the gap 112 will change significantly during the process cycle, which can lead to an additional repeating thermal stress and breakdown of the shaft 106. In order to evacuate helium leaked into the gap 112 and prevent unpredictable heat transfer from the shaft 106 to the cooling base 102, the gap 112 may be connected to the chamber by a small channel 192, thereby dumping any helium that may be present in the gap 112 into the chamber in which the cathode is installed. The channel 192 may include a sintered ceramic plug 194 to prevent arcing in the channel 192. Although the channel 192 is shown through the cylinder 150, the channel 192 may be formed in other locations, for example, through the main portion of the base 102 above the conduits 152.
  • The electrostatic chuck 104 is typically fabricated from aluminum nitride or other suitable material. The electrostatic chuck 104 includes a resistive heater 122 and at least one chucking electrode 120. In one embodiment, the heater 122 is disposed in the middle of the electrostatic chuck 104, while the chucking electrode 120 is disposed between the heater 122 and the upper surface 130. The chucking electrode 120 is also provided with RF power to sustain a plasma within a processing chamber during etching. Power to the chucking electrode 120 and the heater 122 are generally provided through electrical feeds 124, 126 which extend through the hollow interior of the stem 106 and shield 108 to facilitate coupling of the chucking electrode 120 and heater 122 to power sources not shown.
  • A secondary electrode 128 may be disposed below the chucking electrode 120. The outer edge of the secondary electrode 128 extends beyond the outside edge of the chucking electrode 120. Since the upper surface 130 of the electrostatic chuck 104 is smaller than the substrate fixed on top of the chuck to prevent damage to the chuck by plasma at the edge of the substrate during processing, the electrical field at the edge of the substrate may be distorted and provide a so-called “tilted” etch profile. The secondary electrode 128 is connected to the main RF terminal as the chucking electrode 120 and is provided with generally same electrical potential during etch process. The secondary electrode 128 may also be utilized to prevent and/or remove material deposited on a process kit (e.g., a process ring) supported on a ledge 190 outward and below the upper surface 130 of the electrostatic chuck 104.
  • The upper surface 130 of the electrostatic chuck 104 generally includes a plurality of mesas 132 separated by a groove network 134. The mesas may include surface features 144, such as bumps, projections, embossments, texture and the like, which are utilized to tailor heat transfer and chucking characteristics of the surface 130. Helium or other suitable heat transfer gas is provided to the groove network 134 through an inner gas feed 140 formed through the electrostatic chuck 104.
  • The upper surface 130 of the electrostatic chuck 104 additionally includes an outer peripheral channel 136 which is separated from the groove network 134 by an annular ridge 138. Helium or other suitable heat transfer gas is provided to the outer peripheral channel 136 by an outer gas feed 140 so that the gases delivered to the groove network 134 and the outer peripheral channel 136 may be independently controlled. Optionally, one or more gas feeds 140, 142 may be provided to provide a desired distribution of gas in the groove network 134 and outer peripheral channel 136. In the embodiment depicted in FIG. 1, one inner gas feed 142 and twelve equally spaced outer gas feeds 140 are formed through the electrostatic chuck 104. Although only one is shown, a plurality of lift pin holes 146 are formed through the cooling base 102 and electrostatic chuck 104.
  • Optionally, a second annular outer channel (not shown) may be disposed adjacent the outer peripheral channel 136. The second annular outer channel may be utilized to collect contaminants and to decrease the contamination of the rest of chuck surface, thereby increasing chucking performance.
  • FIG. 2 depicts a partial cross-sectional view of one embodiment of the clamp ring 114 of the cathode 100. The clamp ring 114 is fabricated from a rigid material, such as anodized aluminum, titanium, or other suitable material. The material of the clamp ring 114 may be selected to have a low thermal conductivity so that heat transfer between the electrostatic chuck 104 and base 102 is minimized. The clamp ring 114 generally includes an annular body 202 having a flange 204 extending inwardly therefrom. The distal end of the flange 204 includes a downwardly extending lip 206. The flange 204 and lip 206 are dimensioned such that the lip 206 contacts a mounting flange 212 extending radially outward below the ledge 190 of the electrostatic chuck 104 when clamped.
  • In one embodiment, the clamp ring 114 may be configured to minimize the heat transfer between the electrostatic chuck 104 and the clamp ring 114, thereby preventing or minimizing the formation of lateral temperature gradients in the chuck 104. In one embodiment, the lip 206 may be segmented around the circumference of the flange 204 to minimize the contact between the clamp ring 114 and the electrostatic chuck 104. In another embodiment, the flange 204 may include a region of reduced cross-section as to create a thermal choke between the lip 206 and the body 202, thereby limiting heat transfer therebetween. In another embodiment, a thermal insulation ring 210 may be provided between the lip 206 and the mounting flange 212. The insulation ring 210 may be fabricated from a material having a coefficient of thermal conductivity less than at least one of or both of the electrostatic chuck 104 and ring 114.
  • The body 202 includes a threaded hole 216 configured to accept a fastener 222. The fastener 222 extends through a clearance hole 218 formed through the cooling base 102. The clearance hole 218 has a diameter sufficient to accommodate differences in thermal expansion between the clamp ring 114 and the cooling base 102 while fastened. One or more washers 220 are utilized to prevent the head of the fastener 222 from extending or binding in the clearance hole 218. A counter bore 226 is provided through the cooling base 202 to facilitate access to the fastener 222. The fastener 222 and washers 220 may be fabricated from a suitable material, and in one embodiment, are fabricated from at nickel alloy, such as HASTELLOY®. In one embodiment, springs (not shown) may be disposed between the head of the fastener 222 and cooling base 102 to bias the clamp ring 114 against the electrostatic chuck 104.
  • The body 202 may additionally include a ridge 208 extending from a lower surface thereof. The ridge 208 maintains the body 202 in a spaced-apart relation relative to an upper surface 214 of the cooling base 102. The ridge 208 provides a thermal choke between the body 202 and the cooling base 102, such that heat transfer from the periphery of the electrostatic chuck 104 to the cooling base 102 through the clamp ring 114 is minimized. Optionally, the ridge 208 may be segmented into discrete portions to further limit the heat transfer between the body 202 and the cooling base 102.
  • Also depicted in FIG. 2 is a gas distribution ring 230. The gas distribution ring is configured to provide gas to the outer gas feeds 140. The gas distribution ring 230 is disposed in a stepped recess 232 formed in the upper surface 214 of the cooling base 102. A plurality of fasteners 234 are arranged to engage a threaded hole 236 formed in the cooling base 102 to secure the gas distribution ring 230. A plurality of inner and outer ring seals 238 are provided between the gas distribution ring 230 and the base 102 and the stepped recess 232 of the base 102.
  • A bottom 254 of gas distribution ring 230 is maintained in a spaced-apart relation with a bottom 256 of the stepped recess 232, there by defining an annular channel 250 into which gas is feed through the base 102. One or more feed holes 252 are formed through the gas distribution ring 230 to allow gases in the channel to pass through the gas distribution ring 230.
  • The gas distribution ring 230 also includes a stepped counter bore 240. An upper portion of the stepped counter bore 240 is configured to receive a baffle disk 244. Each baffle disk 244 is circumscribed by a baffle seal 242 that provides a seal between the gas distribution ring 230 and a lower surface of the electrostatic chuck 104. The baffle seal 242 additionally sealingly circumscribes the outer gas feed 140. In one embodiment, the seals 238, 242 are fabricated from a high temperature elastomer, such as a perfluoroelastomer, one example of which is KALREZ®. In one embodiment, the feed holes 252 break into the stepped counter bore 240 to allow gases to pass from the annular channel 250 through the gas distribution ring 230 and eventually through the outer gas feed 140 of the electrostatic chuck 104.
  • Referring additionally to FIGS. 3A and 3B, the baffle disk 244 is provided to prevent a direct line of sight exposure of grounded surfaces of the cathode 100 and the electrically charged substrate disposed on top of the electrostatic chuck 104 during processing. The baffle disk 244, made from electrically non-conductive material such as a ceramic material, prevents electrical discharge (e.g., arcing) within the cathode 100. In one embodiment, the baffle disk 244 is made of alumina (Al2O3).
  • In the embodiment depicted in FIG. 3A, the baffle disk 244 and gas distribution ring 230 are shown with the electrostatic chuck 104 removed. The location of the outer gas feed 140 is shown in phantom. FIG. 3B depicts the gas distribution ring 230 with the baffle disk 244 removed such that the head of the fastener 234 disposed in the lower region of the stepped bore 240 is shown. With the baffle disk 244 removed in FIG. 3B, the gas feed hole 252 formed through the gas distribution ring 230 is visible. The gas feed hole 252 couples the stepped counter bore 240 with a gas source (not shown) with a passage 402 formed through the cooling base 102, as shown in FIG. 4.
  • As also illustrated in FIGS. 2 and 4, the support ring 110 may be retained on a ledge 224 formed on the periphery of the gas distribution ring 230. Alternatively, the support ring 110 may be located in a groove formed in at least one of the electrostatic chuck 104 or cooling base 102.
  • FIG. 5 depicts one embodiment of the baffle disk 244. In the embodiment depicted in FIG. 5, the upper and lower surfaces of the baffle disk 244 respectively include cross channels 502, 504, formed therein to enhance gas flow around the baffle disk 244. The baffle disk 244 may also include notches 506 formed in the perimeter of the plate 244 to further enhance flow from the lower surface to the upper surface of the baffle disk 244.
  • FIG. 6 is another partial cross-sectional view of the cathode 100 illustrating a baffle disk 244 utilized below the inner gas feed 142. The baffle disk 244 is retained in a stepped bore 602, which is also utilized to retain a baffle seal 242. The baffle seal 242 provide a seal around the inner gas feed 142. Optionally, one or more of the seals described herein may be replace by an E-seal 702, such as shown in FIG. 7. The E-seal 702 may be fabricated from a flexible metal and configured to provide a high temperature seal when compressed between the cooling base 102 and electrostatic chuck 104. In one embodiment, the E-seal 702 is fabricated from Ni-plated INCONEL® 718 material. The E-seals 702 allow relative movement of the parts due to thermal expansion or contraction during heating and cooling while providing vacuum sealing of the area.
  • Returning to FIG. 6, a lower region of the stepped bore 602 is coupled to a gas passage 604 utilized to couple the groove network 134 to a gas source (not shown) through the inner gas feed 142. As illustrated in FIG. 6, the gas passage 604 and the inner gas feed 142 are offset to prevent line of sight alignment as discussed above. Additionally, the baffle disk 244 further obstructs the alignment between the feed 142 and passage 604 to provide an extra measure of protection without adversely affecting the flow of gas through the feed 142 from the passage 604.
  • FIG. 8 depicts another embodiment of a cathode 800. The cathode 800 is substantially similar to the cathode 100 and includes a spreader plate 802 disposed in a recess 804 of a cooling base 102. Annular seals, shown as E-seals 702, may be provided on each side of the spreader plate 802 to isolate the spreader plate 802 from gas pressure changes due to inadvertent gas leakage within the cathode 800. Alternatively, the E-seals 702 may allow a portion of the gap 118, for example the region containing the spreader plate 802, to be selectively flooded with a heat transfer gas such as helium to assist in regulating the heat transfer between the cooling base 102 and electrostatic chuck 104.
  • In one embodiment, the gap 118 between the bottom of the electrostatic 104 and the top of the cooling base 102 is configured to can accommodate a thin (e.g., about 0.020 to 0.060 inches) spreader plate 802. The spreader plate 802 is made of sturdy material with high thermal conductivity and high electrical resistivity (e.g., aluminum nitride, aluminum oxide, and the like). The spreader plate 802 beneficially makes heat flow from the electrostatic chuck 104 to the cooling base 102 more uniform by “spreading” any thermal non-uniformities caused by local features in the electrostatic chuck 104 or the base 102, such as backside He holes, or lift-pin holes, as well as caused by the heater 122 disposed in the chuck, imperfections with regard to the coolant channel pattern, and coolant temperature changes in the channel. The spreader plate 802 also allows greater distance between the electrostatic chuck 104 and the cooling base 102 without danger of igniting secondary plasma in the gap between the chuck and the cooling base due to electrical discharge in the filling gas in the gap. One example of a spreader plate which may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 10/440,365, filed May 16, 2003, which is incorporated by reference in its entirety. The interior surfaces of a plasma etch processing chamber, such as in previously incorporated U.S. patent application Ser. Nos. 10/440,365 and 10/960,874 may be fabricated from, and/or coated with, a yttria comprising material. Examples of such yttria comprising surfaces include shields, process kits, wall liners, chamber walls, showerheads and gas delivery nozzles, among others.
  • In operation within a plasma etch reactor, heat produced by the heater 122 embedded in the electrostatic chuck 104 and heat gained by the chuck 104 from plasma is rejected to the cooling base 102 through the gap 118 and gap 112. In at least one embodiment, the base 102 and chuck 104 do not touch, and that the stem 108 coupled to the chuck 104 only comes close to the base 102 proximate the seal 116, such that the chuck and stem assembly essentially does not contact the base. The gap 118, which in one embodiment, is filled with helium, reduces the heat flux to the cooling base 102 in order to keep the surface of the electrostatic chuck 104 at significantly higher temperature than the cooling base 102. In some embodiments, a spreader plate 802 is utilized to reduce temperature non-uniformity, created by heater 122 due to a non-optimized heater power distribution and/or uneven cooling by the cooling base 102. The stem 106 is used to keep terminals of the electrostatic chuck 104 under atmospheric pressure in order to prevent arcing between terminals as well as between terminal and other parts. The stem 106 is long enough to allow placement of the o-ring 116 at a distance sufficient to allow heat removal from the stem 106 to occur at a rate suitable to prevent damage to the stem 106 or chuck 104, while reducing the temperature at the bottom of the stem 106 to a temperature below the melting point of the material of the o-ring 116.
  • Thus, embodiments of a cathode suitable for high temperature plasma etching have been provided. The cathode allows ceramic electrostatic chucks to operate at temperatures up to 450 degree Celsius in conjunction with cooling bases maintained in the range of about 20 to about 80 degree Celsius while preventing damage to cathode components due to thermal stress or exposure to high temperatures.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A plasma processing cathode comprising:
a base having cooling conduits formed therein;
a ceramic electrostatic chuck secured to the base; and
a rigid support ring disposed between the electrostatic chuck and the base, the support ring maintaining the electrostatic chuck to the base in a spaced-apart relation.
2. The cathode of claim 1 further comprising a gas distribution ring disposed between the base and chuck.
3. The cathode of claim 2 further comprising:
a gas passage formed through the base; and
a gas feed formed through the electrostatic chuck, wherein the passage and feed are not aligned but fluidly coupled through the gas distribution ring to define a gas delivery path, wherein gas delivery path extends through the gas distribution ring.
4. The cathode of claim 3 further comprising:
a ceramic baffle disk disposed in the gas delivery path.
5. The cathode of claim 4, wherein the gas distribution ring further comprises:
a bore having the baffle disk disposed therein.
6. The cathode of claim 1 further comprising:
a gas passage formed through the base;
a gas feed formed through the electrostatic chuck, wherein the passage and feed are not aligned but fluidly coupled through the gas distribution ring to define a gas delivery path; and
a ceramic baffle disk disposed in the gas delivery path.
7. The cathode of claim 1 further comprising:
a flat annular spreader plate disposed in a gap defined between the electrostatic chuck and the base.
8. The cathode of claim 7, wherein the annular spreader plate is in contact with the base and spaced-apart from the electrostatic chuck.
9. The cathode of claim 1 further comprising:
a clamp ring securing the electrostatic chuck to the base, the clamp ring having at least two thermal chokes disposed in series between portions of the clamp ring touching the electrostatic chuck and the base.
10. The cathode of claim 1 further comprising:
a stem coupled to the electrostatic chuck and extending through the base;
a sleeve disposed through the stem, wherein a first gap defined between the stem and base is greater than a second gap defined between the stem and sleeve; and
a seal disposed between a lower end of the stem and the base, the seal sealing the first gap.
11. The cathode of claim 10, wherein the base further comprises:
a channel coupling the stem coupled to the electrostatic chuck and extending through the base, the channel venting the first gap through the base.
12. A plasma processing cathode comprising:
a base having cooling conduits formed therein;
a ceramic electrostatic chuck disposed on the base, the electrostatic chuck having a plurality of gas feeds extending from a bottom surface of the electrostatic chuck facing the base to a top surface the electrostatic chuck;
a rigid support ring disposed between the electrostatic chuck and the base, the support ring maintaining the bottom of the electrostatic chuck and the base in a spaced-apart relation;
a fluid distribution ring disposed between the base and the electrostatic chuck, a bottom of the fluid distribution ring spaced from the base to define an annular channel, the fluid distribution ring having a plurality of gas passages configured to direct gas through the fluid distribution ring from the channel to the electrostatic chuck; and
ceramic baffles disposed in the gas passages.
13. The cathode of claim 12, wherein at least one of the ceramic baffles further comprises:
a disk-like body having upper and lower surfaces; and
cross channels formed in the upper and lower surfaces.
14. The cathode of claim 12, wherein at least one of the ceramic baffle further comprises:
a disk-like body having a perimeter; and
notches formed in the perimeter.
15. The cathode of claim 12 further comprising:
an annular spreader plate disposed in a gap defined between the electrostatic chuck and the base, wherein the annular spreader plate is in contact with the base and spaced-apart from the electrostatic chuck.
16. The cathode of claim 12 further comprising:
a stem coupled to the electrostatic chuck and extending through the base;
a sleeve disposed through the stem, wherein a first gap defined between the stem and base is greater than a second gap defined between the stem and sleeve; and
a seal disposed between a lower end of the stem and the base, the seal sealing the first gap.
17. A plasma processing cathode comprising:
a base having cooling conduits formed therein;
a ceramic electrostatic chuck secured to a top surface of the base;
a rigid support ring disposed between the electrostatic chuck and the base, the support ring maintaining a lower surface of the electrostatic chuck spaced-apart from the top surface of the base;
a flat annular spreader plate disposed radially inward of the support ring in a gap defined between the lower surface of the electrostatic chuck and the upper surface of the base; and
a seal providing seal between the electrostatic chuck and the base outward of the spreader plate, the seal sealingly permitting radial movement of the electrostatic chuck relative to the plate.
18. The cathode of claim 17 further comprising:
a gas passage formed through the base;
a gas feed formed through the electrostatic chuck, wherein the passage and feed are not aligned but fluidly coupled through the gas distribution ring to define a gas delivery path, and
a ceramic baffle disk disposed in the gas delivery path.
19. The cathode of claim 17 further comprising:
a stem coupled to the electrostatic chuck and extending through a cylinder in the base, an inside diameter of the cylinder configured to maintain a first gap between the stem and the base;
a sleeve disposed through the stem, wherein the first gap is greater than a second gap defined between the stem and sleeve; and
a seal disposed between a lower end of the stem and the base, the seal sealing the first gap.
20. The cathode of claim 17 further comprising:
a fluid distribution ring disposed between the base and the electrostatic chuck, a bottom of the fluid distribution ring spaced from the base to define an annular channel, the fluid distribution ring comprising:
a stepped bore formed on a top surface facing the electrostatic chuck, the bore receiving the baffle therein;
a gas passage having a first end breaking into the stepped bore and a second end breaking through the bottom of the fluid distribution ring and exposed to the annular channel.
US12/171,556 2007-07-13 2008-07-11 High temperature cathode for plasma etching Abandoned US20090014323A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/171,556 US20090014323A1 (en) 2007-07-13 2008-07-11 High temperature cathode for plasma etching

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94983307P 2007-07-13 2007-07-13
US12/171,556 US20090014323A1 (en) 2007-07-13 2008-07-11 High temperature cathode for plasma etching

Publications (1)

Publication Number Publication Date
US20090014323A1 true US20090014323A1 (en) 2009-01-15

Family

ID=39917440

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/171,556 Abandoned US20090014323A1 (en) 2007-07-13 2008-07-11 High temperature cathode for plasma etching

Country Status (6)

Country Link
US (1) US20090014323A1 (en)
EP (1) EP2015343A3 (en)
JP (1) JP5660753B2 (en)
KR (1) KR101110934B1 (en)
CN (1) CN101419907B (en)
TW (1) TWI430359B (en)

Cited By (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130001076A1 (en) * 2009-09-24 2013-01-03 Tokyo Electron Limited Mounting table structure and plasma film forming apparatus
US20130286533A1 (en) * 2012-04-27 2013-10-31 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus
US20160148828A1 (en) * 2014-11-21 2016-05-26 Varian Semiconductor Equipment Associates, Inc. Detachable high-temperature electrostatic chuck assembly
WO2017024127A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US20170092472A1 (en) * 2015-09-25 2017-03-30 Tokyo Electron Limited Mounting table and plasma processing apparatus
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2018097888A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Ceramic electrostatic chuck having a v-shaped seal band
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US20190237353A1 (en) * 2018-02-01 2019-08-01 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2019241591A1 (en) * 2018-06-15 2019-12-19 Mars, Incorporated Screening methods using gprc6a taste receptors and pet food products and compositions prepared using the same
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
TWI693624B (en) * 2015-09-25 2020-05-11 日商東京威力科創股份有限公司 Mounting stage and plasma processing device
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10784139B2 (en) 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
WO2020219304A1 (en) * 2019-04-22 2020-10-29 Lam Research Corporation Electrostatic chuck with spatially tunable rf coupling to a wafer
CN112002668A (en) * 2020-08-26 2020-11-27 北京北方华创微电子装备有限公司 Electrostatic chuck assembly in semiconductor processing equipment and semiconductor processing equipment
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10872800B2 (en) 2014-10-17 2020-12-22 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10903094B2 (en) 2015-05-19 2021-01-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US20210202219A1 (en) * 2019-12-27 2021-07-01 Tokyo Electron Limited Stage, substrate processing apparatus, and heat transfer gas supply method
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11225655B2 (en) 2010-04-16 2022-01-18 Nuevolution A/S Bi-functional complexes and methods for making and using such complexes
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US11315759B2 (en) 2019-02-08 2022-04-26 Hitachi High-Tech Corporation Plasma processing apparatus
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11384838B2 (en) 2016-09-09 2022-07-12 Applied Materials, Inc. Seal member
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11466365B2 (en) * 2018-07-06 2022-10-11 Tokyo Electron Limited Film-forming apparatus
US11527429B2 (en) 2016-03-04 2022-12-13 Applied Materials, Inc. Substrate support assembly for high temperature processes
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
KR102044389B1 (en) * 2012-10-04 2019-11-14 세메스 주식회사 Substrate supporting unit and substrate treating apparatus including the unit
CN103794527B (en) * 2012-10-30 2016-08-24 中微半导体设备(上海)有限公司 Electrostatic chuck heating means
US9668373B2 (en) * 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
CN105575871B (en) * 2014-10-27 2019-04-23 北京北方华创微电子装备有限公司 Bogey and reaction chamber
CN104538341B (en) * 2014-12-17 2017-06-27 中国地质大学(北京) A kind of vacuum chamber electrostatic chuck adjusting means
CN106935529B (en) * 2015-12-31 2020-03-24 中微半导体设备(上海)股份有限公司 Substrate supporting table and manufacturing method thereof
JP6127191B1 (en) * 2016-10-03 2017-05-10 株式会社メルビル Sample holder
CN106531601B (en) * 2016-10-31 2018-03-20 中国电子科技集团公司第四十八研究所 A kind of work stage for ion bean etcher
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
CN111670491A (en) 2018-01-31 2020-09-15 朗姆研究公司 Electrostatic chuck (ESC) pedestal voltage isolation
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102345663B1 (en) * 2018-04-05 2021-12-29 램 리써치 코포레이션 Electrostatic chucks with coolant gas zones and corresponding groove and monopolar electrostatic clamping electrode patterns
JP7209515B2 (en) 2018-11-27 2023-01-20 東京エレクトロン株式会社 Substrate holding mechanism and deposition equipment
KR102632472B1 (en) * 2019-08-13 2024-02-02 주식회사 원익아이피에스 Substrate support fixture and substrate processing apparatus using the same
JP7437187B2 (en) 2020-02-26 2024-02-22 Jswアクティナシステム株式会社 Levitation conveyance device and laser processing device

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4065918A (en) * 1973-02-12 1978-01-03 Ethyl Corporation Exhaust systems
EP0668607A1 (en) * 1994-02-22 1995-08-23 Applied Materials, Inc. Erosion resistant electrostatic chuck
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5688331A (en) * 1993-05-27 1997-11-18 Applied Materisls, Inc. Resistance heated stem mounted aluminum susceptor assembly
US5882417A (en) * 1990-07-16 1999-03-16 Novellus Systems, Inc. Apparatus for preventing deposition on frontside peripheral region and edge of wafer in chemical vapor deposition apparatus
US6033478A (en) * 1996-11-05 2000-03-07 Applied Materials, Inc. Wafer support with improved temperature control
US6129046A (en) * 1996-03-15 2000-10-10 Anelva Corporation Substrate processing apparatus
US6414834B1 (en) * 1996-04-26 2002-07-02 Applied Materials, Inc. Dielectric covered electrostatic chuck
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US20040226515A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Heat transfer assembly
US20060076108A1 (en) * 2004-10-07 2006-04-13 John Holland Method and apparatus for controlling temperature of a substrate
US20070139856A1 (en) * 2004-10-07 2007-06-21 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20080220596A1 (en) * 2005-08-30 2008-09-11 Advanced Technology Materials, Inc. Delivery of Low Pressure Dopant Gas to a High Voltage Ion Source

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3374033B2 (en) * 1997-02-05 2003-02-04 東京エレクトロン株式会社 Vacuum processing equipment
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6080272A (en) * 1998-05-08 2000-06-27 Micron Technology, Inc. Method and apparatus for plasma etching a wafer
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
EP1098354A2 (en) * 1999-11-08 2001-05-09 Applied Materials, Inc. Apparatus for controlling temperature in a semiconductor processing system
KR20010062209A (en) * 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
JP4421874B2 (en) * 2003-10-31 2010-02-24 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR20060079332A (en) * 2004-12-30 2006-07-06 동부일렉트로닉스 주식회사 Semiconductor wafer esc with preventing ring for cooling gas leakage
JP2007042958A (en) * 2005-08-05 2007-02-15 Sumitomo Electric Ind Ltd Wafer holder for wafer prober and wafer prober mounted with same

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4065918A (en) * 1973-02-12 1978-01-03 Ethyl Corporation Exhaust systems
US5882417A (en) * 1990-07-16 1999-03-16 Novellus Systems, Inc. Apparatus for preventing deposition on frontside peripheral region and edge of wafer in chemical vapor deposition apparatus
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5688331A (en) * 1993-05-27 1997-11-18 Applied Materisls, Inc. Resistance heated stem mounted aluminum susceptor assembly
EP0668607A1 (en) * 1994-02-22 1995-08-23 Applied Materials, Inc. Erosion resistant electrostatic chuck
US6129046A (en) * 1996-03-15 2000-10-10 Anelva Corporation Substrate processing apparatus
US6414834B1 (en) * 1996-04-26 2002-07-02 Applied Materials, Inc. Dielectric covered electrostatic chuck
US6033478A (en) * 1996-11-05 2000-03-07 Applied Materials, Inc. Wafer support with improved temperature control
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
US20040226515A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Heat transfer assembly
US20070079761A1 (en) * 2003-05-16 2007-04-12 Applied Materials, Inc. Heat transfer assembly
US20060076108A1 (en) * 2004-10-07 2006-04-13 John Holland Method and apparatus for controlling temperature of a substrate
US20070139856A1 (en) * 2004-10-07 2007-06-21 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20080220596A1 (en) * 2005-08-30 2008-09-11 Advanced Technology Materials, Inc. Delivery of Low Pressure Dopant Gas to a High Voltage Ion Source

Cited By (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324600B2 (en) * 2009-09-24 2016-04-26 Tokyo Electron Limited Mounting table structure and plasma film forming apparatus
US20130001076A1 (en) * 2009-09-24 2013-01-03 Tokyo Electron Limited Mounting table structure and plasma film forming apparatus
US11225655B2 (en) 2010-04-16 2022-01-18 Nuevolution A/S Bi-functional complexes and methods for making and using such complexes
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130286533A1 (en) * 2012-04-27 2013-10-31 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus
US9438140B2 (en) * 2012-04-27 2016-09-06 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
KR102391608B1 (en) 2013-07-03 2022-04-27 램 리써치 코포레이션 Deposition apparatus including an isothermal processing zone
KR20210072745A (en) * 2013-07-03 2021-06-17 램 리써치 코포레이션 Deposition apparatus including an isothermal processing zone
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10872800B2 (en) 2014-10-17 2020-12-22 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US9666467B2 (en) * 2014-11-21 2017-05-30 Varian Semiconductor Equipment Associates, Inc. Detachable high-temperature electrostatic chuck assembly
US20160148828A1 (en) * 2014-11-21 2016-05-26 Varian Semiconductor Equipment Associates, Inc. Detachable high-temperature electrostatic chuck assembly
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10903094B2 (en) 2015-05-19 2021-01-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US11742225B2 (en) 2015-05-19 2023-08-29 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate
US11217462B2 (en) 2015-08-06 2022-01-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
WO2017024127A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170092472A1 (en) * 2015-09-25 2017-03-30 Tokyo Electron Limited Mounting table and plasma processing apparatus
TWI693624B (en) * 2015-09-25 2020-05-11 日商東京威力科創股份有限公司 Mounting stage and plasma processing device
US10515786B2 (en) * 2015-09-25 2019-12-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
US11527429B2 (en) 2016-03-04 2022-12-13 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US11384838B2 (en) 2016-09-09 2022-07-12 Applied Materials, Inc. Seal member
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
WO2018097888A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Ceramic electrostatic chuck having a v-shaped seal band
US10943808B2 (en) 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
US10784139B2 (en) 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US20190237353A1 (en) * 2018-02-01 2019-08-01 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
US11232966B2 (en) * 2018-02-01 2022-01-25 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
WO2019152313A1 (en) * 2018-02-01 2019-08-08 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019241591A1 (en) * 2018-06-15 2019-12-19 Mars, Incorporated Screening methods using gprc6a taste receptors and pet food products and compositions prepared using the same
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11466365B2 (en) * 2018-07-06 2022-10-11 Tokyo Electron Limited Film-forming apparatus
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11315759B2 (en) 2019-02-08 2022-04-26 Hitachi High-Tech Corporation Plasma processing apparatus
WO2020219304A1 (en) * 2019-04-22 2020-10-29 Lam Research Corporation Electrostatic chuck with spatially tunable rf coupling to a wafer
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
US11728145B2 (en) * 2019-12-27 2023-08-15 Tokyo Electron Limited Stage and substrate processing apparatus
US20210202219A1 (en) * 2019-12-27 2021-07-01 Tokyo Electron Limited Stage, substrate processing apparatus, and heat transfer gas supply method
CN112002668A (en) * 2020-08-26 2020-11-27 北京北方华创微电子装备有限公司 Electrostatic chuck assembly in semiconductor processing equipment and semiconductor processing equipment

Also Published As

Publication number Publication date
EP2015343A3 (en) 2010-08-11
KR20090007243A (en) 2009-01-16
TW200913054A (en) 2009-03-16
JP2009021592A (en) 2009-01-29
TWI430359B (en) 2014-03-11
KR101110934B1 (en) 2012-03-16
CN101419907A (en) 2009-04-29
JP5660753B2 (en) 2015-01-28
CN101419907B (en) 2012-01-04
EP2015343A2 (en) 2009-01-14

Similar Documents

Publication Publication Date Title
US20090014323A1 (en) High temperature cathode for plasma etching
KR100945608B1 (en) Process kit for substrate processing chamber
CN107578976B (en) Shower head with detachable gas distribution plate
US10804081B2 (en) Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US8449679B2 (en) Temperature controlled hot edge ring assembly
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
US10648071B2 (en) Process kit having a floating shadow ring
KR101541202B1 (en) Showerhead electrode assemblies for plasma processing apparatuses
KR100807136B1 (en) Coupling ring assembly comprising electrostatic edge ring chuck useful in plasma chamber and method of treating semiconductor substrate using plasma chamber
US9490150B2 (en) Substrate support for substrate backside contamination control
US11894255B2 (en) Sheath and temperature control of process kit
CN109155275B (en) Workpiece carrier for high power with enhanced edge sealing
WO2021257225A1 (en) High temperature face plate for deposition application
CN114946009A (en) Metal oxide pre-clean chamber with improved selectivity and flow conductivity
US20220293397A1 (en) Substrate edge ring that extends process environment beyond substrate diameter
CN114144861B (en) Shell and temperature control for process kit
TW202326797A (en) Replaceable electrostatic chuck outer ring for edge arcing mitigation
WO2024035589A1 (en) Vacuum seal for electrostatic chuck
TW202209395A (en) Cooled edge ring with integrated seals

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MATYUSHKIN, ALEXANDER;KOOSAU, DENIS;EGAMI, GLEN;REEL/FRAME:021225/0762

Effective date: 20080627

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:YENDLER, BORIS;REEL/FRAME:021607/0438

Effective date: 20080703

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION