US20090004836A1 - Plasma doping with enhanced charge neutralization - Google Patents

Plasma doping with enhanced charge neutralization Download PDF

Info

Publication number
US20090004836A1
US20090004836A1 US11/771,190 US77119007A US2009004836A1 US 20090004836 A1 US20090004836 A1 US 20090004836A1 US 77119007 A US77119007 A US 77119007A US 2009004836 A1 US2009004836 A1 US 2009004836A1
Authority
US
United States
Prior art keywords
waveform
bias voltage
plasma
substrate
plasma doping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/771,190
Inventor
Vikram Singh
Timothy Miller
Bernard Lindsay
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Priority to US11/771,190 priority Critical patent/US20090004836A1/en
Assigned to VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. reassignment VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SINGH, VIKRAM, LINDSAY, BERNARD, MILLER, TIMOTHY
Priority to US12/098,781 priority patent/US20090001890A1/en
Priority to US12/105,761 priority patent/US20090000946A1/en
Priority to JP2010514950A priority patent/JP5745843B2/en
Priority to KR1020107000789A priority patent/KR101465542B1/en
Priority to PCT/US2008/066703 priority patent/WO2009005991A1/en
Priority to CN200880022236.8A priority patent/CN101689498B/en
Priority to TW097123959A priority patent/TWI460761B/en
Priority to TW097123961A priority patent/TWI443715B/en
Publication of US20090004836A1 publication Critical patent/US20090004836A1/en
Priority to US13/157,005 priority patent/US9123509B2/en
Priority to US13/708,412 priority patent/US8926850B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

A plasma doping apparatus includes a pulsed power supply that generates a pulsed waveform having a first period with a first power level and a second period with a second power level. A plasma source generates a pulsed plasma with the first power level during the first period and with the second power level during the second period. A bias voltage power supply generates a bias voltage waveform at an output that is electrically connected to a platen which supports a substrate. The bias voltage waveform having a first voltage during a first period and second voltage with a negative potential that attract ions in the plasma to the substrate for plasma doping during a second period. At least one of the first and second power levels of the RF waveform is chosen to at least partially neutralize charge accumulating on the substrate.

Description

  • The section headings used herein are for organizational purposes only and should not to be construed as limiting the subject matter described in the present application.
  • BACKGROUND OF THE INVENTION
  • Plasma processing has been widely used in the semiconductor and other industries for many decades. Plasma processing is used for tasks such as cleaning, etching, milling, and deposition. More recently, plasma processing has been used for doping. Plasma doping is sometimes referred to as PLAD or plasma immersion ion implantation (PIII). Plasma doping systems have been developed to meet the doping requirements of some modern electronic and optical devices.
  • Plasma doping is fundamentally different from conventional beam-line ion implantation systems that accelerate ions with an electric field and then filter the ions according to their mass-to-charge ratio to select the desired ions for implantation. In contrast, plasma doping systems immerse the target in a plasma containing dopant ions and bias the target with a series of negative voltage pulses. The electric field within the plasma sheath accelerates ions toward the target thereby implanting the ions into the target surface.
  • Plasma doping systems for the semiconductor industry generally require a very high degree of process control. Conventional beam-line ion implantation systems that are widely used in the semiconductor industry have excellent process control and also excellent run-to-run uniformity. Conventional beam-line ion implantation systems provide highly uniform doping across the entire surface of state-of-the art semiconductor substrates.
  • In general, the process control of plasma doping systems is not as good as conventional beam-line ion implantation systems. In many plasma doping systems, charge tends to accumulate on the substrate being plasma doped. This charge build-up can result in the development of a relatively high potential voltage on the substrate that can cause doping non-uniformities, arcing, and device damage.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention, in accordance with preferred and exemplary embodiments, together with further advantages thereof, is more particularly described in the following detailed description, taken in conjunction with the accompanying drawings. The drawings are not necessarily to scale, emphasis instead generally being placed upon illustrating principles of the invention.
  • FIG. 1 illustrates a plasma doping system with charge neutralization according to the present invention.
  • FIG. 2A illustrates a prior art waveform generated by the RF source having a single amplitude that can cause charge accumulation on the substrate under some conditions.
  • FIG. 2B illustrates a waveform generated by the bias voltage supply that applies a negative voltage to the substrate during plasma doping to attract ions in the plasma.
  • FIG. 3A illustrates a waveform generated by the RF source according to the present invention that has multiple amplitudes for at least partially neutralizing charge accumulation on the substrate.
  • FIG. 3B illustrates a waveform generated by the bias voltage supply according to the present invention that applies a negative voltage to the substrate during plasma doping to attract ions.
  • FIG. 3C illustrates a waveform generated by the bias voltage supply according to the present invention that applies a negative voltage to the substrate during plasma doping to attract ions and that applies a positive voltage to the substrate after plasma doping is terminated to assist in neutralizing charge on the substrate.
  • FIGS. 4A-C illustrates a waveform generated by the RF source and waveforms generated by the bias voltage supply according to the present invention that are similar to the waveforms described in connection with FIGS. 3A-3C, but that are displaced in time so as to plasma dope with both the first and the second power level PRF1, PRF2.
  • FIGS. 5A-C illustrate a waveform generated by the RF source with a variable frequency and waveforms generated by the bias voltage supply according to another embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Reference in the specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment.
  • It should be understood that the individual steps of the methods of the present invention may be performed in any order and/or simultaneously as long as the invention remains operable. Furthermore, it should be understood that the apparatus and methods of the present invention can include any number or all of the described embodiments as long as the invention remains operable.
  • The present teachings will now be described in more detail with reference to exemplary embodiments thereof as shown in the accompanying drawings. While the present teachings are described in conjunction with various embodiments and examples, it is not intended that the present teachings be limited to such embodiments. On the contrary, the present teachings encompass various alternatives, modifications and equivalents, as will be appreciated by those of skill in the art. Those of ordinary skill in the art having access to the teachings herein will recognize additional implementations, modifications, and embodiments, as well as other fields of use, which are within the scope of the present disclosure as described herein. For example, it should be understood that the methods for neutralizing charge in a plasma doping system according to the present invention can be used with any type of plasma source.
  • Many plasma doping systems operate in a pulsed mode of operation where a series of pulses is applied to the plasma source to generate a pulsed plasma. Also, a series of pulses can be applied to the substrate being plasma doped during the on-periods of the plasma source pulses to bias the substrate to attract ions for implantation. In the pulsed mode of operation, charge tends to accumulate on the substrate being plasma doped during the on-period of the plasma source pulses. When the duty cycle of the plasma source pulses is relatively low (i.e. less than about 25%), the charge tends to be efficiently neutralized by electrons in the plasma.
  • However, there is currently a need to perform plasma doping in a pulsed mode of operation with relatively high duty cycles (i.e. duty cycles above about a 25%). Such higher duty cycles are necessary to achieve the desired throughputs and to maintain doping levels that are required for some modern devices. For example, it is desirable to perform poly gate doping and counter doping of some state-of-the art devices by plasma doping with a duty cycle greater than 25%.
  • As the duty cycle is increased above about 25%, there is a shorter period of time where the charge on the substrate being plasma doped can be neutralized during the pulse-off period of the plasma source. Consequently, charge accumulation or charge build up can occur on the substrate being plasma doped, which results in the development of a relatively high potential voltage on the substrate being plasma doped that can cause doping non-uniformities, arcing, and device damage. For example, thin gate dielectrics can be easily damaged by excess charge build up.
  • The present invention relates to methods and apparatus for neutralizing charge during plasma doping. The method and apparatus of the present invention allow implants to be performed at higher duty cycles by reducing the probability of damage caused by charging effects. In particular, a plasma doping apparatus according to the present invention includes a RF power supply that varies the RF power applied to the plasma source to at least partially neutralize charge accumulation during plasma doping. In addition, the bias voltage to the substrate being plasma doped can be varied to at least partially neutralize charge accumulation. Furthermore, the relative timing of the RF power pulses applied to the plasma source and the bias voltage applied to the substrate being plasma doped can be varied to at least partially neutralize charge accumulation.
  • More specifically, a plasma implantation system according to the present invention includes a RF power supply that varies the RF power applied to the plasma source to at least partially neutralize charge accumulation during plasma doping. In various embodiments single or multiple RF power supplies are used to independently power the plasma source and the bias the substrate being plasma doped so as to at least partially neutralize charge during plasma doping. Also, in various embodiments, the RF power applied to the plasma source and the bias voltage applied to the substrate during plasma doping are applied at relative times to at least partially neutralize charge during plasma doping.
  • In addition to neutralizing charge, the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias applied to the substrate during periods where the plasma doping is terminated (i.e. pulse-off period) in order to improve the retained dose. The resulting improvement in retained dose will help to reduce implant time and thus will increase throughput. Also, in addition to neutralizing charge, the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias applied to the substrate during periods where the plasma doping is terminated in order to achieve knock-on type implant mechanisms that obtain better sidewall coverage.
  • FIG. 1 illustrates a plasma doping system 100 with charge neutralization according to the present invention. It should be understood that this is only one of many possible designs plasma doping systems that can perform ion implantation with charge neutralization according to the present invention. The plasma doping system 100 includes an inductively coupled plasma source 101 having both a planar and a helical RF coil and a conductive top section. A similar RF inductively coupled plasma source is described in U.S. patent application Ser. No. 10/905,172, filed on Dec. 20, 2004, entitled “RF Plasma Source with Conductive Top Section,” which is assigned to the present assignee. The entire specification of U.S. patent application Ser. No. 10/905,172 is incorporated herein by reference. The plasma source 101 shown in the plasma doping system 100 is well suited for plasma doping applications because it can provide a highly uniform ion flux and the source also efficiently dissipates heat generated by secondary electron emissions.
  • More specifically, the plasma doping system 100 includes a plasma chamber 102 that contains a process gas supplied by an external gas source 104. The external gas source 104, which is coupled to the plasma chamber 102 through a proportional valve 106, supplies the process gas to the chamber 102. In some embodiments, a gas baffle is used to disperse the gas into the plasma source 101. A pressure gauge 108 measures the pressure inside the chamber 102. An exhaust port 110 in the chamber 102 is coupled to a vacuum pump 112 that evacuates the chamber 102. An exhaust valve 114 controls the exhaust conductance through the exhaust port 110.
  • A gas pressure controller 116 is electrically connected to the proportional valve 106, the pressure gauge 108, and the exhaust valve 114. The gas pressure controller 116 maintains the desired pressure in the plasma chamber 102 by controlling the exhaust conductance and the process gas flow rate in a feedback loop that is responsive to the pressure gauge 108. The exhaust conductance is controlled with the exhaust valve 114. The process gas flow rate is controlled with the proportional valve 106.
  • In some embodiments, a ratio control of trace gas species is provided to the process gas by a mass flow meter that is coupled in-line with the process gas that provides the primary dopant species. Also, in some embodiments, a separate gas injection means is used for in-situ conditioning species. Furthermore, in some embodiments, a multi-port gas injection means is used to provide gases that cause neutral chemistry effects that result in across substrate variations.
  • The chamber 102 has a chamber top 118 including a first section 120 formed of a dielectric material that extends in a generally horizontal direction. A second section 122 of the chamber top 118 is formed of a dielectric material that extends a height from the first section 120 in a generally vertical direction. The first and second sections 120, 122 are sometimes referred to herein generally as the dielectric window. It should be understood that there are numerous variations of the chamber top 118. For example, the first section 120 can be formed of a dielectric material that extends in a generally curved direction so that the first and second sections 120, 122 are not orthogonal as described in U.S. patent application Ser. No. 10/905,172, which is incorporated herein by reference. In other embodiment, the chamber top 118 includes only a planer surface.
  • The shape and dimensions of the first and the second sections 120, 122 can be selected to achieve a certain performance. For example, one skilled in the art will understand that the dimensions of the first and the second sections 120, 122 of the chamber top 118 can be chosen to improve the uniformity of plasmas. In one embodiment, a ratio of the height of the second section 122 in the vertical direction to the length across the second section 122 in the horizontal direction is adjusted to achieve a more uniform plasma. For example, in one particular embodiment, the ratio of the height of the second section 122 in the vertical direction to the length across the second section 122 in the horizontal direction is in the range of 1.5 to 5.5.
  • The dielectric materials in the first and second sections 120, 122 provide a medium for transferring the RF power from the RF antenna to a plasma inside the chamber 102. In one embodiment, the dielectric material used to form the first and second sections 120, 122 is a high purity ceramic material that is chemically resistant to the process gases and that has good thermal properties. For example, in some embodiments, the dielectric material is 99.6% Al2O3 or AlN. In other embodiments, the dielectric material is Yittria and YAG.
  • A lid 124 of the chamber top 118 is formed of a conductive material that extends a length across the second section 122 in the horizontal direction. In many embodiments, the conductivity of the material used to form the lid 124 is high enough to dissipate the heat load and to minimize charging effects that results from secondary electron emission. Typically, the conductive material used to form the lid 124 is chemically resistant to the process gases. In some embodiments, the conductive material is aluminum or silicon.
  • The lid 124 can be coupled to the second section 122 with a halogen resistant O-ring made of fluoro-carbon polymer, such as an O-ring formed of Chemrz and/or Kalrex materials. The lid 124 is typically mounted to the second section 122 in a manner that minimizes compression on the second section 122, but that provides enough compression to seal the lid 124 to the second section. In some operating modes, the lid 124 is RF and DC grounded as shown in FIG. 1.
  • In some embodiments, the chamber 102 includes a liner 125 that is positioned to prevent or greatly reduce metal contamination by providing line-of-site shielding of the inside of the plasma chamber 102 from metal sputtered by ions in the plasma striking the inside metal walls of the plasma chamber 102. Such liners are described in U.S. patent application Ser. No. 11,623,739, filed Jan. 16, 2007, entitled “Plasma Source with Liner for Reducing Metal Contamination,” which is assigned to the present assignee. The entire specification of U.S. patent application Ser. No. 11/623,739 is incorporated herein by reference.
  • In various embodiments, the liner can be a one-piece or unitary plasma chamber liner, or a segmented plasma chamber liner. In many embodiments, the plasma chamber liner 125 is formed of a metal base material, such as aluminum. In these embodiments, at least the inner surface 125′ of the plasma chamber liner 125 includes a hard coating material that prevents sputtering of the plasma chamber liner base material.
  • Some plasma doping processes generate a considerable amount of non-uniformly distributed heat on the inner surfaces of the plasma source 101 because of secondary electron emissions. In some embodiments, the plasma chamber liner 125 is a temperature controlled plasma chamber liner 125. In addition, in some embodiments, the lid 124 comprises a cooling system that regulates the temperature of the lid 124 and surrounding area in order to dissipate the heat load generated during processing. The cooling system can be a fluid cooling system that includes cooling passages in the lid 124 that circulate a liquid coolant from a coolant source.
  • A RF antenna is positioned proximate to at least one of the first section 120 and the second section 122 of the chamber top 118. The plasma source 101 in FIG. 1 illustrates two separate RF antennas that are electrically isolated from one another. However, in other embodiments, the two separate RF antennas are electrically connected. In the embodiment shown in FIG. 1, a planar coil RF antenna 126 (sometimes called a planar antenna or a horizontal antenna) having a plurality of turns is positioned adjacent to the first section 120 of the chamber top 118. In addition, a helical coil RF antenna 128 (sometimes called a helical antenna or a vertical antenna) having a plurality of turns surrounds the second section 122 of the chamber top 118.
  • In some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is terminated with a capacitor 129 that reduces the effective antenna coil voltage. The term “effective antenna coil voltage” is defined herein to mean the voltage drop across the RF antennas 126, 128. In other words, the effective coil voltage is the voltage “seen by the ions” or equivalently the voltage experienced by the ions in the plasma.
  • Also, in some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 includes a dielectric layer 134 that has a relatively low dielectric constant compared to the dielectric constant of the Al2O3 dielectric window material. The relatively low dielectric constant dielectric layer 134 effectively forms a capacitive voltage divider that also reduces the effective antenna coil voltage. In addition, in some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 includes a Faraday shield 136 that also reduces the effective antenna coil voltage.
  • A RF source 130, such as a RF power supply, is electrically connected to at least one of the planar coil RF antenna 126 and helical coil RF antenna 128. In many embodiments, the RF source 130 is coupled to the RF antennas 126, 128 by an impedance matching network 132 that matches the output impedance of the RF source 130 to the impedance of the RF antennas 126, 128 in order to maximize the power transferred from the RF source 130 to the RF antennas 126, 128. Dashed lines from the output of the impedance matching network 132 to the planar coil RF antenna 126 and the helical coil RF antenna 128 are shown to indicate that electrical connections can be made from the output of the impedance matching network 132 to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128.
  • In some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is formed such that it can be liquid cooled. Cooling at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 will reduce temperature gradients caused by the RF power propagating in the RF antennas 126, 128.
  • In some embodiments, the plasma source 101 includes a plasma igniter 138. Numerous types of plasma igniters can be used with the plasma source 101. In one embodiment, the plasma igniter 138 includes a reservoir 140 of strike gas, which is a highly-ionizable gas, such as argon (Ar), which assists in igniting the plasma. The reservoir 140 is coupled to the plasma chamber 102 with a high conductance gas connection. A burst valve 142 isolates the reservoir 140 from the process chamber 102. In another embodiment, a strike gas source is plumbed directly to the burst valve 142 using a low conductance gas connection. In some embodiments, a portion of the reservoir 140 is separated by a limited conductance orifice or metering valve that provides a steady flow rate of strike gas after the initial high-flow-rate burst.
  • A platen 144 is positioned in the process chamber 102 a height below the top section 118 of the plasma source 101. The platen 144 holds a substrate 146 for plasma doping. In many embodiments, the substrate 146 is electrically connected to the platen 144. In the embodiment shown in FIG. 1, the platen 144 is parallel to the plasma source 101. However, in one embodiment of the present invention, the platen 144 is tilted with respect to the plasma source 101.
  • A platen 144 is used to support a substrate 146 or other workpieces for processing. In some embodiments, the platen 144 is mechanically coupled to a movable stage that translates, scans, or oscillates the substrate 146 in at least one direction. In one embodiment, the movable stage is a dither generator or an oscillator that dithers or oscillates the substrate 146. The translation, dithering, and/or oscillation motions can reduce or eliminate shadowing effects and can improve the uniformity of the ion beam flux impacting the surface of the substrate 146.
  • A bias voltage power supply 148 is electrically connected to the platen 144. The bias voltage power supply 148 is used to bias the platen 144 and the substrate 146 so that dopant ions in the plasma are extracted from the plasma and impact the substrate 146. The bias voltage power supply 148 can be a DC power supply, a pulsed power supply, or a RF power supply. In plasma doping apparatus according the present invention, the bias voltage power supply 148 has an output that is independent of the output of the RF source 130 that powers at least one of the planar coil RF antenna 126 and helical coil RF antenna 128. However, the bias voltage power supply 148 and the RF source 130 can physically be the same power supply as long as the bias voltage output is independent of the RF source output.
  • A controller 152 is used to control the RF power supply 130 and the bias voltage power supply 148 to generate a plasma and to bias the substrate 146 so as to at least partially neutralize charge accumulation during plasma doping according to the present invention. The controller 152 can be part of the power supplies 130, 148 or can be a separate controller that is electrically connected to control inputs of the power supplies 130, 148. The controller 152 controls the RF power supply 130 so that pulses are applied to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 with at least two different amplitudes. Also, the controller 152 controls the RF power supply 130 and the bias voltage power supply 148 so that the pulses are applied to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 and to the substrate at relative times that at least partially neutralize charge accumulation during plasma doping according to the present invention.
  • One skilled in the art will appreciate that the there are many different possible variations of the plasma source 101 that can be used with the features of the present invention. See for example, the descriptions of the plasma sources in U.S. patent application Ser. No. 10/908,009, filed Apr. 25, 2005, entitled “Tilted Plasma Doping.” Also see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/163,303, filed Oct. 13, 2005, entitled “Conformal Doping Apparatus and Method.” Also see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/163,307, filed Oct. 13, 2005, entitled “Conformal Doping Apparatus and Method.” In addition, see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/566,418, filed Dec. 4, 2006, entitled “Plasma Doping with Electronically Controllable implant Angle.”The entire specification of U.S. patent application Ser. Nos. 10/908,009, 11/163,303, 11/163,307 and 11/566,418 are herein incorporated by reference.
  • In operation, the controller 152 instructs the RF source 130 to generate RF currents that propagate in at least one of the RF antennas 126 and 128. That is, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is an active antenna. The term “active antenna” is herein defined as an antenna that is driven directly by a power supply. In many embodiments of the plasma doping apparatus of the present invention, the RF source 130 operates in a pulsed mode. However, the RF source 130 can also operate in the continuous mode.
  • In some embodiments, one of the planar coil antenna 126 and the helical coil antenna 128 is a parasitic antenna. The term “parasitic antenna” is defined herein to mean an antenna that is in electromagnetic communication with an active antenna, but that is not directly connected to a power supply. In other words, a parasitic antenna is not directly excited by a power supply, but rather is excited by an active antenna, which in the present invention is one of the planar coil antenna 126 and the helical coil antenna 128 powered by the RF source 130. In some embodiments of the invention, one end of the parasitic antenna is electrically connected to ground potential in order to provide antenna tuning capabilities. In this embodiment, the parasitic antenna includes a coil adjuster 150 that is used to change the effective number of turns in the parasitic antenna coil. Numerous different types of coil adjusters, such as a metal short, can be used.
  • The RF currents in the RF antennas 126, 128 then induce RF currents into the chamber 102. The RF currents in the chamber 102 excite and ionize the process gas so as to generate a plasma in the chamber 102. The plasma chamber liner 125 shields metal sputtered by ions in the plasma from reaching the substrate 146.
  • The controller 152 also instructs the bias voltage power supply 148 to bias the substrate 146 with a negative voltage that attract ions in the plasma towards the substrate 146. During the negative voltage pulses, the electric field within the plasma sheath accelerates ions toward the substrate 146 which implants the ions into the surface of the substrate 146. In some embodiments, a grid is used to extract ions in the plasma towards the substrate 146.
  • When the RF source 130 and the bias voltage power supply 148 are operated in the pulse mode under some processing conditions, such as with relatively high duty cycles, charge can accumulate on the substrate 146. Charge accumulation can result in the development of a relatively high potential voltage on the substrate 146 being plasma doped that can cause doping non-uniformities, arcing, and device damage.
  • FIG. 2A illustrates a prior art waveform 200 generated by the RF source 130 having a single amplitude that can cause charge accumulation on the substrate 146 under some conditions. The waveform 200 is at ground potential until the plasma is generated with a pulse having a power level P RF 202. The power level P RF 202 is chosen to be suitable for plasma doping. The pulse terminates after the pulse period TP 204 and then returns to ground potential. The waveform then periodically repeats.
  • FIG. 2B illustrates a waveform 250 generated by the bias voltage supply 148 according to the present invention that applies a negative voltage 252 to the substrate 146 during plasma doping to attract ions in the plasma. The negative voltage 252 is applied during the period T 1 254 when the waveform 200 generated by the RF source 130 has a power equal to the power level P RF 202. The waveform 200 is at ground potential during the period T 2 256 when the plasma doping is terminated. At relatively high duty cycles (i.e. greater than about 25%), charge tends to accumulate on the substrate 146 during the pulse period T 1 254 when the waveform 250 generated by the RF source 130 has a power equal to the power level P RF 202.
  • The methods and apparatus of the present invention allow plasma doping implants to be performed at higher duty cycles by reducing the probability of damage caused by charging effects. There are numerous methods according to the present invention to power the plasma source 101 and to bias the substrate 146 being process to at least partially neutralize charge accumulation on the substrate 146.
  • FIG. 3A illustrates a waveform 300 generated by the RF source 130 according to the present invention that has multiple amplitudes for at least partially neutralizing charge accumulation on the substrate 146. The waveform 300 is pulsed and has a first 302 and second power level 304 indicated in the figure as PRF1 and PRF2, respectively. However, it should be understood that waveforms with more than two amplitudes can be used in the methods of the present invention to at least partially neutralize charge accumulation on the substrate 146. It should also be understood that the waveforms may or may not have discrete amplitudes. For example, the waveforms can be continuously changing. That is, in some embodiments, the waveforms can ramp (i.e. have positive and negative slopes) linearly or nonlinearly.
  • The first power level P RF1 302 is chosen to provide enough RF power to at least partially neutralize charge accumulation on the substrate 146 when the substrate 146 is not biased for plasma doping. The second power level P RF2 304 is chosen to be suitable for plasma doping. In various embodiments, the waveform 300 generated by the RF source 130 including the first and second power levels P RF1 302, P RF2 304 is applied to one or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 (see FIG. 1). In one specific embodiment, the waveform 300 generated by the RF source 130 is applied to one of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it is at the first power levels PRF1 and is applied to the other of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it is at the second power levels PRF2. In another specific embodiment, the waveform 300 generated by the RF source 130 is applied to one of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it has a first frequency and is applied to the other of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it has a second frequency that is different from the first frequency as described in connection with FIGS. 5A-5C.
  • The waveform 300 shown in FIG. 3A indicates that the first power level P RF1 302 is greater than the second power level P RF2 304. However, in other embodiments, the first power level P RF1 302 is less than the second power level P RF2 304. Also, in some embodiments, the waveform 300 includes a third power level that is zero or some relatively low power level when the substrate 146 is not biased for plasma doping.
  • The waveform 300 also indicates a first pulse period T P1 306 corresponding to the time period were the waveform 300 has a power equal to the first power level P RF1 302 and a second pulse period T P2 308 corresponding to the time period were the waveform has a power equal to the second power level P RF2 304. The total multi-amplitude pulse period for the waveform 300 T Total 310 is the combination of the first pulse period T P1 306 and the second pulse period T P2 308. For example, in one embodiment, the first and second pulse periods T P1 306, T P2 308 are both in the range of 30-500 μs and the total pulse period T Total 310 is in the range of 60 μs-1 ms. In other embodiments, the total pulse period T Total 310 can be on order of 1 ms or greater.
  • FIG. 3A indicates that the frequency of the waveform 300 during the first pulse period T P1 306 is the same as the frequency of the waveform 300 during the second pulse period T P2 308. However, it should be understood that in various embodiments, the frequency of the waveform 300 during the first pulse period T P1 306 can be different from the frequency of the waveform 300 during the second pulse period T P2 308 as described in connection with FIGS. 5A-5C. In addition, the frequency of the waveform can be changed within at least one of the first and the second pulse periods TP1, 306, TP2, 308.
  • Thus, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to at least partially neutralize charge accumulation during plasma doping. In addition, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to improve the retained dose as described herein. Furthermore, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to assist in creating knock-on implants as described herein.
  • FIG. 3B illustrates a waveform 350 generated by the bias voltage supply 148 according to the present invention that applies a negative voltage 352 to the substrate 146 during plasma doping to attract ions. The negative voltage 352 is applied during the second pulse period T P2 308 when the waveform 350 generated by the RF source 130 has a power equal to the second power level P RF2 304. The waveform 350 is at ground potential during the first pulse period T P1 306 when the plasma doping is terminated and the waveform 300 has a power equal to the first power level P RF1 302.
  • Applying a waveform to the plasma source 101 with two different power levels where the first power level P RF1 302 is applied by the RF source 130 during the period 306 T P1 306 when the waveform 350 generated by the bias voltage supply 148 is at ground potential will assist in neutralizing charge accumulated on the substrate 146. Electrons in the corresponding plasma will neutralize at least some of the charge accumulated on the substrate 146.
  • FIG. 3C illustrates a waveform 360 generated by the bias voltage supply 148 according to the present invention that applies a negative voltage 362 to the substrate 146 during plasma doping to attract ions and that applies a positive voltage 364 to the substrate 146 after plasma doping is terminated to assist in neutralizing charge on the substrate 146. The negative voltage 362 is applied during the second pulse period T P2 308 when the waveform 300 generated by the RF source 130 has a power equal to the second power level P RF2 304. The waveform 360 is at a positive potential during the first pulse period T P1 306 when the waveform 300 generated by the RF source 130 has a power equal to the first power level P RF1 302.
  • Applying a waveform to the plasma source 101 with two different power levels where the first power level P RF1 302 is applied by the RF source 130 during the first period 306 T P1 306 when the waveform 360 generated by the bias voltage supply 148 is at a positive potential will assist in neutralizing charge accumulated on the substrate 146. Electrons in the corresponding plasma will neutralize at least some of the charge accumulated on the substrate 146. In addition, the positive voltage 364 applied the substrate 146 will also neutralize at least some of the charge accumulated on the substrate 146.
  • FIGS. 4A-C illustrate a waveform 400 generated by the RF source 130 and waveforms 402, 404 generated by the bias voltage supply 148 according to the present invention that are similar to the waveforms 300, 350, and 360 described in connection with FIGS. 3A-3C, but that are displaced in time relative to the waveforms 300, 350, and 360 so as to plasma dope with both the first and the second power level P RF1 302, P RF2 304. Changing the power generated by the RF source 130 during plasma doping allows the user to more precisely control the amount of charge that is accumulating on the surface of the substrate 146 during plasma doping. For example, increasing the power near the end of the second pulse period T P2 308 will assist in neutralizing at least some of the charge accumulated on the substrate 146.
  • FIGS. 5A-C illustrate a waveform 500 generated by the RF source 130 with a variable frequency and waveforms 502, 504 generated by the bias voltage supply 148 according to another embodiment of the present invention. The waveform 500 is similar to the waveforms 300, 400 described in connection with FIGS. 3 and 4. However, the RF powers in the first and second pulse periods T P1 306, T P2 308 are the same, but the frequencies are different. Changing the frequency of the waveform 500 changes the ion/electron density and, therefore, changes the charge neutralization efficiency.
  • Thus, in one embodiment, the frequency of the waveform 500 in the first pulse period T P1 306 is different from the frequency of the waveform 500 in the second pulse period T P2 308 and these frequencies are chosen to at least partially neutralize charge accumulation during plasma doping. The waveforms 502, 504 are similar to the waveforms 350 and 360 that were described in connection with FIG. 3. However, in other embodiments, the waveforms 502, 504 are displaced in time relative to the waveform 500, similar to the waveforms 402, 404 that were described in connection with FIG. 4.
  • In addition, in one aspect of the present invention, at least one of the multiple power levels generated by the RF source 130, the frequency of the waveform 500 in at least one of the first and second pulse periods T P1 306, T P2 308, and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 are chosen to improve the retained dose on the substrate 146. For example, generating multiple power levels with the RF source 130 where one power is generated by the RF source 130 when the bias voltage is at ground potential allows the user to use less power during plasma doping because some plasma doping will occur between negative bias voltage steps. Using less power during plasma doping will result in less deposition and, therefore, a higher retained dose. The operating pressure, gas flow rates, type of dilution gas, and plasma source power can also be selected to improve the retained dose.
  • In addition, in one aspect of the present invention, at least one of the multiple power levels generated by the RF source 130, the frequency of the waveform 500 in at least one of the first and second pulse periods T P1 306, T P2 308, and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 are chosen to obtain better sidewall coverage. For example, waveforms can be generated by the RF source 130 with multiple power levels, multiple frequencies, and with certain relative timings with respect to the waveforms generated by the bias voltage supply 148 so as to create knock-on implants. The term “knock-on implant” is defined herein as a recoil implantation where a non-dopant species is implanted through the surface layers of the substrate 146 to drive the dopant material into the substrate 146.
  • The non-dopant species used for the knock-on implant can be a benign species. For example, inert ions, such as He, Ne, Ar, Kr and Xe, can be formed from an inert feed gas. In some embodiments, the mass of the inert ions is chosen to be similar to a mass of the desired dopant ions. The RF source 130 generates a RF power level that directs the inert ions towards the substrate 146 with a sufficient energy to physically knock the deposited dopant material into both the planar and nonplanar features of the substrate 146 upon impact. Also, the operating pressure, gas flow rate, plasma source power, gas dilution, and duty cycle of pulsed bias supply can be chosen to enhance knock-on implants.
  • One skilled in the art will appreciate that waveforms generated by the RF source 130 according to the present invention can have both multiple amplitudes and multiple frequencies and can have various relative timings with respect to the waveforms generated by the bias voltage supply 148. In fact, there are an almost infinite number of possible waveforms with multiple power levels and multiple frequencies that can be generated by the RF source 130 and relative timing with respect to the waveforms generated by the bias voltage supply 148 that will at least partially neutralize charge according to the present invention. In addition, the retained dose can be improved by generating waveforms with the RF source 130 with multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148. Furthermore, knock-on implants can be enhanced by generating waveforms with the RF source 130 with multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148. These waveforms can also have many different duty cycles.
  • It should be understood that the methods for charge neutralization according to the present invention can be used with numerous other types of plasma doping apparatus. For example, the methods for charge neutralization can be used with plasma doping apparatus that have inductively coupled plasma (ICP) sources, helicon resonator plasma sources, microwave plasma sources, ECR plasma source, and capacitive coupled plasma sources. In fact, any type of plasma source that can be operated in a pulsed mode can be used to perform the methods of the present invention.
  • Equivalents
  • While the present teachings are described in conjunction with various embodiments and examples, it is not intended that the present teachings be limited to such embodiments. On the contrary, the present teachings encompass various alternatives, modifications and equivalents, as will be appreciated by those of skill in the art, may be made therein without departing from the spirit and scope of the invention.

Claims (38)

1. A plasma doping apparatus comprising:
a. a pulsed power supply that generates a pulsed waveform at an output, the pulsed waveform having at least a first period with a first power level and a second period with a second power level;
b. a plasma source having an electrical input that is electrically connected to the output of the pulsed power supply, the plasma source generating a pulsed plasma with the first power level during the first period and with the second power level during the second period;
c. a platen that supports a substrate for plasma doping; and
d. a bias voltage power supply having an output that is electrically connected to the platen, the bias voltage power supply generating a bias voltage waveform having a first voltage during a first period and a second voltage with a negative potential that attract ions in the plasma to the substrate for plasma doping during a second period, at least one of the first and second power levels of the RF waveform being chosen to at least partially neutralize charge accumulating on the substrate.
2. The plasma doping apparatus of claim 1 wherein the first voltage in the bias voltage waveform is at ground potential.
3. The plasma doping apparatus of claim 1 wherein the first voltage in the bias voltage waveform has a positive potential that at least partially neutralize charge accumulating on the substrate.
4. The plasma doping apparatus of claim 1 wherein the first and second periods of the pulsed waveform generated by the pulsed power supply are substantially the same as the first and second periods of the bias voltage waveform generated by the bias voltage power supply.
5. The plasma doping apparatus of claim 1 wherein the pulsed waveform generated by the pulsed power supply is synchronized to the bias voltage waveform generated by the bias voltage power supply.
6. The plasma doping apparatus of claim 1 wherein the pulsed waveform generated by the pulsed power supply includes a third period having a third power level.
7. The plasma doping apparatus of claim 6 wherein the third power level is a zero power level.
8. The plasma doping apparatus of claim 1 wherein a relative timing of the pulsed waveform generated by the pulsed power supply and the bias voltage waveform generated by the bias voltage power supply is chosen to at least partially neutralize charge accumulating on the substrate.
9. The plasma doping apparatus of claim 1 wherein a relative timing of the pulsed waveform generated by the pulsed power supply and the bias voltage waveform generated by the bias voltage power supply is chosen so that the pulsed waveform generated by the pulsed power supply changes from the first power level to the second power level during the second period of the bias voltage waveform where the bias voltage waveform has the negative potential that attracts ions in the plasma to the substrate for plasma doping.
10. The plasma doping apparatus of claim 1 wherein the first and second power levels are chosen to increase retained dose in the substrate.
11. The plasma doping apparatus of claim 1 wherein a relative timing of the pulsed waveform generated by the pulsed power supply and the bias voltage waveform generated by the bias voltage power supply is chosen to increase retained dose in the substrate.
12. The plasma doping apparatus of claim 1 wherein the first and second power levels are chosen to enhance knock-on type implant mechanisms so as to produce a more conformal doping profile.
13. The plasma doping apparatus of claim 1 wherein a relative timing of the pulsed waveform generated by the pulsed power supply and the bias voltage waveform generated by the bias voltage power supply is chosen to enhance knock-on type implant mechanisms so as to produce a more conformal doping profile.
14. The plasma doping apparatus of claim 1 wherein a frequency of the pulsed waveform in the first period is different from a frequency of the pulsed waveform in the second period.
15. A plasma doping apparatus comprising:
a. a chamber that contains a process gas, the chamber comprising a dielectric window that passes electromagnetic radiation;
b. a RF source that generates a RF waveform at an output, the RF waveform having at least a first and a second power level;
c. at least one RF antenna having an input that is electrically connected to the output of the RF power supply, the at least one RF antenna being positioned proximate to the dielectric window so that the RF waveform electromagnetically couples into the chamber to excite and ionize the process gas, thereby forming a plasma in the chamber;
d. a platen that supports a substrate for plasma doping; and
e. a bias voltage power supply having an output that is electrically connected to the platen, the bias voltage power supply generating a bias voltage waveform at the output that includes negative potential pulses that attract ions in the plasma to the substrate for plasma doping, at least one of the first and second power levels of the RF waveform and a relative timing of the RF waveform and the bias voltage waveform being chosen to at least partially neutralize charge accumulating on the substrate.
16. The plasma doping apparatus of claim 15 wherein the at least one RF antenna comprises a vertical and a horizontal antenna.
17. The plasma doping apparatus of claim 15 wherein the at least one RF antenna comprises an active antenna and a passive antenna.
18. The plasma doping apparatus of claim 15 wherein the bias voltage waveform periodically returns to ground potential.
19. The plasma doping apparatus of claim 15 wherein the bias voltage waveform periodically returns to a positive potential that at least partially neutralize charge accumulating on the substrate.
20. The plasma doping apparatus of claim 15 wherein the relative timing of the RF waveform and the bias voltage waveform is chosen so that the RF waveform has both the first and second power levels while the bias voltage waveform is at a negative potential that attract ions in the plasma to the substrate for plasma doping.
21. The plasma doping apparatus of claim 15 wherein the first and second power levels are chosen to increase retained dose in the substrate.
22. The plasma doping apparatus of claim 15 wherein the relative timing of the RF waveform and the bias voltage waveform is chosen to increase retained dose in the substrate.
23. The plasma doping apparatus of claim 15 wherein the first and second power levels are chosen to enhance knock-on type implant mechanisms so as to produce a more conformal doping profile.
24. The plasma doping apparatus of claim 15 wherein the relative timing of the RF waveform and the bias voltage waveform is chosen to enhance knock-on type implant mechanisms.
25. The plasma doping apparatus of claim 15 wherein the at least one RF antenna comprises a vertical and a horizontal antenna.
26. The plasma doping apparatus of claim 25 wherein the RF source applies the RF waveform having the first power level to the vertical antenna and applies the RF waveform having the second power level to the horizontal antenna.
27. A method of plasma doping comprising:
a. generating a pulsed waveform having at least a first period with a first power level and a second period with a second power level;
b. generating a plasma from the pulsed waveform;
c. generating a bias voltage waveform having a first voltage during a first period and second voltage with a negative potential during a second period; and
d. applying the bias voltage waveform to a substrate exposed to the plasma so that ions in the plasma are attracted to the substrate for plasma doping during the second period where the bias voltage waveform has a negative potential, at least one of the first and second power levels of the RF waveform being chosen to at least partially neutralize charge accumulating on the substrate.
28. The method of claim 27 wherein the generating the bias voltage waveform having the first voltage during the first period comprises generating a positive voltage that at least partially neutralize charge accumulating on the substrate.
29. The method of claim 27 further comprising adjusting a relative timing of the pulsed waveform and the bias voltage waveform so that the RF waveform has both the first and the second power level during the second period where the bias voltage waveform has a negative potential.
30. The method of claim 27 further comprising adjusting a relative timing of the pulsed waveform and the bias voltage waveform so as to increase a retained dose in the substrate.
31. The method of claim 27 further comprising selecting at least one of the first and the second power levels so as to increase a retained dose in the substrate.
32. The method of claim 27 further comprising adjusting a relative timing of the pulsed waveform and the bias voltage waveform so as to enhance knock-on type implant mechanisms to produce a more conformal doping profile.
33. The method of claim 27 further comprising selecting at least one of the first and the second power levels so as to enhance knock-on type implant mechanisms to produce a more conformal doping profile.
34. The method of claim 27 wherein the pulsed waveform includes a third power level having a third period.
35. The method of claim 34 wherein the third power level is zero.
36. A method of plasma doping comprising:
a. generating a pulsed waveform having a first period and a second period;
b. generating a plasma from the pulsed waveform;
c. generating a bias voltage waveform having a first voltage during a first period and second voltage with a negative potential during a second period; and
d. applying the bias voltage waveform to a substrate exposed to the plasma so that ions in the plasma are attracted to the substrate for plasma doping during the second period where the bias voltage waveform has a negative potential, the RF waveform being chosen to at least partially neutralize charge accumulating on the substrate.
37. The method of claim 36 wherein the pulse waveform has at least two different power levels.
38. The method of claim 36 wherein the pulse waveform has at least two different frequencies.
US11/771,190 2007-06-29 2007-06-29 Plasma doping with enhanced charge neutralization Abandoned US20090004836A1 (en)

Priority Applications (11)

Application Number Priority Date Filing Date Title
US11/771,190 US20090004836A1 (en) 2007-06-29 2007-06-29 Plasma doping with enhanced charge neutralization
US12/098,781 US20090001890A1 (en) 2007-06-29 2008-04-07 Apparatus for Plasma Processing a Substrate and a Method Thereof
US12/105,761 US20090000946A1 (en) 2007-06-29 2008-04-18 Plasma processing with enhanced charge neutralization and process control
CN200880022236.8A CN101689498B (en) 2007-06-29 2008-06-12 Plasma processing with enhanced charge neutralization and process control
KR1020107000789A KR101465542B1 (en) 2007-06-29 2008-06-12 Plasma processing with enhanced charge neutralization and process control
JP2010514950A JP5745843B2 (en) 2007-06-29 2008-06-12 Plasma processing apparatus with enhanced charge neutralization and process control
PCT/US2008/066703 WO2009005991A1 (en) 2007-06-29 2008-06-12 Plasma processing with enhanced charge neutralization and process control
TW097123959A TWI460761B (en) 2007-06-29 2008-06-26 Plasma processing with enhanced charge neutralization and process control
TW097123961A TWI443715B (en) 2007-06-29 2008-06-26 An apparatus for plasma processing a substrate and a method thereof
US13/157,005 US9123509B2 (en) 2007-06-29 2011-06-09 Techniques for plasma processing a substrate
US13/708,412 US8926850B2 (en) 2007-06-29 2012-12-07 Plasma processing with enhanced charge neutralization and process control

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/771,190 US20090004836A1 (en) 2007-06-29 2007-06-29 Plasma doping with enhanced charge neutralization

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/098,781 Continuation-In-Part US20090001890A1 (en) 2007-06-29 2008-04-07 Apparatus for Plasma Processing a Substrate and a Method Thereof

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US12/098,781 Continuation US20090001890A1 (en) 2007-06-29 2008-04-07 Apparatus for Plasma Processing a Substrate and a Method Thereof
US12/105,761 Continuation-In-Part US20090000946A1 (en) 2007-06-29 2008-04-18 Plasma processing with enhanced charge neutralization and process control

Publications (1)

Publication Number Publication Date
US20090004836A1 true US20090004836A1 (en) 2009-01-01

Family

ID=40159571

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/771,190 Abandoned US20090004836A1 (en) 2007-06-29 2007-06-29 Plasma doping with enhanced charge neutralization
US12/098,781 Abandoned US20090001890A1 (en) 2007-06-29 2008-04-07 Apparatus for Plasma Processing a Substrate and a Method Thereof
US12/105,761 Abandoned US20090000946A1 (en) 2007-06-29 2008-04-18 Plasma processing with enhanced charge neutralization and process control
US13/708,412 Active US8926850B2 (en) 2007-06-29 2012-12-07 Plasma processing with enhanced charge neutralization and process control

Family Applications After (3)

Application Number Title Priority Date Filing Date
US12/098,781 Abandoned US20090001890A1 (en) 2007-06-29 2008-04-07 Apparatus for Plasma Processing a Substrate and a Method Thereof
US12/105,761 Abandoned US20090000946A1 (en) 2007-06-29 2008-04-18 Plasma processing with enhanced charge neutralization and process control
US13/708,412 Active US8926850B2 (en) 2007-06-29 2012-12-07 Plasma processing with enhanced charge neutralization and process control

Country Status (6)

Country Link
US (4) US20090004836A1 (en)
JP (1) JP5745843B2 (en)
KR (1) KR101465542B1 (en)
CN (1) CN101689498B (en)
TW (2) TWI460761B (en)
WO (1) WO2009005991A1 (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090001890A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Apparatus for Plasma Processing a Substrate and a Method Thereof
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20090233427A1 (en) * 2006-11-15 2009-09-17 Yuichiro Sasaki Plasma doping method
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US20120007503A1 (en) * 2010-07-06 2012-01-12 Samsung Electronics Co., Ltd. Plasma Generating Apparatus
US20120076935A1 (en) * 2010-09-29 2012-03-29 Junhua Ding Method and apparatus for multiple-channel pulse gas delivery system
US20120228515A1 (en) * 2011-03-11 2012-09-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantion
US20130025786A1 (en) * 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US20140216343A1 (en) 2008-08-04 2014-08-07 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US8997686B2 (en) 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US9721765B2 (en) * 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US20180166341A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Innovative approach to minimize plasma doping induced fin height loss
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10586685B2 (en) 2014-12-05 2020-03-10 Agc Glass Europe Hollow cathode plasma source
US10643850B2 (en) * 2016-11-18 2020-05-05 SCREEN Holdings Co., Ltd. Dopant introduction method and thermal treatment method
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009114634A1 (en) * 2008-03-11 2009-09-17 Hongguag Bi Ad matching system and method thereof
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US20110303146A1 (en) * 2009-12-28 2011-12-15 Osamu Nishijima Plasma doping apparatus
US20110201185A1 (en) * 2010-02-17 2011-08-18 Tech Semiconductor Singapore Pte Ltd Method to improve transistor performance matching for plasma-assisted source/drain formation
JP5097233B2 (en) * 2010-03-19 2012-12-12 パナソニック株式会社 Plasma doping method
US8877654B2 (en) 2010-04-15 2014-11-04 Varian Semiconductor Equipment Associates, Inc. Pulsed plasma to affect conformal processing
JP2012104382A (en) * 2010-11-10 2012-05-31 Tokyo Electron Ltd Plasma treatment apparatus, plasma treatment method, and plasma treatment bias voltage determination method
US8742373B2 (en) 2010-12-10 2014-06-03 Varian Semiconductor Equipment Associates, Inc. Method of ionization
JP2012182181A (en) * 2011-02-28 2012-09-20 Mitsui Eng & Shipbuild Co Ltd Atomic layer deposition device and atomic layer deposition method
KR101851005B1 (en) 2011-06-02 2018-04-20 에스케이하이닉스 주식회사 Method of plasma doping using plasma doping apparatus
US9197196B2 (en) * 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
JP2013182966A (en) * 2012-03-01 2013-09-12 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
US8809803B2 (en) * 2012-08-13 2014-08-19 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma ion source with multiple antennas for wide ion beam
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US8736377B2 (en) * 2012-10-30 2014-05-27 Mks Instruments, Inc. RF pulse edge shaping
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
CN104752256B (en) * 2013-12-25 2018-10-16 中微半导体设备(上海)有限公司 A kind of method for etching plasma and system
KR20150087702A (en) * 2014-01-22 2015-07-30 삼성전자주식회사 Plasma generating apparatus
KR102222902B1 (en) 2014-05-12 2021-03-05 삼성전자주식회사 Plasma apparatus and method of fabricating semiconductor device using the same
US10861679B2 (en) * 2014-09-08 2020-12-08 Tokyo Electron Limited Resonant structure for a plasma processing system
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
JP6424120B2 (en) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 Power supply system, plasma processing apparatus, and power supply control method
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
CN104988468B (en) * 2015-07-27 2018-03-16 哈尔滨工业大学 A kind of method of insulating materials metal plasma immersion ion implantation and deplsition
JP6670692B2 (en) * 2015-09-29 2020-03-25 株式会社日立ハイテク Plasma processing apparatus and plasma processing method
US10410873B2 (en) * 2016-01-20 2019-09-10 Tokyo Electron Limited Power modulation for etching high aspect ratio features
CN107295739A (en) * 2016-04-12 2017-10-24 北京北方华创微电子装备有限公司 Produce the method and its plasma apparatus of pulsed plasma
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
JP7045152B2 (en) * 2017-08-18 2022-03-31 東京エレクトロン株式会社 Plasma processing method and plasma processing equipment
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10991554B2 (en) * 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR20230048459A (en) * 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 Control method and plasma treatment device
JP7175239B2 (en) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 CONTROL METHOD, PLASMA PROCESSING APPARATUS, PROGRAM AND STORAGE MEDIUM
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
KR20230025034A (en) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 Plasma sheath control for rf plasma reactors
JP7068140B2 (en) * 2018-11-05 2022-05-16 東京エレクトロン株式会社 Plasma processing equipment and plasma processing method
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7174687B2 (en) * 2019-11-29 2022-11-17 東京エレクトロン株式会社 Plasma processing apparatus and etching method
US11361947B2 (en) * 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
JP7451540B2 (en) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド Feedback loop for controlling pulsed voltage waveforms
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR20220027141A (en) * 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 Nanosecond Pulser RF Isolation
US20210020405A1 (en) * 2019-07-18 2021-01-21 Tokyo Electron Limited Equipment and methods for plasma processing
JP7285742B2 (en) * 2019-09-02 2023-06-02 東京エレクトロン株式会社 Plasma processing apparatus and processing method
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (en) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 High voltage pulsing circuit
CN113035677B (en) * 2019-12-09 2023-01-24 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and plasma processing method
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
CN113543446A (en) * 2020-04-13 2021-10-22 台达电子工业股份有限公司 Ignition method of power generator
CN113571403A (en) * 2020-04-28 2021-10-29 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399186A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR102599027B1 (en) 2021-09-17 2023-11-06 한국원자력연구원 System for controlling plasma uniformity using multi-pulsing and method thereof
US20230130986A1 (en) * 2021-10-21 2023-04-27 Applied Materials, Inc. Plasma processing chambers configured for tunable substrate and edge sheath control
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5508227A (en) * 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US20020189544A1 (en) * 2000-08-28 2002-12-19 Hedberg Chuck E. Use of pulsed grounding source in a plasma reactor
US20050205212A1 (en) * 2004-03-22 2005-09-22 Varian Semiconductor Equipment RF Plasma Source With Conductive Top Section
US20050260837A1 (en) * 2004-05-24 2005-11-24 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US20070087574A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5005066A (en) * 1987-06-02 1991-04-02 Texas Instruments Incorporated Self-aligned NPN bipolar transistor built in a double polysilicon CMOS technology
JP3122175B2 (en) * 1991-08-05 2001-01-09 忠弘 大見 Plasma processing equipment
US5289010A (en) * 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
JP3201223B2 (en) * 1995-07-17 2001-08-20 株式会社日立製作所 Plasma processing method and apparatus
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6253704B1 (en) * 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JPH104085A (en) * 1996-06-18 1998-01-06 Sony Corp Dry etching and apparatus therefor
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JPH10150025A (en) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp Plasma reactor
JPH10312899A (en) * 1997-05-15 1998-11-24 Matsushita Electric Ind Co Ltd Plasma processing method and plasma processing device
JPH11224796A (en) * 1998-02-05 1999-08-17 Matsushita Electron Corp Apparatus and method for plasma treatment
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6237527B1 (en) * 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
CN1158403C (en) * 1999-12-23 2004-07-21 西南交通大学 Process for modifying surface of artificial organ
KR100842947B1 (en) * 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 Plasma processing method and plasma processor
US7316764B2 (en) * 2001-03-16 2008-01-08 4 Wave, Inc. System and method for performing sputter etching using independent ion and electron sources and a substrate biased with an a-symmetric bi-polar DC pulse signal
JP2003073814A (en) * 2001-08-30 2003-03-12 Mitsubishi Heavy Ind Ltd Film forming apparatus
DE10309711A1 (en) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Method for etching structures in an etching body with a plasma
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US6985697B2 (en) * 2003-09-22 2006-01-10 Nokia, Inc. Method and system for wirelessly managing the operation of a network appliance over a limited distance
TWI489519B (en) * 2004-04-28 2015-06-21 Semiconductor Energy Lab Wiring over substrate, semiconductor device, and methods for manufacturing thereof
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100632948B1 (en) * 2004-08-06 2006-10-11 삼성전자주식회사 Sputtering method for forming a chalcogen compound and method for fabricating phase-changeable memory device using the same
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US7453059B2 (en) * 2006-03-10 2008-11-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
US7351664B2 (en) * 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US7567061B2 (en) * 2007-01-12 2009-07-28 Ford Global Technologies, Llc Battery equalization using a plug-in charger in a hybrid electric vehicle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20080230008A1 (en) 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090227096A1 (en) * 2008-03-07 2009-09-10 Varian Semiconductor Equipment Associates, Inc. Method Of Forming A Retrograde Material Profile Using Ion Implantation
JP5319150B2 (en) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and computer-readable storage medium

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5508227A (en) * 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US20020189544A1 (en) * 2000-08-28 2002-12-19 Hedberg Chuck E. Use of pulsed grounding source in a plasma reactor
US20050205212A1 (en) * 2004-03-22 2005-09-22 Varian Semiconductor Equipment RF Plasma Source With Conductive Top Section
US20050260837A1 (en) * 2004-05-24 2005-11-24 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US20070087574A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090233427A1 (en) * 2006-11-15 2009-09-17 Yuichiro Sasaki Plasma doping method
US7790586B2 (en) * 2006-11-15 2010-09-07 Panasonic Corporation Plasma doping method
US8926850B2 (en) 2007-06-29 2015-01-06 Varian Semiconductor Equipment Associates, Inc. Plasma processing with enhanced charge neutralization and process control
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090001890A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Apparatus for Plasma Processing a Substrate and a Method Thereof
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20110159673A1 (en) * 2008-02-08 2011-06-30 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8709924B2 (en) 2008-02-08 2014-04-29 Applied Materials, Inc. Method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US10580625B2 (en) 2008-08-04 2020-03-03 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10438778B2 (en) 2008-08-04 2019-10-08 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10580624B2 (en) 2008-08-04 2020-03-03 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20140216343A1 (en) 2008-08-04 2014-08-07 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20150002021A1 (en) 2008-08-04 2015-01-01 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20150004330A1 (en) 2008-08-04 2015-01-01 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US20120007503A1 (en) * 2010-07-06 2012-01-12 Samsung Electronics Co., Ltd. Plasma Generating Apparatus
US9348339B2 (en) * 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US20120076935A1 (en) * 2010-09-29 2012-03-29 Junhua Ding Method and apparatus for multiple-channel pulse gas delivery system
KR102596243B1 (en) * 2010-09-29 2023-10-31 엠케이에스 인스트루먼츠, 인코포레이티드 Method of and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
KR20190114054A (en) * 2010-09-29 2019-10-08 엠케이에스 인스트루먼츠, 인코포레이티드 Method of and apparatus for multiple-channel pulse gas delivery system
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10969799B2 (en) 2011-02-25 2021-04-06 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US20120228515A1 (en) * 2011-03-11 2012-09-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantion
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
KR101611523B1 (en) * 2011-03-11 2016-04-11 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. Apparatus and method for maskless patterned implantation
US20130025786A1 (en) * 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US11875976B2 (en) 2014-12-05 2024-01-16 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US10586685B2 (en) 2014-12-05 2020-03-10 Agc Glass Europe Hollow cathode plasma source
US10559452B2 (en) * 2015-11-16 2020-02-11 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721765B2 (en) * 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US10643850B2 (en) * 2016-11-18 2020-05-05 SCREEN Holdings Co., Ltd. Dopant introduction method and thermal treatment method
US10566242B2 (en) * 2016-12-13 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Minimization of plasma doping induced fin height loss
US20180166341A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Innovative approach to minimize plasma doping induced fin height loss

Also Published As

Publication number Publication date
US8926850B2 (en) 2015-01-06
TW200908099A (en) 2009-02-16
CN101689498A (en) 2010-03-31
KR101465542B1 (en) 2014-11-26
US20090001890A1 (en) 2009-01-01
WO2009005991A1 (en) 2009-01-08
KR20100028104A (en) 2010-03-11
JP5745843B2 (en) 2015-07-08
US20090000946A1 (en) 2009-01-01
TWI460761B (en) 2014-11-11
TW200912990A (en) 2009-03-16
TWI443715B (en) 2014-07-01
JP2010532549A (en) 2010-10-07
US20130092529A1 (en) 2013-04-18
CN101689498B (en) 2011-09-14

Similar Documents

Publication Publication Date Title
US20090004836A1 (en) Plasma doping with enhanced charge neutralization
US9123509B2 (en) Techniques for plasma processing a substrate
US7820533B2 (en) Multi-step plasma doping with improved dose control
US20080169183A1 (en) Plasma Source with Liner for Reducing Metal Contamination
US20070170867A1 (en) Plasma Immersion Ion Source With Low Effective Antenna Voltage
US20050205212A1 (en) RF Plasma Source With Conductive Top Section
US20080132046A1 (en) Plasma Doping With Electronically Controllable Implant Angle
US20060236931A1 (en) Tilted Plasma Doping
US20090104761A1 (en) Plasma Doping System With Charge Control
TWI428965B (en) Plasma doping apparatus and method of conformal plasma doping
JP2010532919A5 (en)

Legal Events

Date Code Title Description
AS Assignment

Owner name: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC., M

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SINGH, VIKRAM;MILLER, TIMOTHY;LINDSAY, BERNARD;REEL/FRAME:019639/0992;SIGNING DATES FROM 20070619 TO 20070620

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION