US20080119054A1 - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
US20080119054A1
US20080119054A1 US11/979,798 US97979807A US2008119054A1 US 20080119054 A1 US20080119054 A1 US 20080119054A1 US 97979807 A US97979807 A US 97979807A US 2008119054 A1 US2008119054 A1 US 2008119054A1
Authority
US
United States
Prior art keywords
insulating layer
etching
semiconductor device
manufacturing
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/979,798
Inventor
Hidetaka Nambu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Assigned to NEC ELECTRONICS CORPORATION reassignment NEC ELECTRONICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NAMBU, HIDETAKA
Publication of US20080119054A1 publication Critical patent/US20080119054A1/en
Assigned to RENESAS ELECTRONICS CORPORATION reassignment RENESAS ELECTRONICS CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: NEC ELECTRONICS CORPORATION
Priority to US14/321,006 priority Critical patent/US9466503B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Definitions

  • the present invention relates to a method of manufacturing a semiconductor device.
  • a dual damascene method that is a low-cost process of manufacture is used mainly when forming Cu wires on a device.
  • the etch depth for wiring trench (film thickness of etching) directly relates to the cross-sectional area of Cu wires buried in subsequent processes and affects wiring resistance and/or capacitance. Accordingly, the etch uniformity and process stability of wiring trenches are especially important in a dual damascene method.
  • Japanese Patent Laid-Open No. 2003-332421 discloses a wire processing method using an etch stop layer.
  • the problem of etch un-uniformity is solved by the use of an etch stop layer.
  • this solution increases manufacturing costs since a process of forming the etch stop layer is added.
  • Japanese Patents Laid-Open Nos. 10-229122 and 2005-353698 disclose methods of etching insulating layers without using etch stop layers. In such methods of processing insulating layers as mentioned above, there arises a problem of etch un-uniformity originated from the instability of etching apparatus or from fluctuations in the ambient atmosphere within a chamber.
  • Japanese Patent Laid-Open No. 2004-71731 discloses an etching method not requiring the use of etch stop layers in a dual damascene method.
  • a so-called low-k dielectric layer having relative permittivity lower than that of SiO 2 and an SiO 2 layer covering the dielectric layer (cap layer) are respectively etched under different conditions to form wiring trenches.
  • the SiO 2 layer is etched under the condition with a higher selection ratio for the low-k dielectric layer, and then the low-k dielectric layer is etched under the condition with a lower selection ratio for the low-k dielectric layer.
  • the method disclosed in Japanese Patent Laid-Open No. 2004-71731 has not been able to solve the problem of etch un-uniformity.
  • the inventor of the present application has newly discovered that the cause of this is that the thickness of a deposition layer formed in the bottom of each trench significantly varies within a wafer during the etching of a second insulating layer, if the etching of the second insulating layer is stopped at a point where a first insulating layer is exposed when successively etching a laminated layer composed of the first insulating layer and the second insulating layer formed thereon. This irregularity of thickness degrades the uniformity of processing shape resulting from the processing of the first insulating layer.
  • a method of manufacturing a semiconductor device having a first insulating layer and a second insulating layer provided thereon in accordance with the present invention includes:
  • the etching of the second insulating layer is temporarily stopped partway, and then the remnant of the second insulating layer and the first insulating layer are etched collectively.
  • FIG. 1 is a process drawing illustrating one embodiment of a method of manufacturing a semiconductor device in accordance with the present invention
  • FIG. 2 is another process drawing illustrating one embodiment of a method of manufacturing the semiconductor device in accordance with the present invention
  • FIGS. 3A and 3B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 4A and 4B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 5A and 5B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 6A and 6B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 7A and 7B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 8A and 8B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 9A and 9B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 10A and 10B are graphs intended to explain the advantages of the embodiment.
  • FIGS. 11A and 11B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 12A and 12B are graphs intended to explain the advantages of the embodiments.
  • FIGS. 13A and 13B are wafer map to explain the advantages of the embodiments.
  • FIGS. 14A and 14B are wafer map to explain the advantages of the embodiments.
  • FIG. 15 is a cross-sectional view intended to explain problems with a related art
  • FIGS. 16A and 16B are cross-sectional views intended to explain problems with a related art
  • FIGS. 17A and 17B are cross-sectional views intended to explain problems with a related art.
  • FIGS. 18A and 18B are cross-sectional views intended to explain problems with a related art.
  • FIGS. 1 and 2 are process drawings illustrating one embodiment of a method of manufacturing a semiconductor device in accordance with the present invention.
  • This manufacturing method is intended to manufacture a semiconductor device having a low-k dielectric layer 10 (first insulating layer) and a cap layer 20 (second insulating layer) provided thereon. No etch stop layer is interposed between the low-k dielectric layer 10 and the cap layer 20 .
  • the relative permittivity of the low-k dielectric layer 10 is preferably no greater than 3.5.
  • materials for composing the low-k dielectric layer 10 polyorganosiloxane, aromatic-containing organic material, hydrogen silsesquioxane (HSQ), spin-on glass (SOG) or flowable oxide (FOX: registered trademark), for example, may be used.
  • polyorganosiloxane there may be mentioned SiOC, methylsilsesquioxane (MSQ), methylated hydrogen silsesquioxane (MHSQ), and the like.
  • Low-k dielectric layers made of SiOC includes for example, Black Diamond (registered trademark, hereinafter referred to as “BD”) made by Applied Materials, Coral made by Novellus Systems Inc., and Aurola made by ASM International.
  • aromatic-containing organic material there may be mentioned polyphenylene, polyarylether (PAE), divinylsiloxane-bis-benzocyclobutene, and the like.
  • Low-k dielectric layers made of polyphenylene include, for example, SiLK (registered trademark) made by Dow Chemical Company.
  • low-k dielectric layers made of polyarylether include, for example, Flare made by Honeywell Electric Materials. Note that the low-k dielectric layer 10 may be in a porous state.
  • materials for composing the cap layer 20 there may be mentioned SiO2, SiC, SiCN, SiN, benzocyclobutene (BCB), and the like.
  • the cap layer 20 is etched (first etching step) under the first etching conditions using resist 30 as a mask ( FIG. 1 ) without exposing the low-k dielectric layer 10 .
  • the first etching conditions are preferably such conditions as are suited to the processing of the cap layer 20 , i.e., conditions whereby the cap layer 20 can be processed with excellent etch uniformity.
  • the etching time in the first etching step is preferably no shorter than 60% but no longer than 90% of the etching time (end point) required to etch the cap layer 20 to the last.
  • the etching time required to etch the cap layer 20 to the last can be determined using, for example, an end point detector (EPD) system.
  • EPD end point detector
  • This etching time corresponds to a period of time for which etching is carried out so that “t 1 ” is no shorter than 10% but no longer than 40% of t 2 when etching the “t 2 ”-thick cap layer 20 as a remnant 22 to a thickness of “t 1 ” in the first etching step.
  • the remnant 22 of the cap layer 20 and the low-k dielectric layer 10 are etched using the second etching conditions different from the first etching conditions, without changing the etching conditions (second etching step) ( FIG. 2 ).
  • the first and second etching steps are carried out using an etching apparatus provided with upper and lower electrodes having mutually different high-frequency outputs.
  • these etching steps are carried out as part of, for example, a dual damascene process.
  • the second etching step may be started either immediately or a predetermined length of time (stabilization time) later after the completion of the first etching step.
  • the stabilization time is, for example, approximately 3 seconds.
  • the second etching conditions are preferably such conditions as to virtually prohibit any selection ratio from being applied between the low-k dielectric layer 10 and the cap layer 20 .
  • the flow rate of oxygen is preferably higher under the second etching conditions than under the first etching conditions.
  • the mixing ratio of oxygen in the second etching conditions is preferably no lower than 0.4% but no higher than 2.6%.
  • the high-frequency output (hereinafter referred to as the “top power”) of the upper electrode is preferably higher under the second etching conditions than under the first etching conditions.
  • the top power under the second etching conditions is preferably no lower than 1300 W but no higher than 2200 W.
  • a preferred example of the first etching conditions is as follows:.
  • the gas flow rate may be higher (for example, 9:1 in terms of a partial pressure ratio) in the vicinity of the central part of a wafer than in the vicinity of the edge part thereof.
  • gas flow rates exemplified in the present specification refer to those in the vicinity of the central part of a wafer.
  • the etching of the cap layer 20 is temporarily stopped halfway, and then the remnant 22 of the cap layer 20 and the low-k dielectric layer 10 are etched under different etching conditions.
  • the etching of the cap layer 20 is temporarily stopped halfway, and then the remnant 22 of the cap layer 20 and the low-k dielectric layer 10 are etched under different etching conditions.
  • etching proceeds according to competitive reaction between depositions accumulating on a wafer and ions plunging into the wafer. At this time, the speed at which the depositions accumulate and the uniformity of the depositions differ depending on the type thereof. In addition, there is a difference in etching characteristics between an SiO 2 layer and a low-k dielectric layer.
  • the SiO 2 layer has difficulties in facilitating etching in the absence of a physical sputtering element, while the low-k dielectric layer containing carbon and hydrogen is easy to be etched by not a physical etching but a chemical etching.
  • 16A and 16B respectively show conditions in the vicinity of the central and edge parts of the wafer at this point.
  • a via stop layer 102 , the low-k dielectric layer 104 , the cap layer 106 and resist 108 are stacked sequentially in this order on an interlayer insulating layer 100 .
  • the interlayer insulating layer 100 there are formed elements, such as transistors, and wires (both are not shown in the figure).
  • a deposition layer 110 a near the central part of the wafer is thicker than a deposition layer 110 b near the edge part of the wafer.
  • an etch depth “d 1 ” near the central part of the wafer is smaller than an etch depth d 2 near the edge part of the wafer when etching the low-k dielectric layer 104 .
  • the uniformity of etch depth and the like within the wafer becomes impaired due to a difference in the thickness of deposition layers.
  • a high degree of uniformity of etch depth can be obtained within wafer.
  • transmuted layer is, for example, a layer composed of an SiON-like material.
  • a possible cause for the transmuted layer being formed is that a raw material gas for the cap layer 20 triggers unwanted reactions when the cap layer 20 is formed using a CVD method.
  • the presence of this transmuted layer can also be a cause for the impairment of etch uniformity within wafer, like the deposition layers. This is because the transmuted layer is thicker near the central part of the wafer than near the edge part thereof.
  • the present embodiment it is possible to adequately suppress the effects of the transmuted layer even if such a transmuted layer exists, by setting the second etching conditions so that a selection ratio with respect to the transmuted layer also decreases. Accordingly, it is possible to alleviate etch non-uniformity attributable to the transmuted layer.
  • the cap layer 20 on the low-k dielectric layer 10 is further provided. Consequently, it is possible to prevent the low-k dielectric layer 10 from not only suffering damage but also absorbing moisture at the time of chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • etch stop layer interposed between the low-k dielectric layer 10 and the cap layer 20 . Accordingly, it is possible to reduce the cost of manufacturing a semiconductor device. In contrast, if an etch stop layer is provided as in the case of the semiconductor device described in Tokukai 2003-332421, the frequency of film-forming increases by as much as the number of additional steps of forming the etch stop layer and then forming an interlayer insulating layer thereon, thus resulting in an increase in the manufacturing costs.
  • FIGS. 3 to 5 show the results of tests performed to examine a relationship between the etching time and the irregularity of etch depth.
  • an SiO 2 layer was used as the cap layer 20 .
  • the-first etching conditions i.e., the etching conditions of the SiO 2 layer were set so that the pressure was 40 mTorr, the top power was 300 W, the bias output was 1000 W, the flow rate of CHF3 was 0.0351/min (35 sccm), the flow rate of CF4 was 0.0551/min (55 sccm), and the flow rate of Ar was 0.91/min (900 sccm).
  • FIGS. 3A and 3B are graphs showing test results when the etching time was specified as 70% of the end point time.
  • the horizontal axes of these graphs represent the dimensions of a pattern of lines and spaces, i.e., line width (nm)/space width (nm).
  • the vertical axes represent etch depth (nm) in FIG. 3A and a difference in the etch depth (nm) in FIG. 3B .
  • the etch depths were measured at points 7 mm away from the central part (cntr) and from the edge part of the wafer, respectively.
  • the etch depth was defined as a value obtained by subtracting the former measured value from the latter measured value.
  • FIGS. 4A and 4B show test results when the etching time was specified as 85% of the end point time
  • FIGS. 5A and 5B show test results when the etching time was specified as 95% of the end point time.
  • the meanings of the graphs shown in FIGS. 4A and 5A are the same as those of the graph shown in FIG. 3A .
  • the meanings of the graphs shown in FIGS. 4B and 5B are the same as those of the graph shown in FIG. 3B .
  • FIGS. 6A and 6B are graphs showing the results of measuring the etch rate profiles of an SiO 2 layer within wafer in cases where the present embodiment was applied.
  • FIGS. 7A and 7B are graphs showing the results of measuring the etch rate profiles of the SiO 2 layer within wafer in cases where the present embodiment was not applied.
  • the horizontal axes of these graphs represent a position (mm) measured with the center of the wafer defined as the point of origin, whereas the vertical axes represent an etch rate (nm/min).
  • the X-axis denotes a horizontal direction when the notch of the wafer is faced downwardly and the Y-axis denotes a direction perpendicular to that direction, i.e., a vertical direction.
  • FIGS. 6A and 7A show the results of measurement after parts in the chamber of the etching apparatus wore out
  • FIGS. 6B and 7B show the results of measurement when the parts were new.
  • FIGS. 8 and 9 show the results of tests performed to examine a relationship between the mixed amount of oxygen and the irregularity of etch depth in the second etching step.
  • BD was used as the low-k dielectric layer 10 .
  • the second etching conditions were set so that the pressure was 40 mTorr, the top power was 1900 W, the bias output was 600 W., the flow rate of CHF3 was 0.0231/min (23 sccm), the flow rate of CF4 was 0.0231/min (23 sccm), and the flow rate of Ar is 0.41/min (400 sccm).
  • the condition of the mixing ratio of oxygen being no lower than 0.4% but no higher than 2.6% corresponds to the condition of the flow rate of O 2 being no lower than 0.0021/min (2 sccm) but no higher than 0.0121/min (12 sccm).
  • the flow rates of O 2 were respectively set to 0.0031/min (3 sccm) and 0.0061/min (6 sccm).
  • Other conditions are as described with regard to FIGS. 3 to 5 .
  • the meanings of the graphs shown in FIGS. 8A and 9A are the same as those of the graph shown in FIG. 3A .
  • the meanings of the graphs shown in FIGS. 8B and 9B are the same as those of the graph shown in FIG. 3B .
  • FIGS. 10 to 12 show the results of tests performed to examine a relationship between the top power and the irregularity of etch depth.
  • FIGS. 10 , 11 and 12 show test results when the top power was set to 1000 W, 1400 W and 1600 W, respectively.
  • the meanings of the graphs shown in FIGS. 10A , 11 A and 12 A are the same as those of the graph shown in FIG. 3A .
  • the meanings of the graphs shown in FIGS. 10B , 11 B and 12 B are the same as those of the graph shown in FIG. 3B .
  • etch rate increases mainly in the vicinity of the central part of the wafer as the top power increases, thus resulting in a decrease in the irregularity of etch depth.
  • An increase in the top power facilitates decomposing of gases, thereby producing a fluorine-rich plasma.
  • electron density increases easily in the central part of the wafer. Accordingly, a possible cause for the decrease in the irregularity of etch depth is that a fluorine-rich state was created in the central part of the wafer in particular and the etch rate increased in that part, thus reducing a difference in the etch depth.
  • an etching apparatus has a variety of consumable parts around a stage for fixing wafers.
  • a focus ring made of Si is located around a wafer.
  • the wear of this focus ring made of Si affects the flow of gas passing above the wafer, thereby exercising an influence mainly on the depth and the film quality of depositions accumulating on the wafer.
  • the resistance value of the focus ring varies according to the degree of wear thereof since the focus ring is located in the periphery of the stage, thereby affecting the state of convergence of a plasma. This also affects the film quality and thickness of depositions accumulating on the top surface of the wafer, as well as the orientation and the quantity of ions plunging into the wafer.
  • FIGS. 13A and 13B show the results of measuring etch depth profiles within wafer in cases where the present embodiment was applied.
  • FIGS. 14A and 14B show the results of measuring etch depth profiles within wafer in cases where the present embodiment was not applied.
  • These figures are the results of optical critical dimension (OCD) measurement performed on patterns the line and space widths of which are equally 140 nm.
  • OCD optical critical dimension
  • the term “Uniformity” as used in the tables of each figure refers to a value calculated according to the formula “(max. ⁇ min.)/(2 ⁇ Average) ⁇ 100”.
  • FIGS. 13A and 14A show the results of measurement after parts in the chamber of the etching apparatus wore out
  • FIGS. 13B and 14B show the results of measurement when the parts were new.
  • both the first and second insulating layers may be low-k dielectric layers.
  • a combination of low-k dielectric layers composed of the same material (for example, SiOC) is also acceptable as long as one of them is a porous layer. In that case, it is preferable that the first insulating layer be the porous layer.
  • an etch stop layer may be interposed therebetween. In that case, it is possible to further improve etch uniformity.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

There is provided a dry etching method for forming wiring trenches in a first insulating layer and in a second insulating layer provided thereon. First, the second insulating layer is etched partway under first etching conditions using resist as a mask (first etching step). Next, the remnant of the second insulating layer and the first insulating layer are etched under second etching conditions different from the first etching conditions, without changing the etching conditions (second etching step).

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to a method of manufacturing a semiconductor device.
  • A dual damascene method that is a low-cost process of manufacture is used mainly when forming Cu wires on a device. The etch depth for wiring trench (film thickness of etching) directly relates to the cross-sectional area of Cu wires buried in subsequent processes and affects wiring resistance and/or capacitance. Accordingly, the etch uniformity and process stability of wiring trenches are especially important in a dual damascene method.
  • Japanese Patent Laid-Open No. 2003-332421 discloses a wire processing method using an etch stop layer. The problem of etch un-uniformity is solved by the use of an etch stop layer. However, this solution increases manufacturing costs since a process of forming the etch stop layer is added.
  • Japanese Patents Laid-Open Nos. 10-229122 and 2005-353698 disclose methods of etching insulating layers without using etch stop layers. In such methods of processing insulating layers as mentioned above, there arises a problem of etch un-uniformity originated from the instability of etching apparatus or from fluctuations in the ambient atmosphere within a chamber.
  • Japanese Patent Laid-Open No. 2004-71731 discloses an etching method not requiring the use of etch stop layers in a dual damascene method. In this method, a so-called low-k dielectric layer having relative permittivity lower than that of SiO2 and an SiO2 layer covering the dielectric layer (cap layer) are respectively etched under different conditions to form wiring trenches. Specifically, the SiO2 layer is etched under the condition with a higher selection ratio for the low-k dielectric layer, and then the low-k dielectric layer is etched under the condition with a lower selection ratio for the low-k dielectric layer.
  • However, the method disclosed in Japanese Patent Laid-Open No. 2004-71731 has not been able to solve the problem of etch un-uniformity. The inventor of the present application has newly discovered that the cause of this is that the thickness of a deposition layer formed in the bottom of each trench significantly varies within a wafer during the etching of a second insulating layer, if the etching of the second insulating layer is stopped at a point where a first insulating layer is exposed when successively etching a laminated layer composed of the first insulating layer and the second insulating layer formed thereon. This irregularity of thickness degrades the uniformity of processing shape resulting from the processing of the first insulating layer.
  • SUMMARY
  • A method of manufacturing a semiconductor device having a first insulating layer and a second insulating layer provided thereon in accordance with the present invention includes:
  • a first etching step of etching the second insulating layer partway under first etching conditions; and
  • a second etching step of etching the remnant of the second insulating layer left over in the first etching step and the first insulating layer under second etching conditions different from the first etching conditions.
  • In the manufacturing method described above, the etching of the second insulating layer is temporarily stopped partway, and then the remnant of the second insulating layer and the first insulating layer are etched collectively. By prohibiting the first insulating layer from being exposed immediately after the completion of the first etching step, as described above, it is possible to prevent a deposition layer having irregular thickness from being deposited. Accordingly, the uniformity of processing shape resulting from the processing of the first insulating layer improves.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a process drawing illustrating one embodiment of a method of manufacturing a semiconductor device in accordance with the present invention;
  • FIG. 2 is another process drawing illustrating one embodiment of a method of manufacturing the semiconductor device in accordance with the present invention;
  • FIGS. 3A and 3B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 4A and 4B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 5A and 5B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 6A and 6B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 7A and 7B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 8A and 8B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 9A and 9B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 10A and 10B are graphs intended to explain the advantages of the embodiment;
  • FIGS. 11A and 11B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 12A and 12B are graphs intended to explain the advantages of the embodiments;
  • FIGS. 13A and 13B are wafer map to explain the advantages of the embodiments;
  • FIGS. 14A and 14B are wafer map to explain the advantages of the embodiments;
  • FIG. 15 is a cross-sectional view intended to explain problems with a related art;
  • FIGS. 16A and 16B are cross-sectional views intended to explain problems with a related art;
  • FIGS. 17A and 17B are cross-sectional views intended to explain problems with a related art; and
  • FIGS. 18A and 18B are cross-sectional views intended to explain problems with a related art.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Hereinafter, the preferred embodiments of a method of manufacturing a semiconductor device in accordance with the present invention will be described while referring to the accompanying drawings. Note that in the description of the drawings, same components are denoted by same reference numerals and will not be explained again.
  • FIGS. 1 and 2 are process drawings illustrating one embodiment of a method of manufacturing a semiconductor device in accordance with the present invention. This manufacturing method is intended to manufacture a semiconductor device having a low-k dielectric layer 10 (first insulating layer) and a cap layer 20 (second insulating layer) provided thereon. No etch stop layer is interposed between the low-k dielectric layer 10 and the cap layer 20.
  • The relative permittivity of the low-k dielectric layer 10 is preferably no greater than 3.5. As materials for composing the low-k dielectric layer 10, polyorganosiloxane, aromatic-containing organic material, hydrogen silsesquioxane (HSQ), spin-on glass (SOG) or flowable oxide (FOX: registered trademark), for example, may be used. As examples of polyorganosiloxane, there may be mentioned SiOC, methylsilsesquioxane (MSQ), methylated hydrogen silsesquioxane (MHSQ), and the like. Low-k dielectric layers made of SiOC includes for example, Black Diamond (registered trademark, hereinafter referred to as “BD”) made by Applied Materials, Coral made by Novellus Systems Inc., and Aurola made by ASM International.
  • In addition, as examples of aromatic-containing organic material, there may be mentioned polyphenylene, polyarylether (PAE), divinylsiloxane-bis-benzocyclobutene, and the like. Low-k dielectric layers made of polyphenylene include, for example, SiLK (registered trademark) made by Dow Chemical Company. Furthermore, low-k dielectric layers made of polyarylether include, for example, Flare made by Honeywell Electric Materials. Note that the low-k dielectric layer 10 may be in a porous state.
  • As materials for composing the cap layer 20, there may be mentioned SiO2, SiC, SiCN, SiN, benzocyclobutene (BCB), and the like.
  • First, the cap layer 20 is etched (first etching step) under the first etching conditions using resist 30 as a mask (FIG. 1) without exposing the low-k dielectric layer 10. The first etching conditions are preferably such conditions as are suited to the processing of the cap layer 20, i.e., conditions whereby the cap layer 20 can be processed with excellent etch uniformity. In addition, the etching time in the first etching step is preferably no shorter than 60% but no longer than 90% of the etching time (end point) required to etch the cap layer 20 to the last. The etching time required to etch the cap layer 20 to the last can be determined using, for example, an end point detector (EPD) system. This etching time corresponds to a period of time for which etching is carried out so that “t1” is no shorter than 10% but no longer than 40% of t2 when etching the “t2”-thick cap layer 20 as a remnant 22 to a thickness of “t1” in the first etching step.
  • Next, the remnant 22 of the cap layer 20 and the low-k dielectric layer 10 are etched using the second etching conditions different from the first etching conditions, without changing the etching conditions (second etching step) (FIG. 2). In the present embodiment, the first and second etching steps are carried out using an etching apparatus provided with upper and lower electrodes having mutually different high-frequency outputs. In addition, these etching steps are carried out as part of, for example, a dual damascene process. Note that the second etching step may be started either immediately or a predetermined length of time (stabilization time) later after the completion of the first etching step. The stabilization time is, for example, approximately 3 seconds.
  • The second etching conditions are preferably such conditions as to virtually prohibit any selection ratio from being applied between the low-k dielectric layer 10 and the cap layer 20. In addition, the flow rate of oxygen is preferably higher under the second etching conditions than under the first etching conditions. The mixing ratio of oxygen in the second etching conditions is preferably no lower than 0.4% but no higher than 2.6%. Furthermore, the high-frequency output (hereinafter referred to as the “top power”) of the upper electrode is preferably higher under the second etching conditions than under the first etching conditions. The top power under the second etching conditions is preferably no lower than 1300 W but no higher than 2200 W.
  • A preferred example of the first etching conditions is as follows:.
    • A gas consisting primarily of CH4-nFn (“n” is a natural number equal to or smaller than 4) is used with a pressure being no lower than 30 mTorr but no higher than 60 mTorr, the top power being no lower than 200 W but no higher than 600 W, the bias output being no lower than 700 W but no higher than 1300 W, and the flow rate of argon (Ar) being no lower than 0.91/min (900 sccm) but no higher than 1.81/min (1800 sccm).
  • On the other hand, a preferred example of the second etching conditions is as follows:
    • A gas consisting primarily of CH4-nFn (“n” is a natural number equal to or smaller than 4) is used with a pressure being, no lower than 30 mTorr but no higher than 60 mTorr, the top power being no lower than 1300 W but no higher than 2200 W, the bias output being no lower than 600 W but no higher than 1200 W, and the flow rate of argon (Ar) being no lower than 0.21/min (200 sccm) but no higher than 0.61/min (600 sccm).
  • Note that in some cases, the gas flow rate may be higher (for example, 9:1 in terms of a partial pressure ratio) in the vicinity of the central part of a wafer than in the vicinity of the edge part thereof. In that case, gas flow rates exemplified in the present specification refer to those in the vicinity of the central part of a wafer.
  • Now, the advantages of the present embodiment will be described. In the present embodiment, the etching of the cap layer 20 is temporarily stopped halfway, and then the remnant 22 of the cap layer 20 and the low-k dielectric layer 10 are etched under different etching conditions. By prohibiting the low-k dielectric layer 10 from being exposed immediately after the completion of the first etching step, as described above, it is possible to prevent a deposition layer having irregular thickness from being deposited. Accordingly, the uniformity of processing shape resulting from the processing of the low-k dielectric layer 10 improves.
  • In contrast, according to the method disclosed in Tokukai 2004-71731, the uniformity of processing shape resulting from the processing of the first insulating layer degrades, as described above. Now, a specific example of this problem will be described. In general, etching proceeds according to competitive reaction between depositions accumulating on a wafer and ions plunging into the wafer. At this time, the speed at which the depositions accumulate and the uniformity of the depositions differ depending on the type thereof. In addition, there is a difference in etching characteristics between an SiO2 layer and a low-k dielectric layer. For example, the SiO2 layer has difficulties in facilitating etching in the absence of a physical sputtering element, while the low-k dielectric layer containing carbon and hydrogen is easy to be etched by not a physical etching but a chemical etching.
  • Accordingly, as shown in FIG. 15, excellent uniformity is maintained within the wafer in terms of the amount of depositions during the etching of the SiO2 layer 106, since a balance is reached between depositions P1 accumulating in a trench and ions P2 plunging into the trench. However, the balance of depositions accumulating on the low-k dielectric layer 1104 disrupts due to a difference in deposition constituents produced from the low-k dielectric layer 104, such as carbon and hydrogen, and due to a difference in the probability of adherence of the depositions to the low-k dielectric layer 104, as soon as the low-k dielectric layer 104 is exposed. FIGS. 16A and 16B respectively show conditions in the vicinity of the central and edge parts of the wafer at this point. In these figures, a via stop layer 102, the low-k dielectric layer 104, the cap layer 106 and resist 108 are stacked sequentially in this order on an interlayer insulating layer 100. In the interlayer insulating layer 100, there are formed elements, such as transistors, and wires (both are not shown in the figure).
  • Consequently, as shown in FIGS. 17A and 17B, a deposition layer 110 a near the central part of the wafer is thicker than a deposition layer 110 b near the edge part of the wafer. As a result, as shown in FIGS. 18A and 18B, an etch depth “d1” near the central part of the wafer is smaller than an etch depth d2 near the edge part of the wafer when etching the low-k dielectric layer 104. In this way, the uniformity of etch depth and the like within the wafer becomes impaired due to a difference in the thickness of deposition layers. In contrast, according to the present embodiment, a high degree of uniformity of etch depth can be obtained within wafer.
  • In addition, if a deposition layer accumulates on the low-k dielectric layer 10, the throughput decreases and the manufacture efficiency is lowered since an extra amount of time is consumed in order to remove the deposition layer. According to the present embodiment, it is possible to also avoid these problems.
  • Incidentally, when forming the cap layer 20 on the low-k dielectric layer 10, there may be a case that a transmuted layer is produced between these layers. This transmuted layer is, for example, a layer composed of an SiON-like material. A possible cause for the transmuted layer being formed is that a raw material gas for the cap layer 20 triggers unwanted reactions when the cap layer 20 is formed using a CVD method. Unfortunately, the presence of this transmuted layer can also be a cause for the impairment of etch uniformity within wafer, like the deposition layers. This is because the transmuted layer is thicker near the central part of the wafer than near the edge part thereof. In contrast, according to the present embodiment, it is possible to adequately suppress the effects of the transmuted layer even if such a transmuted layer exists, by setting the second etching conditions so that a selection ratio with respect to the transmuted layer also decreases. Accordingly, it is possible to alleviate etch non-uniformity attributable to the transmuted layer.
  • In the present embodiment, there is further provided the cap layer 20 on the low-k dielectric layer 10. Consequently, it is possible to prevent the low-k dielectric layer 10 from not only suffering damage but also absorbing moisture at the time of chemical mechanical polishing (CMP).
  • Furthermore, there is no etch stop layer interposed between the low-k dielectric layer 10 and the cap layer 20. Accordingly, it is possible to reduce the cost of manufacturing a semiconductor device. In contrast, if an etch stop layer is provided as in the case of the semiconductor device described in Tokukai 2003-332421, the frequency of film-forming increases by as much as the number of additional steps of forming the etch stop layer and then forming an interlayer insulating layer thereon, thus resulting in an increase in the manufacturing costs.
  • In cases where an etching time in the first etching step is no shorter than 60% but no longer than 90% of an end point time, it is possible to improve etch uniformity within wafer. In this regard, FIGS. 3 to 5 show the results of tests performed to examine a relationship between the etching time and the irregularity of etch depth. In the tests mentioned above, an SiO2 layer was used as the cap layer 20. In addition, the-first etching conditions, i.e., the etching conditions of the SiO2 layer were set so that the pressure was 40 mTorr, the top power was 300 W, the bias output was 1000 W, the flow rate of CHF3 was 0.0351/min (35 sccm), the flow rate of CF4 was 0.0551/min (55 sccm), and the flow rate of Ar was 0.91/min (900 sccm).
  • FIGS. 3A and 3B are graphs showing test results when the etching time was specified as 70% of the end point time. The horizontal axes of these graphs represent the dimensions of a pattern of lines and spaces, i.e., line width (nm)/space width (nm). In addition, the vertical axes represent etch depth (nm) in FIG. 3A and a difference in the etch depth (nm) in FIG. 3B. The etch depths were measured at points 7 mm away from the central part (cntr) and from the edge part of the wafer, respectively. The etch depth was defined as a value obtained by subtracting the former measured value from the latter measured value.
  • FIGS. 4A and 4B show test results when the etching time was specified as 85% of the end point time, whereas FIGS. 5A and 5B show test results when the etching time was specified as 95% of the end point time. The meanings of the graphs shown in FIGS. 4A and 5A are the same as those of the graph shown in FIG. 3A. Likewise, the meanings of the graphs shown in FIGS. 4B and 5B are the same as those of the graph shown in FIG. 3B.
  • Comparison between these graphs reveals that the irregularity of etch depth is smaller when the etching time is within the above-described range (see FIG. 3 or FIG. 4) than when the etching time is out of the above-described range (no shorter than 60% but no longer than 90% of the end point time) (see FIG. 5).
  • FIGS. 6A and 6B are graphs showing the results of measuring the etch rate profiles of an SiO2 layer within wafer in cases where the present embodiment was applied. In contrast, FIGS. 7A and 7B are graphs showing the results of measuring the etch rate profiles of the SiO2 layer within wafer in cases where the present embodiment was not applied. The horizontal axes of these graphs represent a position (mm) measured with the center of the wafer defined as the point of origin, whereas the vertical axes represent an etch rate (nm/min). In addition, the X-axis denotes a horizontal direction when the notch of the wafer is faced downwardly and the Y-axis denotes a direction perpendicular to that direction, i.e., a vertical direction. FIGS. 6A and 7A show the results of measurement after parts in the chamber of the etching apparatus wore out, whereas FIGS. 6B and 7B show the results of measurement when the parts were new.
  • Comparison between these graphs reveals that an etch rate profile varies according to the degree of wear of parts in cases where the present embodiment was not applied (see FIG. 7), whereas the etch rate profile does not depend on the degree of wear of parts in cases where the present embodiment was applied (see FIG. 6). As described above, the manufacturing method in accordance with the present embodiment is superior in long-term stability.
  • In cases where the mixing ratio of oxygen in the second etching conditions is no lower than 0.4%, it is possible to improve etch uniformity within wafer. Furthermore, in cases where the mixing ratio of oxygen is no higher than 2.6%, it is possible to secure a sufficiently high selection ratio with respect to the resist 30 and control the degree of roughness to a minimum.
  • In this regard, FIGS. 8 and 9 show the results of tests performed to examine a relationship between the mixed amount of oxygen and the irregularity of etch depth in the second etching step. In the tests mentioned above, BD was used as the low-k dielectric layer 10. In addition, the second etching conditions were set so that the pressure was 40 mTorr, the top power was 1900 W, the bias output was 600 W., the flow rate of CHF3 was 0.0231/min (23 sccm), the flow rate of CF4 was 0.0231/min (23 sccm), and the flow rate of Ar is 0.41/min (400 sccm). In this case, the condition of the mixing ratio of oxygen being no lower than 0.4% but no higher than 2.6% corresponds to the condition of the flow rate of O2 being no lower than 0.0021/min (2 sccm) but no higher than 0.0121/min (12 sccm). In FIGS. 8 and 9, the flow rates of O2 were respectively set to 0.0031/min (3 sccm) and 0.0061/min (6 sccm). Other conditions are as described with regard to FIGS. 3 to 5. The meanings of the graphs shown in FIGS. 8A and 9A are the same as those of the graph shown in FIG. 3A. Likewise, the meanings of the graphs shown in FIGS. 8B and 9B are the same as those of the graph shown in FIG. 3B.
  • Comparison between these graphs reveals that the etch rate increases mainly in the vicinity of the central part of the wafer as the mixed amount of O2 increases, thus resulting in a decrease in the irregularity of etch depth. A possible cause for this is that O2 has the capability to decrease the amount of the depositions described earlier.
  • In cases where the top power in the second etching conditions is no lower than 1300 W but no higher than 2200 W, it is possible to improve etch uniformity within wafer. In this regard, FIGS. 10 to 12 show the results of tests performed to examine a relationship between the top power and the irregularity of etch depth. FIGS. 10, 11 and 12 show test results when the top power was set to 1000 W, 1400 W and 1600 W, respectively. The meanings of the graphs shown in FIGS. 10A, 11A and 12A are the same as those of the graph shown in FIG. 3A. Likewise, the meanings of the graphs shown in FIGS. 10B, 11B and 12B are the same as those of the graph shown in FIG. 3B.
  • Comparison between these graphs reveals that the etch rate increases mainly in the vicinity of the central part of the wafer as the top power increases, thus resulting in a decrease in the irregularity of etch depth. An increase in the top power facilitates decomposing of gases, thereby producing a fluorine-rich plasma. In addition, electron density increases easily in the central part of the wafer. Accordingly, a possible cause for the decrease in the irregularity of etch depth is that a fluorine-rich state was created in the central part of the wafer in particular and the etch rate increased in that part, thus reducing a difference in the etch depth.
  • Incidentally, an etching apparatus has a variety of consumable parts around a stage for fixing wafers. For example, a focus ring made of Si is located around a wafer. The wear of this focus ring made of Si affects the flow of gas passing above the wafer, thereby exercising an influence mainly on the depth and the film quality of depositions accumulating on the wafer. In addition, the resistance value of the focus ring varies according to the degree of wear thereof since the focus ring is located in the periphery of the stage, thereby affecting the state of convergence of a plasma. This also affects the film quality and thickness of depositions accumulating on the top surface of the wafer, as well as the orientation and the quantity of ions plunging into the wafer. Because of this phenomenon, the condition of a chamber varies on a day-to-day basis depending on the degree of wear of parts and there occurs a process shift, such as a variation in the etch depth between the central and edge parts of the wafer, in an etching apparatus used for production. It is therefore extremely important to set etching conditions in which a characteristic change in consumable parts are less influential to process characteristics.
  • In this regard, FIGS. 13A and 13B show the results of measuring etch depth profiles within wafer in cases where the present embodiment was applied. In contrast, FIGS. 14A and 14B show the results of measuring etch depth profiles within wafer in cases where the present embodiment was not applied. These figures are the results of optical critical dimension (OCD) measurement performed on patterns the line and space widths of which are equally 140 nm. The term “Uniformity” as used in the tables of each figure refers to a value calculated according to the formula “(max.−min.)/(2×Average)×100”. FIGS. 13A and 14A show the results of measurement after parts in the chamber of the etching apparatus wore out, whereas FIGS. 13B and 14B show the results of measurement when the parts were new.
  • Comparison between these measurement results shows that the irregularity of etch depth changes depending on the degree of wear of parts in cases where the present embodiment was not applied (see FIG. 14). On the other hand, the irregularity of etch depth hardly depends on the degree of wear of parts in cases where the present embodiment was applied (see FIG. 14).
  • The method of manufacturing a semiconductor device in accordance with the present invention is not limited to the above-described embodiments but may be modified in various other ways. In addition to the combinations exemplified in the above-described embodiments, a variety of other combinations are conceivable with regard to combinations of the first and second insulating layers. For example, both the first and second insulating layers may be low-k dielectric layers. A combination of low-k dielectric layers composed of the same material (for example, SiOC) is also acceptable as long as one of them is a porous layer. In that case, it is preferable that the first insulating layer be the porous layer.
  • While in the above-described embodiments, an example has been shown wherein no etch stop layer is interposed between the first and second insulating layers, an etch stop layer may be interposed therebetween. In that case, it is possible to further improve etch uniformity.
  • Furthermore, while in the above-described embodiments an example has been shown wherein a high-frequency output is applied to both the upper and lower electrodes, an etching apparatus wherein the high-frequency output is applied only to one of the upper and lower electrodes may be used.

Claims (19)

1. A method of manufacturing a semiconductor device having a first insulating layer and a second insulating layer provided thereon, comprising:
etching said second insulating layer without exposing said first insulating layer under first etching condition; and
etching remnant of said second insulating layer left over said first insulating layer and said first insulating layer under second etching condition different from the first etching condition.
2. The method of manufacturing a semiconductor device as claimed in claim 1, wherein said second etching condition has not etching selectivity to said first and second insulating layer.
3. The method of manufacturing a semiconductor device as claimed in claim 1, wherein an etching time in said first etching step is no shorter than 60% but no longer than 90% of an etching time required to etch said second insulating layer to the last.
4. The method of manufacturing a semiconductor device as claimed in claim 1, wherein the thickness of said remnant of said second insulating layer is no less than 10% but no greater than 40% of the total thickness of said second insulating layer.
5. The method of manufacturing a semiconductor device as claimed in claim 1, wherein the flow rate of oxygen is larger under said second etching conditions than under said first etching conditions.
6. The method of manufacturing a semiconductor device as claimed in claim 5, wherein the mixing ratio of oxygen in said second etching conditions is no lower than 0.4% but no higher than 2.6%.
7. The method of manufacturing a semiconductor device as claimed in claim 1, wherein top power is higher under said second etching conditions than under said first etching conditions.
8. The method of manufacturing a semiconductor device as claimed in claim 7, wherein said top power under said second etching conditions is no lower than 1300 W but no higher than 2200 W.
9. The method of manufacturing a semiconductor device as claimed in claim 1, wherein said second insulating layer has permittivity higher than that of said first insulating layer.
10. The method of manufacturing a semiconductor device as claimed in claim 1, wherein said first insulating layer is a low-k dielectric layer.
11. The method of manufacturing a semiconductor device as claimed in claim 10, wherein said first insulating layer is made of SiOC.
12. The method of manufacturing a semiconductor device as claimed in claim 1, wherein said second insulating layer is made of SiO2, SiC, SiCN, SiN or BCB.
13. The method of manufacturing a semiconductor device as claimed in claim 1, wherein no etch stop layer is interposed between said first and second insulating layers.
14. The method of manufacturing a semiconductor device as claimed in claim 1, wherein said first and second etching steps are carried out as part of a dual damascene process.
15. The method of manufacturing a semiconductor device as claimed in claim 1, wherein
a gas consisting primarily of CH4-nFn (“n” is a natural number equal to or smaller than 4) is used with a pressure being no lower than 30 mTorr but no higher than 60 mTorr,
top power being no lower than 200 W but no higher than 600 W,
bias output thereof being no lower than 700 W but no higher than 1300 W, and
flow rate of Ar being no lower than 0.91/min (900 sccm) but no higher than 1.81/min (1800 sccm) under said first condition.
16. The method of manufacturing a semiconductor device as claimed in claim 1, wherein
a gas consisting primarily of CH4-nFn (“n” is a natural number equal to or smaller than 4) is used with a pressure being no lower than 30 mTorr but no higher than 60 mTorr,
top power being no lower than 1300 W but no higher than 2200 W,
bias output thereof being no lower than 600 W but no higher than 1200 W, and
flow rate of Ar being no lower than 0.21/min (200 sccm) but no higher than 0.61/min (600 sccm) under said second condition.
17. A method of a semiconductor devices comprising:
forming a first insulating layer and a second insulating layer on the first insulating layer;
performing an etching process in a first condition to make a hole in the second insulating layer, the hole having a depth that is smaller than a thickness of the second insulating layer so that a portion of the second insulating layer intervening between the first hole and the first insulating layer; and
performing an etching process in a second condition to remove the portion of the second insulating layer and make a second hole in the first insulating layer, the second condition being different from the first condition.
18. The method as claimed in claim 17, wherein the first and second holes are substantial equal in size to each other.
19. The method as claimed in claim 17, wherein the first and second insulating layer s are different in a material from each other.
US11/979,798 2006-11-16 2007-11-08 Method of manufacturing semiconductor device Abandoned US20080119054A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/321,006 US9466503B2 (en) 2006-11-16 2014-07-01 Method of manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP310464/2006 2006-11-16
JP2006310464A JP5103006B2 (en) 2006-11-16 2006-11-16 Manufacturing method of semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/321,006 Continuation US9466503B2 (en) 2006-11-16 2014-07-01 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US20080119054A1 true US20080119054A1 (en) 2008-05-22

Family

ID=39417447

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/979,798 Abandoned US20080119054A1 (en) 2006-11-16 2007-11-08 Method of manufacturing semiconductor device
US14/321,006 Expired - Fee Related US9466503B2 (en) 2006-11-16 2014-07-01 Method of manufacturing semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/321,006 Expired - Fee Related US9466503B2 (en) 2006-11-16 2014-07-01 Method of manufacturing semiconductor device

Country Status (3)

Country Link
US (2) US20080119054A1 (en)
JP (1) JP5103006B2 (en)
CN (1) CN101183645B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9466503B2 (en) 2006-11-16 2016-10-11 Renesas Electronics Corporation Method of manufacturing semiconductor device
US20180166321A1 (en) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced trench loading effect
US11682600B2 (en) * 2019-08-07 2023-06-20 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Protection layer for panel handling systems

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101937866B (en) * 2009-07-03 2012-07-11 中芯国际集成电路制造(上海)有限公司 Metal wiring method
CN102024790B (en) * 2009-09-22 2012-08-22 中芯国际集成电路制造(上海)有限公司 Semiconductor device, manufacturing method, and integrated circuit and electronic equipment including the same
CN102087992B (en) * 2009-12-04 2013-04-17 中芯国际集成电路制造(上海)有限公司 Method for forming contact holes
CN111668091B (en) * 2019-03-07 2023-04-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same

Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5087591A (en) * 1985-01-22 1992-02-11 Texas Instruments Incorporated Contact etch process
US5966664A (en) * 1996-06-04 1999-10-12 Matsushita Electric Industrial Co., Ltd. Communication control method for requesting priority data
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US20010008226A1 (en) * 1998-07-09 2001-07-19 Hoiman Hung In-situ integrated oxide etch process particularly useful for copper dual damascene
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US20020008323A1 (en) * 2000-07-21 2002-01-24 Fujitsu Limited, Kawasaki, Japan Semiconductor device with dual damascene wiring
US6376366B1 (en) * 2001-05-21 2002-04-23 Taiwan Semiconductor Manufacturing Company Partial hard mask open process for hard mask dual damascene etch
US20020068442A1 (en) * 2000-12-04 2002-06-06 Fujitsu Limited Method for manufacturing a semiconductor device
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6734089B1 (en) * 2003-01-16 2004-05-11 Micron Technology Inc Techniques for improving wordline fabrication of a memory device
US6756300B1 (en) * 2002-12-18 2004-06-29 Advanced Micro Devices, Inc. Method for forming dual damascene interconnect structure
US20040185380A1 (en) * 2002-12-23 2004-09-23 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US6797630B1 (en) * 2002-06-28 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Partial via hard mask open on low-k dual damascene etch with dual hard mask (DHM) approach
US20040219796A1 (en) * 2003-05-01 2004-11-04 Chih-Ning Wu Plasma etching process
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20050269294A1 (en) * 2004-06-08 2005-12-08 Tokyo Electron Limited Etching method
US20060170106A1 (en) * 2005-01-31 2006-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene with via liner
US20060219174A1 (en) * 2004-06-21 2006-10-05 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US20070026677A1 (en) * 2002-10-11 2007-02-01 Lam Research Corporation Method for plasma etching performance enhancement
US20070059938A1 (en) * 2005-09-15 2007-03-15 Hanako Kida Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
US20070190792A1 (en) * 2006-02-10 2007-08-16 Tokyo Electron Limited Method and system for selectively etching a dielectric material relative to silicon
US20070193973A1 (en) * 2006-02-17 2007-08-23 Lam Research Corporation Infinitely selective photoresist mask etch
US7307025B1 (en) * 2005-04-12 2007-12-11 Lam Research Corporation Lag control
US20080020570A1 (en) * 2006-07-18 2008-01-24 Applied Materials, Inc. Dual damascene fabrication with low k materials
US20080166872A1 (en) * 2005-08-10 2008-07-10 Fujitsu Limited Method of producing semiconductor device
US7723238B2 (en) * 2004-06-16 2010-05-25 Tokyo Electron Limited Method for preventing striation at a sidewall of an opening of a resist during an etching process
US20110272097A1 (en) * 2004-06-21 2011-11-10 Akira Koshiishi Plasma processing apparatus and method
US20120145324A1 (en) * 2004-06-21 2012-06-14 Akira Koshiishi Plasma processing apparatus and method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3713869B2 (en) 1997-02-17 2005-11-09 ソニー株式会社 Manufacturing method of semiconductor device
JP3781290B2 (en) * 2002-03-20 2006-05-31 ソニー株式会社 Method for processing laminated insulating film and method for forming wiring structure
JP4110829B2 (en) 2002-05-10 2008-07-02 ソニー株式会社 Manufacturing method of semiconductor device
JP4067357B2 (en) 2002-08-05 2008-03-26 株式会社アルバック Etching method
JP4487489B2 (en) * 2002-09-20 2010-06-23 三菱電機株式会社 Embedding material and manufacturing method of semiconductor integrated circuit using the embedding material
JP4749683B2 (en) 2004-06-08 2011-08-17 東京エレクトロン株式会社 Etching method
JP4722550B2 (en) * 2004-06-16 2011-07-13 東京エレクトロン株式会社 Manufacturing method of semiconductor device
CN1632927A (en) * 2004-12-23 2005-06-29 上海华虹(集团)有限公司 Plasma etching method for eliminating organic substance using sulfur dioxide mixture gas
JP4599212B2 (en) * 2005-04-15 2010-12-15 株式会社日立ハイテクノロジーズ Plasma processing method
US7367343B2 (en) * 2006-01-23 2008-05-06 Micron Technology, Inc. Method of cleaning a surface of a cobalt-containing material, method of forming an opening to a cobalt-containing material, semiconductor processing method of forming an integrated circuit comprising a copper-containing conductive line, and a cobalt-containing film cleaning solution
JP5243046B2 (en) * 2006-01-25 2013-07-24 シャープ株式会社 Semiconductor device manufacturing method and semiconductor device
US8247855B2 (en) * 2006-09-12 2012-08-21 Texas Instruments Incorporated Enhanced local interconnects employing ferroelectric electrodes
JP5103006B2 (en) 2006-11-16 2012-12-19 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device

Patent Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5087591A (en) * 1985-01-22 1992-02-11 Texas Instruments Incorporated Contact etch process
US5966664A (en) * 1996-06-04 1999-10-12 Matsushita Electric Industrial Co., Ltd. Communication control method for requesting priority data
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6399511B2 (en) * 1998-07-09 2002-06-04 Applied Materials, Inc. Plasma etch process in a single inter-level dielectric etch
US20010000246A1 (en) * 1998-07-09 2001-04-12 Betty Tang Plasma etch process in a single inter-level dielectric etch
US20010004552A1 (en) * 1998-07-09 2001-06-21 Betty Tang Plasma etch process in a single inter-level dielectric etch
US20010008226A1 (en) * 1998-07-09 2001-07-19 Hoiman Hung In-situ integrated oxide etch process particularly useful for copper dual damascene
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US20020142598A1 (en) * 1998-07-09 2002-10-03 Betty Tang Plasma dielectric etch process using a long fluorocarbon
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20050001323A1 (en) * 2000-07-21 2005-01-06 Fujitsu Limited Semiconductor device with dual damascene wiring
US20020008323A1 (en) * 2000-07-21 2002-01-24 Fujitsu Limited, Kawasaki, Japan Semiconductor device with dual damascene wiring
US20020068442A1 (en) * 2000-12-04 2002-06-06 Fujitsu Limited Method for manufacturing a semiconductor device
US6451688B1 (en) * 2000-12-04 2002-09-17 Fujitsu Limited Method for manufacturing a semiconductor device
US6376366B1 (en) * 2001-05-21 2002-04-23 Taiwan Semiconductor Manufacturing Company Partial hard mask open process for hard mask dual damascene etch
US6797630B1 (en) * 2002-06-28 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Partial via hard mask open on low-k dual damascene etch with dual hard mask (DHM) approach
US20070026677A1 (en) * 2002-10-11 2007-02-01 Lam Research Corporation Method for plasma etching performance enhancement
US6756300B1 (en) * 2002-12-18 2004-06-29 Advanced Micro Devices, Inc. Method for forming dual damascene interconnect structure
US20040185380A1 (en) * 2002-12-23 2004-09-23 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US7465673B2 (en) * 2002-12-23 2008-12-16 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US6734089B1 (en) * 2003-01-16 2004-05-11 Micron Technology Inc Techniques for improving wordline fabrication of a memory device
US20040219796A1 (en) * 2003-05-01 2004-11-04 Chih-Ning Wu Plasma etching process
US20050269294A1 (en) * 2004-06-08 2005-12-08 Tokyo Electron Limited Etching method
US7723238B2 (en) * 2004-06-16 2010-05-25 Tokyo Electron Limited Method for preventing striation at a sidewall of an opening of a resist during an etching process
US20110272097A1 (en) * 2004-06-21 2011-11-10 Akira Koshiishi Plasma processing apparatus and method
US20060219174A1 (en) * 2004-06-21 2006-10-05 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US20120145324A1 (en) * 2004-06-21 2012-06-14 Akira Koshiishi Plasma processing apparatus and method
US20060170106A1 (en) * 2005-01-31 2006-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene with via liner
US7387961B2 (en) * 2005-01-31 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene with via liner
US7307025B1 (en) * 2005-04-12 2007-12-11 Lam Research Corporation Lag control
US20080166872A1 (en) * 2005-08-10 2008-07-10 Fujitsu Limited Method of producing semiconductor device
US20070059938A1 (en) * 2005-09-15 2007-03-15 Hanako Kida Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
US20070190792A1 (en) * 2006-02-10 2007-08-16 Tokyo Electron Limited Method and system for selectively etching a dielectric material relative to silicon
US20070193973A1 (en) * 2006-02-17 2007-08-23 Lam Research Corporation Infinitely selective photoresist mask etch
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20080020570A1 (en) * 2006-07-18 2008-01-24 Applied Materials, Inc. Dual damascene fabrication with low k materials

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9466503B2 (en) 2006-11-16 2016-10-11 Renesas Electronics Corporation Method of manufacturing semiconductor device
US20180166321A1 (en) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced trench loading effect
US11031279B2 (en) * 2016-12-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced trench loading effect
US11682600B2 (en) * 2019-08-07 2023-06-20 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Protection layer for panel handling systems

Also Published As

Publication number Publication date
CN101183645B (en) 2013-08-21
US9466503B2 (en) 2016-10-11
CN101183645A (en) 2008-05-21
US20140315388A1 (en) 2014-10-23
JP5103006B2 (en) 2012-12-19
JP2008130604A (en) 2008-06-05

Similar Documents

Publication Publication Date Title
US9466503B2 (en) Method of manufacturing semiconductor device
US20070059913A1 (en) Capping layer to reduce amine poisoning of photoresist layers
US7790601B1 (en) Forming interconnects with air gaps
US20010054765A1 (en) Semiconductor device and method and apparatus for manufacturing the same
JP2006013190A (en) Method of manufacturing semiconductor device
US20080299718A1 (en) Damascene process having retained capping layer through metallization for protecting low-k dielectrics
JP2010016083A (en) Method for manufacturing semiconductor device
US7569481B2 (en) Method for forming via-hole in semiconductor device
US20010034137A1 (en) Semiconductor device and manufacturing method of the device
US7172965B2 (en) Method for manufacturing semiconductor device
US6413438B1 (en) Method of forming via hole by dry etching
US20120115303A1 (en) Method of fabricating damascene structures
US7338897B2 (en) Method of fabricating a semiconductor device having metal wiring
US20110097899A1 (en) Method of forming funnel-shaped opening
US11688604B2 (en) Method for using ultra thin ruthenium metal hard mask for etching profile control
JP4948278B2 (en) Manufacturing method of semiconductor device
JP4067357B2 (en) Etching method
JP2005005697A (en) Manufacturing method of semiconductor device
US20070072412A1 (en) Preventing damage to interlevel dielectric
US7135406B2 (en) Method for damascene formation using plug materials having varied etching rates
US11081478B2 (en) Interconnect structure having a fluorocarbon layer
US20060105573A1 (en) Method for selective plasma etch of an oxide layer
CN108281381B (en) Preparation method of semiconductor interconnection structure
JP2001077087A (en) Manufacture and etching method of semiconductor device
US7160810B2 (en) Method for forming interlayer insulation film in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: NEC ELECTRONICS CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NAMBU, HIDETAKA;REEL/FRAME:020148/0930

Effective date: 20071102

AS Assignment

Owner name: RENESAS ELECTRONICS CORPORATION, JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:NEC ELECTRONICS CORPORATION;REEL/FRAME:025235/0321

Effective date: 20100401

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION