US20080045030A1 - Substrate processing method, substrate processing system and storage medium - Google Patents

Substrate processing method, substrate processing system and storage medium Download PDF

Info

Publication number
US20080045030A1
US20080045030A1 US11/889,582 US88958207A US2008045030A1 US 20080045030 A1 US20080045030 A1 US 20080045030A1 US 88958207 A US88958207 A US 88958207A US 2008045030 A1 US2008045030 A1 US 2008045030A1
Authority
US
United States
Prior art keywords
substrate
processing
plasma
film
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/889,582
Inventor
Shigeru Tahara
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2006221671A external-priority patent/JP5233097B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US11/889,582 priority Critical patent/US20080045030A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TAHARA, SHIGERU
Publication of US20080045030A1 publication Critical patent/US20080045030A1/en
Priority to US13/098,748 priority patent/US8492287B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Definitions

  • the present invention relates to a technique of removing ammonium silicofluoride formed on a substrate as a result of conducting a plasma process to the substrate.
  • Semiconductor device manufacturing includes a process of etching a substrate by using plasma. Processing techniques have become complicated more and more along with complexification of device structures and miniturization of patterns. Accordingly, in many cases, plural kinds of etching gases are used sequentially, for example, in the formation of recesses for burying interconnections and, accordingly, products comprising compounds maybe formed on a substrate and such products may remain on the surface of the substrate.
  • a film of a compound of Si and C such as an SiC (silicon carbide) film is used in some cases as an etch stop film (so-called, etch stopper) for protecting the surface of the Cu interconnections against an etching gas for the SiOCH film.
  • an Si-containing CF polymer is deposited on the surface of the Cu interconnection layer by the etching. Since the deposits cause increase of contact resistance, they have to be removed.
  • sacrificial films are often used for forming via holes connecting upper and lower layers and trenches as interconnection grooves for each layer simultaneously and an organic film is used as one of such sacrificial films.
  • the organic film as the sacrificial film is sometimes etched succeeding to etching of the SiC film.
  • an etching gas containing oxygen since it is necessary to prevent oxidation of Cu interconnections exposed on the surface of the substrate, it is necessary to avoid the use of an etching gas containing oxygen, and a plasma process is conducted by using, for example, ammonia gas as an etching gas for the SiC film.
  • the CF polymer described above is also etched simultaneously with the etching of the organic film, and this is an efficient process in this regard. Further, also in a case of removing only the CF polymer formed during the etching of the SiC film, it is more efficient to conduct the removing process (plasma process) using ammonia gas succeeding to the etching of the SiC film, compared with a case, for example, of conducting cleaning in a cleaning station.
  • the compound is hygroscopic and may possibly absorb water of a high dielectric constant to increase the dielectric constant of the wafer, as well as it may possibly oxidize barrier metals or interconnection materials. Therefore, the compound has to be removed from the surface of the substrate.
  • Patent Document 1 describes a technique of cleaning a wafer by using water or alcohol by utilizing the hygroscopicity (water solubility) of the compound.
  • hygroscopicity water solubility
  • Patent Document 2 describes a method of cleaning ammonium silicofluoride deposited onto the inside of a chamber by using nitrogen trifluoride gas and oxygen gas, but does not describe removal of the ammonium silicofluoride deposited on the substrate.
  • Patent Document 1 JP-A-2005-191275 ([0006], [0008] FIG. 2)
  • Patent Document 2 JP-A-2005-85956 ([0041] to [0045])
  • the present invention has been accomplished in view of the foregoing circumstances, and it is therefore the object of the present invention to provide a technique capable of removing toxic ammonium silicofluoride formed on a substrate by a plasma process, thereby preventing an adverse affect on human bodies.
  • the step (b) is conducted after the step (a).
  • the step (a) is a process for removing an etch stop film containing silicon and carbon formed on the surface of a metal interconnection formed on the substrate.
  • the step (b) is a process for removing an organic dielectric film containing carbon formed on a layer above the metal interconnection and/or a process for removing an organic film formed as a by-product on the metal interconnection by the step (a).
  • the step of heating the substrate is conducted in a processing vessel different from the processing vessel in which the step (a) and the step (b) are conducted.
  • the processing gas containing fluorine is a gas containing fluorine and carbon.
  • the processing gas containing nitrogen and hydrogen is ammonia gas.
  • the substrate processing system according to the present invention is characterized by including;
  • a plasma processing apparatus for conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate, and
  • a heating apparatus that heats the substrate in a processing vessel at a temperature not less than a decomposition temperature of ammonium silicofluoride in the processing vessel for decomposing the ammonium silicofluoride formed on the substrate by the plasma processes in the plasma processing apparatus.
  • the plasma processing apparatus is configured so as to conduct the step (b) succeeding to the step (a).
  • the processing vessel of the plasma processing apparatus and the processing vessel of the heating apparatus are different from each other, and the processing vessels are air tightly connected to a transfer chamber having a vacuum atmosphere and provided with substrate transfer means.
  • the storage medium according to the present invention is characterized by storing a computer program to be used for a substrate processing system for processing a substrate in a processing vessel, and to be run on a computer, wherein
  • the heat treatment is applied to the substrate, after applying a plasma process to the substrate having a silicon-containing film formed thereon which results in formation of an ammonium silicofluoride on the substrate and before placing the substrate to the surrounding atmosphere, so as to remove the toxic ammonium silicofluoride, it is not possible that human bodies are adversely affected, and the ammonium silicofluoride can be removed conveniently and simply.
  • a substrate processing system 11 shown in FIG. 1 is called a cluster tool or a multi-chamber for conducting plasma processes and a heat treatment to be described later, and includes carrier chambers 12 a to 12 c , a first transfer chamber 13 , load lock chambers 14 and 15 , a second transfer chamber 16 , plasma processing apparatuses 51 to 53 , and a heating apparatus 54 . Further, an alignment chamber 19 is provided on a lateral side of the first transfer chamber 13 .
  • the load lock chambers 14 and 15 are provided with not illustrated vacuum pumps and leak valves so that the atmospheric atmosphere and a vacuum atmosphere can be switched.
  • the first transfer chamber 13 and the second transfer chamber 16 are provided therein with first transfer means 17 and second transfer means 18 , respectively.
  • the first transfer means 17 is a transport arm for transferring a wafer W between the carrier chambers 12 a to 12 c and the load lock chambers 14 and 15 and between the first transfer chamber 13 and the alignment chamber 19 , and is movable in the right-to-left direction of the drawing.
  • the second transfer means 18 is a transport arm for transferring a wafer W between the load lock chambers 14 , 15 and the plasma processing apparatuses 51 to 53 and the heating apparatuses 54 , and constituted such that arms, and is configured such that two arms thereof can rotate about an axis located substantially at the center of the second transfer chamber 16 and can also expand and contract.
  • the plasma processing apparatus 51 has a processing vessel 21 comprising a vacuum chamber, a mount stage 3 disposed at the center on the bottom of the processing vessel 21 and an upper electrode 4 disposed at a top region of the processing vessel 21 .
  • the processing vessel 21 is electrically grounded.
  • An exhausting device 23 including a vacuum pump, etc. is connected through an exhaust pipe 24 to an exhaust port 22 at the bottom of the processing vessel 21 .
  • a transport port 25 for a water W is disposed in a wall of the processing vessel 21 .
  • the transport port 25 can be opened or closed by a gate valve 26 .
  • the mount stage 3 comprises a lower electrode 31 , and a support 32 for supporting the lower electrode 31 from below, and is disposed at the bottom of the processing vessel 21 via an insulating member 33 .
  • An electrostatic chuck 34 is disposed at an upper part of the mount stage 3 .
  • the wafer W is electrostatically held on the mount stage 3 by application of a voltage from a high voltage DC power source 35 .
  • a temperature control flow channel 37 through which a predetermined temperature control medium passes is formed in the mount stage 3 , and the temperature of the water W is controlled to a desired temperature by the temperature controlling medium.
  • a gas flow channel 38 for supplying a heat conductive gas such as He (helium) gas as a backside gas is formed in the mount stage 3 , and the gas flow channel 38 opens into the upper surface of the mount stage 3 at plural locations.
  • the openings are in communication with through holes 34 a disposed to the electrostatic chuck 34 .
  • the lower electrode 31 is electrically grounded through a high pass filter (HPF) 3 a , and a high frequency power source 31 a of a frequency, e.g., 2 MHz, is connected through a matching device 31 b to the lower electrode 31 .
  • HPF high pass filter
  • a focus ring 39 is arranged at the outer peripheral edge of the lower electrode 31 so as to surround the electrostatic chuck 34 so that plasma is focused by the focus ring 39 onto the wafer W on the mount stage 3 when generating the plasma.
  • the upper electrode 4 is formed into a hollow shape and has a lower surface formed therein plural holes 41 , which are arranged to distribute uniformly for example, for dispersive supply of a processing gas into the processing vessel 21 , whereby the upper electrode 4 constitutes a gas shower head.
  • a gas introduction pipe 42 is disposed at the central portion of the upper face of the upper electrode 4 to penetrate the central portion of the upper face of the processing vessel 21 via an insulative member 27 .
  • the gas introduction pipe 42 is divided at the upstream side thereof into five branch pipes 42 A to 42 E, which are connected through valves 43 A to 43 E and flow control sections 44 A to 44 E to the gas supply sources 45 A to 45 E.
  • the valves 43 A to 43 E, and the flow control sections 44 A to 44 E constitute a gas supply system 46 .
  • the upper electrode 4 is electrically grounded through a low pass filter (LPF) 47 , and a high frequency power source 4 a , as plasma generation means, of a frequency, e.g., 60 MHz, higher than the high frequency of the high frequency power source 31 a is connected to the upper electrode 4 through a matching box 4 b.
  • LPF low pass filter
  • the high frequency wave supplied from the high frequency power source 4 a connected to the upper electrode 4 is used for converting the processing gas into plasma, and high frequency waves supplied from the high frequency power source 31 a connected to the lower electrode 31 is used for applying a bias power to the wafer W to draw ions in the plasma onto the surface of the wafer W.
  • the heating apparatus 54 has a processing vessel 91 and a mount stage 92 in which a heater 98 is buried as heating means.
  • the heater 98 can elevate the temperature of the wafer W to a decomposition temperature of ammonium silicofluoride, for example, at a temperature of 100° C. or higher.
  • a suction port 96 is formed in the lower face of the processing vessel 91 , and the atmosphere in the processing vessel 91 is exhausted by a vacuum pump 97 constituting exhaust means connected to the upstream thereof.
  • a not shown detoxifying device is connected to the vacuum pump 97 such that the exhaust gas from the vacuum pump 97 flows into the detoxifying device so that gases such as a gas of ammonium silicofluoride 81 decomposed by the heating process of the wafer W (described later) is detoxified.
  • a gas supply port 95 is formed in the upper face of the processing vessel 91 at a position opposed to the wafer W, so that a nitrogen gas or the like can be supplied from the gas source 94 into the processing vessel 91 .
  • An opening 93 a for loading and unloading of the wafer W is formed in a lateral side of the processing vessel 91 .
  • the opening 93 a is opened or closed by the gate valve 93 b.
  • the substrate processing system 11 is provided with a controller 2 A comprising, for example, a computer, and the controller 2 A has a data processing section comprising a program, a memory, and a CPU.
  • the program is incorporated with commands for sending control signals from the controller 2 A to each of the component parts of the substrate processing system 11 for conducting processing and transportation of wafers W by proceeding each of the steps to be described later.
  • the memory has a region in which values of process parameters such as a process pressure, a process temperature, a process time, gas flow rates, or an electric power are written.
  • the processing parameters are read out and control signals in accordance with the parameter values are sent to each of the component parts of the substrate processing system 11 .
  • the program (also including programs regarding the inputting operation and display of processing parameters) is stored in a memory 2 B such as a computer storage medium, for example, a flexible disk, a compact disk or MO (magnetooptic disc) and installed to the controller 2 A.
  • a carrier which is a transport container for a wafer W is loaded through a gate door GT from the atmospheric side into any one of the carrier chambers 12 a to 12 c , and thereafter a wafer W is carried into the first transfer chamber 13 by the first transfer means 17 .
  • the wafer W is transferred by the first transfer means 17 into the alignment chamber 19 where the orientation of the wafer W is adjusted, and then the wafer W is transferred into the load lock chamber 14 (or 15 ).
  • the wafer W is transferred by the second transfer means 18 from the load lock chamber 14 through the second transfer chamber 16 and the gate valve 26 into the plasma processing apparatus 51 .
  • the second transfer means 18 is withdrawn from the processing vessel 21 and the gate value 26 is closed. Successively, a back side gas is supplied from the gas flow channel 38 to control the temperature of the wafer W to a predetermined temperature. Then, the following steps are conducted.
  • FIG. 4 ( a ) shows an example of an intermediate stage of the steps of forming an (n+1)th interconnection layer on a dielectric film as the nth (n: integer of 1 or greater) layer comprising an SiO2 film 71 and an organic film 72 and a copper interconnection 73 formed in the dielectric film.
  • the copper interconnection in the nth layer for connection with the (n ⁇ 1) th layer is not illustrated.
  • Deposited on the copper interconnection 73 are an SiC film 74 as an etch stop film, an SiOCH film 75 as an interlayer dielectric film, an organic film 76 , and an SiO2 film 77 as a hard mask in that order from below.
  • a recess 79 is formed in the SiOCH film 75 and the organic film 76 for aperturing a via hole.
  • the recess 79 is formed by a conventional method, for example, a dry etching method using a photoresist mask, and the description therefore is omitted.
  • Step 1 Etching Step for SiC Film 74
  • the interior of the processing vessel 21 is exhausted through the exhaust pipe 24 by the exhaust device 23 to maintain the interior of the processing vessel 21 at a predetermined degree of vacuum, and then CF 4 gas is supplied, for example, as a processing gas from the gas supply system 46 .
  • CF 4 gas is supplied, for example, as a processing gas from the gas supply system 46 .
  • a high frequency wave of a frequency of 60 MHz is supplied to the upper electrode 4 to convert the processing gas into plasma, and a high frequency wave of a frequency of 2 MHz is supplied as a bias high frequency wave to the lower electrode 31 .
  • the plasma contain active species of compounds of carbon and fluorine and when the SiC film 74 is exposed to the atmosphere of such active species, compounds reacted with atoms in the film are formed, whereby the SiC film 74 is etched as shown in FIG. 4 ( b ).
  • a silicon-containing CF series polymer (deposit) 80 as the reaction product of the SiC film 74 and the processing gas is deposited by the etching of the SiC film 74 .
  • the CF series polymer is deposited also on the inner wall of the processing vessel 21 by the etching.
  • CF 4 gas is used in this example, another CF series gas comprising carbon and fluorine, for example, C 2 F 6 gas may be used.
  • the etch stop film is not limited to the SiC film 74 , and may be a film containing silicon and carbon such as an SICN film.
  • the CF 4 gas may be mixed with an inert gas such as nitrogen gas.
  • a gas containing nitrogen and hydrogen, for example, ammonia gas is supplied from the gas supply system 46 .
  • a high frequency wave of a frequency of 60 MHz is supplied to the upper electrode 4 to convert the process gas into plasma, and a high frequency wave of a frequency of 2 MHz is supplied, as a high frequency wave for biasing, to the lower electrode 31 .
  • the polymer 80 deposited on the surface of the copper interconnection 73 is removed, and the organic film 76 is also etched utilizing the SiO 2 film 77 as a mask to form a groove (trench) for burying a copper interconnection.
  • ammonia is used instead of, for example, oxygen gas in order to suppress oxidation of the copper interconnection 73 exposed to the surface of the wafer W due to the removal of the polymer 80 , and to conduct reduction of an oxide film formed slightly on the surface of the copper interconnection 73 .
  • a white powdery product is deposited on the surface of the wafer W.
  • the product is a compound containing silicon, fluorine, nitrogen, and hydrogen which is, for example, ammonium silicofluoride 81 represented by the chemical formula: (NH 4 ) 2 SiF 6 .
  • the ammonium silicofluoride 81 is formed as described below. That is, the CF series polymer is deposited on the inner wall of the processing vessel 21 by etching the SiC film 74 as has been described above; then, when the ammonia gas is converted into plasma, the CF series polymer is dissociated by the plasma to form fluorine. Then, fluorine is deposited on the surface of the wafer W and reacts with silicon in the film on the surface of the wafer W and the ammonia gas to form the ammonium silicofluoride 81 over the whole surface of the wafer W.
  • the ammonium silicofluoride 81 is not limited to a compound whose stoichiometrical ratio of its constituent elements is expressed by the foregoing chemical formula, and may be a compound having characteristics (toxicity, hygroscopicity and heat decomposition temperature) similar to those described above. Further, although ammonia gas is used as the gas containing nitrogen and hydrogen in this example, a hydrazine series gas may also be used for instance.
  • the wafer W after completion of the ammonia treatment is taken out by the second transfer means 18 into the second transfer chamber 16 and then transported into the heating apparatus 54 .
  • the water W is placed on the mount stage 92 in the heating apparatus 54 , and the interior of the processing vessel 91 is maintained at a predetermined degree of vacuumby the vacuum pump 97 while supplying nitrogen gas from the gas source 94 to the processing vessel 91 .
  • the wafer W is heated up to a temperature, for example, of 150° C. by the heater 98 buried in the mount stage 92 and then kept for 150 sec.
  • the foregoing ammonium silicofluoride 81 deposited on the surface of the wafer W is decomposed as shown in FIG. 4 ( d ) to form, for example, hydrogen fluoride (HF) or silicon fluoride (SiF 4 ).
  • the product is sucked together with the nitrogen gas through the vacuum pump 97 to the not shown detoxifying device and is detoxified therein.
  • the heat treatment temperature was set as described above in this example, there is no particular restriction as long as it is not lower than the temperature for decomposing the ammonium silicofluoride 81 and is not higher than the allowable temperature limit of the interlayer dielectric film (SiOCH film 75 in this example) (about 400° C.).
  • the time of keeping the wafer may be any time which allows the ammonium silicofluoride 81 to be decomposed sufficiently.
  • the wafer W is carried out of the substrate processing system 11 along the route opposite to the route for carrying-in of the wafer.
  • a toxic ammonium silicofluoride 81 is formed on the surface of the wafer W.
  • the ammonium silicofluoride 81 can be removed by a simple and convenient method and, since water used for cleaning does not intrude into the SiOCH film 75 which is a porous body, increase of the dielectric constant can be suppressed and it is not necessary to conduct a step of removing water intruding into the wafer W.
  • a heater may be disposed to a mount stage 3 of the plasma processing apparatus 51 to conduct both of the treatments in one identical processing vessel 21 , so that the processing vessel 21 serves also as the processing vessel 91 for conducting the heat treatment.
  • the heating means for heating the water W is not limited to the heater 98 , and may be, for example, an infrared lamp.
  • the heating apparatus 54 may be incorporated into the load lock chambers 14 and 15 .
  • a heater may be provided in a not shown mount stage in the load lock chamber 14 , 15 , or an infrared lamp may be provided at the ceiling of the load lock chamber 14 , 15 ; and a structure capable of supplying a purge gas may also be provided.
  • the process step may be without the step of removing the organic film 76 .
  • the plasma process using the fluorine-containing gas is not limited to the etching of the SiC film 74 , and another plasma process may also be adopted and, succeeding to the plasma processing, etching of the organic film 76 containing silicon which is a plasma process using ammonia gas may also be conducted. The former example will be described with reference to FIG. 5 and FIG. 6 .
  • deposited on a copper interconnection 110 are an SiC film 103 as an etch stop film, an SiOCH film 104 as an interlayer dielectric film, an SiO 2 film 105 as a hard mask, and an organic film 107 as a sacrificial film in that order from below.
  • a groove 106 a as a trench is formed in the SiOCH film 104 , SiO2 film 105 and the organic film 107 ; and the groove 106 a is engraved to a depth corresponding to about one-half of the thickness of the SiOCH film 104 .
  • a hole 106 b is formed in the SiOCH film 104 so as to pass from the groove 106 a to the SiC film 103 , and an organic film 107 a of a material identical to that of the foregoing organic film 107 is buried in the hole 106 b.
  • the wafer W is placed on a mount stage 3 in the processing vessel 21 , the same plasma process as described above is conducted by using O 2 gas or ammonia gas as a processing gas to etch the organic film 107 on the surface of the wafer W and the organic film 107 a in the hole 106 b .
  • the SiC film 103 is etched by a plasma process using CF 4 gas and, further, as shown in FIG. 6 ( c ), the polymer 80 as the residue of etching of the SiC film 103 deposited on the surface of the copper interconnection 110 is removed by a plasma process using an ammonia gas in the same manner.
  • the ammonium silicofluoride 81 is formed on the surface of the water W as described previously.
  • the wafer W is entered into the heating apparatus 54 and the same heat treatment is conducted.
  • a substrate processing system 121 shown in FIG. 7 has carrier chambers 122 a to 122 c , a transfer chamber 123 , load lock chambers 124 and 125 and plasma processing apparatuses 51 and 52 . Further, an alignment chamber 129 is disposed on a lateral side of the transfer chamber 123 .
  • the transfer chamber 123 is provided therein with first transfer means 127 .
  • the first transfer means 127 is a transport arm for transferring a wafer W between the carrier chambers 122 a to 122 c and the load lock chambers 124 and 125 and between the transfer chamber 123 and the alignment chamber 129 , and the first transfer means is movable in the right-to-left direction in the drawing.
  • the load lock chamber 124 and 125 are provided therein with second transfer means 128 a and 128 b respectively.
  • Those second transfer means 128 a and 128 b are arms for transferring the wafers W between the first transfer means 127 and the plasma processing apparatuses 51 and 52 .
  • an infrared lamp 135 as the heating means is provided above the load lock chambers 124 , 125 , so that infrared rays are irradiated through a transparent window 136 made of glass or the like to the wafer W in the load lock chamber 124 , 125 and the wafer W can be heated at a temperature not lower than the decomposition temperature of the ammonium silicofluoride 81 , for example, 100° C. or higher.
  • the load lock chambers 124 and 125 are connected to vacuum pumps 131 a and 131 b as the exhaust means through exhaust pipes 130 a and 130 b , respectively, such that the interior of the load lock chambers 124 and 125 can be evacuated.
  • a not shown detoxifying device is connected to the upstream of the vacuum pumps 131 a and 131 b , so that gases generated by decomposition of the ammonium silicofluoride 81 in the load lock chambers 124 and 125 can be detoxified.
  • the load lock chambers 124 and 125 are connected through the gas supply pipes 132 a and 132 b to a gas source 134 capable of supplying, for example, nitrogen gas, so that the gas can be supplied to the load lock chambers 124 and 125 , respectively.
  • the load lock chambers 124 and 125 have not shown leak valves, so that the surrounding atmosphere and the vacuum atmosphere can be switched by means of the leak valves and the foregoing vacuum pumps 131 a and 131 b .
  • the load lock chambers 124 and 125 are connected, for example, to cooling means including a cooling gas source and a gas supply channel (they are not shown herein), so that the wafer W after subjected to the heat treatment can be cooled.
  • FIG. 8 illustrates the load lock chamber 124 .
  • a wafer W is transported from the carrier chamber 122 a ( 122 b or, 122 c ) to the transfer chamber 123 , the alignment chamber 129 , the transfer chamber 123 , the load lock chamber 124 (or 125 ), and the plasma processing apparatus 51 (or 52 ) in that order, and the wafer W is subjected to the previously-described plasma process, and then transferred by the second transfer means 128 a (or 128 b ) into the load lock chamber 124 (or 125 ). Then, the wafer W is heated, for example, at 100° C. or higher by the infrared lamp 135 to decompose the ammonium silicofluoride 81 on the surface of the wafer W.
  • the etching was conducted without forming a resist film or the like to the surface of the SiC film 83 .
  • the etching process for the SiC film 83 , the ammonia treatment and the heat treatment described above were applied to a wafer W in accordance with the steps described above.
  • Example 2 The same processes as those in Example 1 were applied to a wafer W. In this case, however, for confirming the extent of effects of moisture content in atmospheric air, etching and the ammonia treatment for the SiC film 83 were conducted in the plasma processing apparatus 51 , then the wafer W was returned once to the carrier chamber 12 a of the surrounding atmosphere, and the wafer W was transported into the heating apparatus 54 and was subjected to the heat treatment.
  • the etching process for the SiC film 83 and the ammonia treatment described above were applied to a wafer W in that order.
  • the etching process for the SiC film 83 described above was applied to a wafer W.
  • FIG. 10 shows the result of the experiment.
  • FIG. 1 [ FIG. 1 ]
  • FIG. 1 is a plan view showing an example of a substrate processing system according to the present invention.
  • FIG. 2 [ FIG. 2 ]
  • FIG. 2 is a vertical cross sectional view showing an example of a plasma processing system used for the plasma process of the present invention.
  • FIG. 3 [ FIG. 3 ]
  • FIG. 3 is a vertical cross sectional view showing an example of a heating apparatus used in the heat treatment of the invention.
  • FIG. 4 shows cross sectional views illustrating an example of a substrate processing method in a first embodiment of the present invention.
  • FIG. 5 [ FIG. 5 ]
  • FIG. 5 is a cross sectional view of a substrate illustrating an example of the substrate processing method in a second embodiment of the present invention.
  • FIG. 6 shows cross sectional views of a substrate illustrating the above example of the substrate processing method.
  • FIG. 7 is a plan view showing an example of a substrate processing system according to the present invention.
  • FIG. 8 is a vertical cross sectional view showing the above example of the substrate processing system.
  • FIG. 9 is a cross sectional view of a substrate used in the examples of the present invention.
  • FIG. 10 is a characteristic graph showing the result of the examples of the invention.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

[Subject In a plasma process using an ammonia gas after conducting a plasma process by using a process gas containing fluorine and carbon to a silicone-containing substrate, an ammonium silicofluoride having toxicity and water absorbancy is formed on the substrate. [Means for Solution]After conducting the plasma process using an ammonia gas, the substrate is heated to a temperature not lower than the decomposition temperature of the ammonium silicofluoride to decompose the ammonium silicofluoride in a process container in which the plasma process was conducted, or in a process container connected with the processing vessel which the plasma process was conducted therein and is isolated from a clean room atmosphere.

Description

    TECHNICAL FIELD
  • The present invention relates to a technique of removing ammonium silicofluoride formed on a substrate as a result of conducting a plasma process to the substrate.
  • BACKGROUND ART
  • Semiconductor device manufacturing includes a process of etching a substrate by using plasma. Processing techniques have become complicated more and more along with complexification of device structures and miniturization of patterns. Accordingly, in many cases, plural kinds of etching gases are used sequentially, for example, in the formation of recesses for burying interconnections and, accordingly, products comprising compounds maybe formed on a substrate and such products may remain on the surface of the substrate.
  • For example, in an etching process of forming recesses for burying Cu interconnections in an SiOCH film comprising Si (silicon), O (oxygen), C (carbon) and H (hydrogen) noted as an interlayer dielectric film of a low dielectric constant instead of silicon oxide films, a film of a compound of Si and C such as an SiC (silicon carbide) film is used in some cases as an etch stop film (so-called, etch stopper) for protecting the surface of the Cu interconnections against an etching gas for the SiOCH film. In a case of etching the SiC film, for example, by plasma of a CF gas, an Si-containing CF polymer is deposited on the surface of the Cu interconnection layer by the etching. Since the deposits cause increase of contact resistance, they have to be removed.
  • On the other hand, in a case of forming Cu interconnections by means of dual damascene, sacrificial films are often used for forming via holes connecting upper and lower layers and trenches as interconnection grooves for each layer simultaneously and an organic film is used as one of such sacrificial films. In a certain type of dual damascene, the organic film as the sacrificial film is sometimes etched succeeding to etching of the SiC film. In this case, since it is necessary to prevent oxidation of Cu interconnections exposed on the surface of the substrate, it is necessary to avoid the use of an etching gas containing oxygen, and a plasma process is conducted by using, for example, ammonia gas as an etching gas for the SiC film. With the use of the ammonia gas, the CF polymer described above is also etched simultaneously with the etching of the organic film, and this is an efficient process in this regard. Further, also in a case of removing only the CF polymer formed during the etching of the SiC film, it is more efficient to conduct the removing process (plasma process) using ammonia gas succeeding to the etching of the SiC film, compared with a case, for example, of conducting cleaning in a cleaning station.
  • However, when a plasma process using a CF series gas (etching of the SiC film in this example) is conducted, CF series deposits are deposited in the processing vessel. Thus, when a plasma process using an ammonia gas is conducted successively, the CF series deposits are decomposed by the plasma to release fluorine into the processing atmosphere and, as a result, an ammonium silicofluoride is formed on the surface of the silicon-containing film of the substrate, for example, over the whole surface of the substrate. Since the compound is toxic to human bodies, when the substrate is delivered to a working environment outside of the processing apparatus in a state where the compound is deposited on the substrate, this inevitably results in a worry of giving undesired effects on operators' health. Further, the compound is hygroscopic and may possibly absorb water of a high dielectric constant to increase the dielectric constant of the wafer, as well as it may possibly oxidize barrier metals or interconnection materials. Therefore, the compound has to be removed from the surface of the substrate.
  • Patent Document 1 describes a technique of cleaning a wafer by using water or alcohol by utilizing the hygroscopicity (water solubility) of the compound. However, if water or the like used for cleaning intrudes into the SiOCH film, this causes increase of the dielectric constant. Further, if the interconnection metal is exposed, the surface of the interconnection metal is oxidized.
  • Further, Patent Document 2 describes a method of cleaning ammonium silicofluoride deposited onto the inside of a chamber by using nitrogen trifluoride gas and oxygen gas, but does not describe removal of the ammonium silicofluoride deposited on the substrate.
  • [Patent Document 1] JP-A-2005-191275 ([0006], [0008] FIG. 2)
  • [Patent Document 2] JP-A-2005-85956 ([0041] to [0045])
  • DISCLOSURE OF THE INVENTION
  • [Problem to be Solved by the Invention]
  • The present invention has been accomplished in view of the foregoing circumstances, and it is therefore the object of the present invention to provide a technique capable of removing toxic ammonium silicofluoride formed on a substrate by a plasma process, thereby preventing an adverse affect on human bodies.
  • [Means for Solution of the Problem]
  • The substrate processing method according to the present invention is characterized by including:
  • a step of conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate in one identical processing vessel, thereby forming an ammonium silicofluoride; and
  • a step of subsequently heating the substrate at a temperature not less than a decomposition temperature of the ammonium silicofluoride in a processing vessel before placing the substrate in a clean room atmosphere.
  • Preferably, the step (b) is conducted after the step (a).
  • Preferably, the step (a) is a process for removing an etch stop film containing silicon and carbon formed on the surface of a metal interconnection formed on the substrate.
  • Preferably, the step (b) is a process for removing an organic dielectric film containing carbon formed on a layer above the metal interconnection and/or a process for removing an organic film formed as a by-product on the metal interconnection by the step (a).
  • Preferably, the step of heating the substrate is conducted in a processing vessel different from the processing vessel in which the step (a) and the step (b) are conducted.
  • Preferably, the processing gas containing fluorine is a gas containing fluorine and carbon.
  • Preferably, the processing gas containing nitrogen and hydrogen is ammonia gas.
  • The substrate processing system according to the present invention is characterized by including;
  • a plasma processing apparatus for conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate, and
  • a heating apparatus that heats the substrate in a processing vessel at a temperature not less than a decomposition temperature of ammonium silicofluoride in the processing vessel for decomposing the ammonium silicofluoride formed on the substrate by the plasma processes in the plasma processing apparatus.
  • Preferably, the plasma processing apparatus is configured so as to conduct the step (b) succeeding to the step (a).
  • Preferably, the processing vessel of the plasma processing apparatus and the processing vessel of the heating apparatus are different from each other, and the processing vessels are air tightly connected to a transfer chamber having a vacuum atmosphere and provided with substrate transfer means.
  • The storage medium according to the present invention is characterized by storing a computer program to be used for a substrate processing system for processing a substrate in a processing vessel, and to be run on a computer, wherein
  • the computer program is incorporated with steps for practicing the foregoing substrate processing method.
  • EFFECT OF THE INVENTION
  • According to the present invention, since the heat treatment is applied to the substrate, after applying a plasma process to the substrate having a silicon-containing film formed thereon which results in formation of an ammonium silicofluoride on the substrate and before placing the substrate to the surrounding atmosphere, so as to remove the toxic ammonium silicofluoride, it is not possible that human bodies are adversely affected, and the ammonium silicofluoride can be removed conveniently and simply.
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • An example of a substrate processing system for conducting a substrate processing method according to the present invention will be described with reference to FIG. 1. A substrate processing system 11 shown in FIG. 1 is called a cluster tool or a multi-chamber for conducting plasma processes and a heat treatment to be described later, and includes carrier chambers 12 a to 12 c, a first transfer chamber 13, load lock chambers 14 and 15, a second transfer chamber 16, plasma processing apparatuses 51 to 53, and a heating apparatus 54. Further, an alignment chamber 19 is provided on a lateral side of the first transfer chamber 13. The load lock chambers 14 and 15 are provided with not illustrated vacuum pumps and leak valves so that the atmospheric atmosphere and a vacuum atmosphere can be switched.
  • The first transfer chamber 13 and the second transfer chamber 16 are provided therein with first transfer means 17 and second transfer means 18, respectively. The first transfer means 17 is a transport arm for transferring a wafer W between the carrier chambers 12 a to 12 c and the load lock chambers 14 and 15 and between the first transfer chamber 13 and the alignment chamber 19, and is movable in the right-to-left direction of the drawing. The second transfer means 18 is a transport arm for transferring a wafer W between the load lock chambers 14, 15 and the plasma processing apparatuses 51 to 53 and the heating apparatuses 54, and constituted such that arms, and is configured such that two arms thereof can rotate about an axis located substantially at the center of the second transfer chamber 16 and can also expand and contract.
  • As shown in FIG. 2, the plasma processing apparatus 51 has a processing vessel 21 comprising a vacuum chamber, a mount stage 3 disposed at the center on the bottom of the processing vessel 21 and an upper electrode 4 disposed at a top region of the processing vessel 21.
  • The processing vessel 21 is electrically grounded. An exhausting device 23 including a vacuum pump, etc. is connected through an exhaust pipe 24 to an exhaust port 22 at the bottom of the processing vessel 21. A transport port 25 for a water W is disposed in a wall of the processing vessel 21. The transport port 25 can be opened or closed by a gate valve 26.
  • The mount stage 3 comprises a lower electrode 31, and a support 32 for supporting the lower electrode 31 from below, and is disposed at the bottom of the processing vessel 21 via an insulating member 33. An electrostatic chuck 34 is disposed at an upper part of the mount stage 3. The wafer W is electrostatically held on the mount stage 3 by application of a voltage from a high voltage DC power source 35.
  • A temperature control flow channel 37 through which a predetermined temperature control medium passes is formed in the mount stage 3, and the temperature of the water W is controlled to a desired temperature by the temperature controlling medium.
  • A gas flow channel 38 for supplying a heat conductive gas such as He (helium) gas as a backside gas is formed in the mount stage 3, and the gas flow channel 38 opens into the upper surface of the mount stage 3 at plural locations. The openings are in communication with through holes 34 a disposed to the electrostatic chuck 34.
  • The lower electrode 31 is electrically grounded through a high pass filter (HPF) 3 a, and a high frequency power source 31 a of a frequency, e.g., 2 MHz, is connected through a matching device 31 b to the lower electrode 31.
  • Further, a focus ring 39 is arranged at the outer peripheral edge of the lower electrode 31 so as to surround the electrostatic chuck 34 so that plasma is focused by the focus ring 39 onto the wafer W on the mount stage 3 when generating the plasma.
  • The upper electrode 4 is formed into a hollow shape and has a lower surface formed therein plural holes 41, which are arranged to distribute uniformly for example, for dispersive supply of a processing gas into the processing vessel 21, whereby the upper electrode 4 constitutes a gas shower head. Further, a gas introduction pipe 42 is disposed at the central portion of the upper face of the upper electrode 4 to penetrate the central portion of the upper face of the processing vessel 21 via an insulative member 27. Then, the gas introduction pipe 42 is divided at the upstream side thereof into five branch pipes 42A to 42E, which are connected through valves 43A to 43E and flow control sections 44A to 44E to the gas supply sources 45A to 45E. The valves 43A to 43E, and the flow control sections 44A to 44E constitute a gas supply system 46.
  • The upper electrode 4 is electrically grounded through a low pass filter (LPF) 47, and a high frequency power source 4 a, as plasma generation means, of a frequency, e.g., 60 MHz, higher than the high frequency of the high frequency power source 31 a is connected to the upper electrode 4 through a matching box 4 b.
  • The high frequency wave supplied from the high frequency power source 4 a connected to the upper electrode 4 is used for converting the processing gas into plasma, and high frequency waves supplied from the high frequency power source 31 a connected to the lower electrode 31 is used for applying a bias power to the wafer W to draw ions in the plasma onto the surface of the wafer W.
  • As shown in FIG. 3, the heating apparatus 54 has a processing vessel 91 and a mount stage 92 in which a heater 98 is buried as heating means. The heater 98 can elevate the temperature of the wafer W to a decomposition temperature of ammonium silicofluoride, for example, at a temperature of 100° C. or higher. A suction port 96 is formed in the lower face of the processing vessel 91, and the atmosphere in the processing vessel 91 is exhausted by a vacuum pump 97 constituting exhaust means connected to the upstream thereof.
  • Further, a not shown detoxifying device is connected to the vacuum pump 97 such that the exhaust gas from the vacuum pump 97 flows into the detoxifying device so that gases such as a gas of ammonium silicofluoride 81 decomposed by the heating process of the wafer W (described later) is detoxified. A gas supply port 95 is formed in the upper face of the processing vessel 91 at a position opposed to the wafer W, so that a nitrogen gas or the like can be supplied from the gas source 94 into the processing vessel 91. An opening 93 a for loading and unloading of the wafer W is formed in a lateral side of the processing vessel 91. The opening 93 a is opened or closed by the gate valve 93 b.
  • As shown in FIG. 1, the substrate processing system 11 is provided with a controller 2A comprising, for example, a computer, and the controller 2A has a data processing section comprising a program, a memory, and a CPU. The program is incorporated with commands for sending control signals from the controller 2A to each of the component parts of the substrate processing system 11 for conducting processing and transportation of wafers W by proceeding each of the steps to be described later. Further, the memory has a region in which values of process parameters such as a process pressure, a process temperature, a process time, gas flow rates, or an electric power are written. Upon executing each of the commands of the program by the CPU, the processing parameters are read out and control signals in accordance with the parameter values are sent to each of the component parts of the substrate processing system 11. The program (also including programs regarding the inputting operation and display of processing parameters) is stored in a memory 2B such as a computer storage medium, for example, a flexible disk, a compact disk or MO (magnetooptic disc) and installed to the controller 2A.
  • Then, an example of a substrate processing method according to the present invention employing the substrate processing system 11 will be described. At first, a carrier which is a transport container for a wafer W is loaded through a gate door GT from the atmospheric side into any one of the carrier chambers 12 a to 12 c, and thereafter a wafer W is carried into the first transfer chamber 13 by the first transfer means 17. Then, the wafer W is transferred by the first transfer means 17 into the alignment chamber 19 where the orientation of the wafer W is adjusted, and then the wafer W is transferred into the load lock chamber 14 (or 15). After lowering the pressure of the atmosphere in the load lock chamber 14, the wafer W is transferred by the second transfer means 18 from the load lock chamber 14 through the second transfer chamber 16 and the gate valve 26 into the plasma processing apparatus 51.
  • After horizontally placing the wafer W on the mount stage 3 in the processing vessel 21, the second transfer means 18 is withdrawn from the processing vessel 21 and the gate value 26 is closed. Successively, a back side gas is supplied from the gas flow channel 38 to control the temperature of the wafer W to a predetermined temperature. Then, the following steps are conducted.
  • The structure of the surface region of the wafer W is shown in FIG. 4(a). The wafer W has a structure of films in a first embodiment of the present invention. FIG. 4(a) shows an example of an intermediate stage of the steps of forming an (n+1)th interconnection layer on a dielectric film as the nth (n: integer of 1 or greater) layer comprising an SiO2 film 71 and an organic film 72 and a copper interconnection 73 formed in the dielectric film. In the drawing, the copper interconnection in the nth layer for connection with the (n−1) th layer is not illustrated. Deposited on the copper interconnection 73 are an SiC film 74 as an etch stop film, an SiOCH film 75 as an interlayer dielectric film, an organic film 76, and an SiO2 film 77 as a hard mask in that order from below. A recess 79 is formed in the SiOCH film 75 and the organic film 76 for aperturing a via hole. The recess 79 is formed by a conventional method, for example, a dry etching method using a photoresist mask, and the description therefore is omitted.
  • (Step 1: Etching Step for SiC Film 74)
  • The interior of the processing vessel 21 is exhausted through the exhaust pipe 24 by the exhaust device 23 to maintain the interior of the processing vessel 21 at a predetermined degree of vacuum, and then CF4 gas is supplied, for example, as a processing gas from the gas supply system 46. Successively, a high frequency wave of a frequency of 60 MHz is supplied to the upper electrode 4 to convert the processing gas into plasma, and a high frequency wave of a frequency of 2 MHz is supplied as a bias high frequency wave to the lower electrode 31.
  • The plasma contain active species of compounds of carbon and fluorine and when the SiC film 74 is exposed to the atmosphere of such active species, compounds reacted with atoms in the film are formed, whereby the SiC film 74 is etched as shown in FIG. 4(b). In this case, a silicon-containing CF series polymer (deposit) 80 as the reaction product of the SiC film 74 and the processing gas is deposited by the etching of the SiC film 74. The CF series polymer is deposited also on the inner wall of the processing vessel 21 by the etching.
  • Although the CF4 gas is used in this example, another CF series gas comprising carbon and fluorine, for example, C2F6 gas may be used. The etch stop film is not limited to the SiC film 74, and may be a film containing silicon and carbon such as an SICN film. The CF4 gas may be mixed with an inert gas such as nitrogen gas.
  • (Step 2: Ammonia Treatment Step)
  • After completion of the etching of the SiC film 74, power supply from the high frequency power sources 4 a and 31 a are interrupted to stop generating plasma in the processing vessel 21. Thereafter, supply of the gas from the gas supply system 46 is stopped. Then, the interior of the processing vessel 21 is exhausted by the exhaust device 23 to remove the remaining gas and maintain the interior of the processing vessel 21 at a predetermined degree of vacuum.
  • Then, a gas containing nitrogen and hydrogen, for example, ammonia gas is supplied from the gas supply system 46. After the interior of the processing vessel 21 is maintained at a predetermined pressure, a high frequency wave of a frequency of 60 MHz is supplied to the upper electrode 4 to convert the process gas into plasma, and a high frequency wave of a frequency of 2 MHz is supplied, as a high frequency wave for biasing, to the lower electrode 31.
  • By the plasma, as shown in FIG. 4(c), the polymer 80 deposited on the surface of the copper interconnection 73 is removed, and the organic film 76 is also etched utilizing the SiO2 film 77 as a mask to form a groove (trench) for burying a copper interconnection. In this process step, ammonia is used instead of, for example, oxygen gas in order to suppress oxidation of the copper interconnection 73 exposed to the surface of the wafer W due to the removal of the polymer 80, and to conduct reduction of an oxide film formed slightly on the surface of the copper interconnection 73.
  • With the foregoing treatment, a white powdery product is deposited on the surface of the wafer W. The product is a compound containing silicon, fluorine, nitrogen, and hydrogen which is, for example, ammonium silicofluoride 81 represented by the chemical formula: (NH4)2SiF6.
  • It is estimated that the ammonium silicofluoride 81 is formed as described below. That is, the CF series polymer is deposited on the inner wall of the processing vessel 21 by etching the SiC film 74 as has been described above; then, when the ammonia gas is converted into plasma, the CF series polymer is dissociated by the plasma to form fluorine. Then, fluorine is deposited on the surface of the wafer W and reacts with silicon in the film on the surface of the wafer W and the ammonia gas to form the ammonium silicofluoride 81 over the whole surface of the wafer W.
  • In this case, the ammonium silicofluoride 81 is not limited to a compound whose stoichiometrical ratio of its constituent elements is expressed by the foregoing chemical formula, and may be a compound having characteristics (toxicity, hygroscopicity and heat decomposition temperature) similar to those described above. Further, although ammonia gas is used as the gas containing nitrogen and hydrogen in this example, a hydrazine series gas may also be used for instance.
  • Then, the wafer W after completion of the ammonia treatment is taken out by the second transfer means 18 into the second transfer chamber 16 and then transported into the heating apparatus 54.
  • (Step 3: Heating Step)
  • Then, the water W is placed on the mount stage 92 in the heating apparatus 54, and the interior of the processing vessel 91 is maintained at a predetermined degree of vacuumby the vacuum pump 97 while supplying nitrogen gas from the gas source 94 to the processing vessel 91. Then, the wafer W is heated up to a temperature, for example, of 150° C. by the heater 98 buried in the mount stage 92 and then kept for 150 sec. By the heat treatment, the foregoing ammonium silicofluoride 81 deposited on the surface of the wafer W is decomposed as shown in FIG. 4(d) to form, for example, hydrogen fluoride (HF) or silicon fluoride (SiF4). The product is sucked together with the nitrogen gas through the vacuum pump 97 to the not shown detoxifying device and is detoxified therein. Although the heat treatment temperature was set as described above in this example, there is no particular restriction as long as it is not lower than the temperature for decomposing the ammonium silicofluoride 81 and is not higher than the allowable temperature limit of the interlayer dielectric film (SiOCH film 75 in this example) (about 400° C.). The time of keeping the wafer may be any time which allows the ammonium silicofluoride 81 to be decomposed sufficiently.
  • Thereafter, the wafer W is carried out of the substrate processing system 11 along the route opposite to the route for carrying-in of the wafer.
  • According to the foregoing embodiment, since a plasma process using ammonia gas is conducted for removing the CF series polymer 80 as the residue of the etching process and the organic film 76 succeeding to the plasma process using the CF4 gas as an etching process for the SiC film 74, a toxic ammonium silicofluoride 81 is formed on the surface of the wafer W. However, since the wafer W is unloaded into the surrounding atmosphere (clean room atmosphere) outside the substrate processing system 11 after removing the ammonium silicofluoride by the heat treatment in the substrate processing system 11, there is no possibility that the interior of the clean room is contaminated with the ammonium silicofluoride 81 and there is no possibility that ammonium silicofluoride 81 adhered directly to an operator and, accordingly, adverse affect on human bodies of the toxic product formed during the semiconductor manufacturing process can be prevented reliably.
  • Further, since oxidation of the copper interconnection 73, etc. by hygroscopicity can be suppressed and absorption of water having a high dielectric constant into the wafer W can be prevented by removing the ammonium silicofluoride 81 of high hygroscopicity, increase of the dielectric constant can be suppressed.
  • Since the heat treatment is conducted, instead of water cleaning or the like, as a method of removing the ammonium silicofluoride 81, the ammonium silicofluoride 81 can be removed by a simple and convenient method and, since water used for cleaning does not intrude into the SiOCH film 75 which is a porous body, increase of the dielectric constant can be suppressed and it is not necessary to conduct a step of removing water intruding into the wafer W.
  • Although the plasma process and the heat treatment are conducted in separate processing vessels 21, 91 in this embodiment, a heater may be disposed to a mount stage 3 of the plasma processing apparatus 51 to conduct both of the treatments in one identical processing vessel 21, so that the processing vessel 21 serves also as the processing vessel 91 for conducting the heat treatment. Further, the heating means for heating the water W is not limited to the heater 98, and may be, for example, an infrared lamp.
  • Further, the heating apparatus 54 may be incorporated into the load lock chambers 14 and 15. In this case, a heater may be provided in a not shown mount stage in the load lock chamber 14, 15, or an infrared lamp may be provided at the ceiling of the load lock chamber 14, 15; and a structure capable of supplying a purge gas may also be provided.
  • In the step of removing the polymer 80 as the residue of etching of the SIC film 74, although the step of forming a portion of the recess 79 as the groove of the trench by etching the organic film 76 is conducted simultaneously, the process step may be without the step of removing the organic film 76. Further, the plasma process using the fluorine-containing gas is not limited to the etching of the SiC film 74, and another plasma process may also be adopted and, succeeding to the plasma processing, etching of the organic film 76 containing silicon which is a plasma process using ammonia gas may also be conducted. The former example will be described with reference to FIG. 5 and FIG. 6.
  • In a second embodiment of the present invention, as shown in FIG. 5, deposited on a copper interconnection 110 are an SiC film 103 as an etch stop film, an SiOCH film 104 as an interlayer dielectric film, an SiO2 film 105 as a hard mask, and an organic film 107 as a sacrificial film in that order from below. A groove 106 a as a trench is formed in the SiOCH film 104, SiO2 film 105 and the organic film 107; and the groove 106 a is engraved to a depth corresponding to about one-half of the thickness of the SiOCH film 104. Further, a hole 106 b is formed in the SiOCH film 104 so as to pass from the groove 106 a to the SiC film 103, and an organic film 107 aof a material identical to that of the foregoing organic film 107 is buried in the hole 106 b.
  • In this case, the wafer W is placed on a mount stage 3 in the processing vessel 21, the same plasma process as described above is conducted by using O2 gas or ammonia gas as a processing gas to etch the organic film 107 on the surface of the wafer W and the organic film 107 ain the hole 106 b. Successively, as shown in FIG. 6(b), the SiC film 103 is etched by a plasma process using CF4 gas and, further, as shown in FIG. 6(c), the polymer 80 as the residue of etching of the SiC film 103 deposited on the surface of the copper interconnection 110 is removed by a plasma process using an ammonia gas in the same manner. Also in this case, the ammonium silicofluoride 81 is formed on the surface of the water W as described previously.
  • Then, the wafer W is entered into the heating apparatus 54 and the same heat treatment is conducted.
  • Also in this embodiment, the same effects as those in the embodiment described above can be obtained.
  • Further, another example of the substrate processing system applicable to the substrate processing method of the present invention will be described briefly with reference to FIG. 7.
  • A substrate processing system 121 shown in FIG. 7 has carrier chambers 122 a to 122 c, a transfer chamber 123, load lock chambers 124 and 125 and plasma processing apparatuses 51 and 52. Further, an alignment chamber 129 is disposed on a lateral side of the transfer chamber 123.
  • The transfer chamber 123 is provided therein with first transfer means 127. The first transfer means 127 is a transport arm for transferring a wafer W between the carrier chambers 122 a to 122 c and the load lock chambers 124 and 125 and between the transfer chamber 123 and the alignment chamber 129, and the first transfer means is movable in the right-to-left direction in the drawing. Further, as shown in FIG. 8, the load lock chamber 124 and 125 are provided therein with second transfer means 128 a and 128 b respectively. Those second transfer means 128 a and 128 b are arms for transferring the wafers W between the first transfer means 127 and the plasma processing apparatuses 51 and 52. Further, an infrared lamp 135 as the heating means is provided above the load lock chambers 124, 125, so that infrared rays are irradiated through a transparent window 136 made of glass or the like to the wafer W in the load lock chamber 124, 125 and the wafer W can be heated at a temperature not lower than the decomposition temperature of the ammonium silicofluoride 81, for example, 100° C. or higher.
  • The load lock chambers 124 and 125 are connected to vacuum pumps 131 a and 131 b as the exhaust means through exhaust pipes 130 a and 130 b, respectively, such that the interior of the load lock chambers 124 and 125 can be evacuated. A not shown detoxifying device is connected to the upstream of the vacuum pumps 131 a and 131 b, so that gases generated by decomposition of the ammonium silicofluoride 81 in the load lock chambers 124 and 125 can be detoxified. Further, the load lock chambers 124 and 125 are connected through the gas supply pipes 132 a and 132 b to a gas source 134 capable of supplying, for example, nitrogen gas, so that the gas can be supplied to the load lock chambers 124 and 125, respectively. Further, the load lock chambers 124 and 125 have not shown leak valves, so that the surrounding atmosphere and the vacuum atmosphere can be switched by means of the leak valves and the foregoing vacuum pumps 131 a and 131 b. The load lock chambers 124 and 125 are connected, for example, to cooling means including a cooling gas source and a gas supply channel (they are not shown herein), so that the wafer W after subjected to the heat treatment can be cooled. FIG. 8 illustrates the load lock chamber 124.
  • In the substrate processing system 121, a wafer W is transported from the carrier chamber 122 a (122 b or, 122 c) to the transfer chamber 123, the alignment chamber 129, the transfer chamber 123, the load lock chamber 124 (or 125), and the plasma processing apparatus 51 (or 52) in that order, and the wafer W is subjected to the previously-described plasma process, and then transferred by the second transfer means 128 a (or 128 b) into the load lock chamber 124 (or 125). Then, the wafer W is heated, for example, at 100° C. or higher by the infrared lamp 135 to decompose the ammonium silicofluoride 81 on the surface of the wafer W. Then, atmospheric air flows from the not shown leak valve into the load lock chamber 124 (or 125), and the wafer W is carried out of the substrate processing system 121 along the route opposite to that when carrying the wafer into the load lock chamber 124 (or 125).
  • EXAMPLE
  • Then, an experiment conducted in connection with the substrate processing method of the present invention will be described. In the experiment, as shown in FIG. 9, the below-described processes using the substrate processing system 11 were applied to respective wafers W, each of which is prepared by depositing an SiC film 83 of a film thickness of 100 nm on a bare silicon wafer for the experiment. Then, the surfaces of the wafers W were sputtered by gold ions and the deposited ingredients of the compound were analyzed by using ToF-SIMS (Time of Flight-Secondary Ion Mass Spectroscopy).
  • When conducting processes to the wafer W, the following conditions were used.
    (Etching of SiC film 83)
    Processing pressure: 6 Pa (45 mTorr)
    Processing gas: CF4 = 100 sccm
    Processing time: 15 sec
  • The etching was conducted without forming a resist film or the like to the surface of the SiC film 83.
    (Ammonia treatment)
    Processing pressure: 40 Pa (300 mTorr)
    Processing gas: ammonia = 700 sccm
    Processing time: 40 sec
    (Heat treatment)
    Heat temperature: 150° C.
    Vacuum degree: 1.3 Pa (100 mTorr)
    Retention time: 150 sec
  • Example 1
  • The etching process for the SiC film 83, the ammonia treatment and the heat treatment described above were applied to a wafer W in accordance with the steps described above.
  • Example 2
  • The same processes as those in Example 1 were applied to a wafer W. In this case, however, for confirming the extent of effects of moisture content in atmospheric air, etching and the ammonia treatment for the SiC film 83 were conducted in the plasma processing apparatus 51, then the wafer W was returned once to the carrier chamber 12 a of the surrounding atmosphere, and the wafer W was transported into the heating apparatus 54 and was subjected to the heat treatment.
  • Comparative Example 1
  • The etching process for the SiC film 83 and the ammonia treatment described above were applied to a wafer W in that order.
  • Reference Example
  • The etching process for the SiC film 83 described above was applied to a wafer W.
  • [Result of Experiment]
  • FIG. 10 shows the result of the experiment.
  • In each of the examples described above, formation of various compounds (reference numbers 1 to 14 in the graph) considered to be attributable to the decomposition of the ammonium silicofluoride 81 was confirmed. Although the presence of the compounds were confirmed also in Examples 1 and 2, since the amount of formation of them was at a level substantially identical with the result of the reference example, it is considered that such products in Examples 1 and 2 are attributable to impurities contained in the wafer W or reaction products generated due to reaction with elements in the circumstance.
  • On the other hand, in the comparative example, since the amount of the compounds due to the decomposition of the ammonium silicofluoride 81 described above was about ten times as much as that in Examples 1, 2, it is considered that the ammonium silicofluoride 81 was apparently deposited on the wafer W before analysis.
  • Since the amount of products was substantially identical between Example 1 and Example 2, it was found that the wafer W may be exposed to the surrounding atmosphere after the ammonia treatment and before the heat treatment.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • [FIG. 1]
  • FIG. 1 is a plan view showing an example of a substrate processing system according to the present invention.
  • [FIG. 2]
  • FIG. 2 is a vertical cross sectional view showing an example of a plasma processing system used for the plasma process of the present invention.
  • [FIG. 3]
  • FIG. 3 is a vertical cross sectional view showing an example of a heating apparatus used in the heat treatment of the invention.
  • [FIG. 4]
  • FIG. 4 shows cross sectional views illustrating an example of a substrate processing method in a first embodiment of the present invention.
  • [FIG. 5]
  • FIG. 5 is a cross sectional view of a substrate illustrating an example of the substrate processing method in a second embodiment of the present invention.
  • [FIG. 6]
  • FIG. 6 shows cross sectional views of a substrate illustrating the above example of the substrate processing method.
  • [FIG. 7]
  • FIG. 7 is a plan view showing an example of a substrate processing system according to the present invention.
  • [FIG. 8]
  • FIG. 8 is a vertical cross sectional view showing the above example of the substrate processing system.
  • [FIG. 9]
  • FIG. 9 is a cross sectional view of a substrate used in the examples of the present invention.
  • [FIG. 10]
  • FIG. 10 is a characteristic graph showing the result of the examples of the invention.
  • DESCRIPTION FOR REFERENCES
    • 11 Substrate processing system
    • 13 First transfer chamber
    • 14 Load lock chamber
    • 16 Second transfer chamber
    • 17 First transfer means
    • 18 Second transfer means
    • 21 Processing vessel
    • 51 Plasma processing apparatus
    • 54 Heating apparatus
    • 73 Copper interconnection
    • 74 SiC film
    • 76 Organic film
    • 80 Deposit
    • 81 Ammonium silicofluoride

Claims (13)

1. A substrate processing method including:
a step of conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate in one identical processing vessel, thereby forming an ammonium silicofluoride; and
a step of subsequently heating the substrate at a temperature not less than a decomposition temperature of the ammonium silicofluoride in a processing vessel before placing the substrate in a clean room atmosphere.
2. The substrate processing method according to claim 1, wherein the step (b) is conducted after the step (a).
3. The substrate processing method according to claim 1 or 2, wherein the step (a) is a process for removing an etch stop film containing silicon and carbon formed on the surface of a metal interconnection formed on the substrate.
4. The substrate processing method according to claim 2, wherein the step (b) is a process for removing an organic dielectric film containing carbon formed on a layer above the metal interconnection and/or a process for removing an organic film formed as a by-product on the metal interconnection by the step (a).
5. The substrate processing method according to any one of claims 1 to 4, wherein the step of heating the substrate is conducted in a processing vessel different from the processing vessel in which the step (a) and the step (b) are conducted.
6. The substrate processing method according to any one of claims 1 to 5, wherein the processing gas containing fluorine is a gas containing fluorine and carbon.
7. The substrate processing method according to any one of claims 1 to 6, wherein the processing gas containing nitrogen and hydrogen is ammonia gas.
8. A substrate processing system including:
a plasma processing apparatus for conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate, and
a heating apparatus that heats the substrate in a processing vessel at a temperature not less than a decomposition temperature of ammonium silicofluoride in the processing vessel for decomposing the ammonium silicofluoride formed on the substrate by the plasma processes in the plasma processing apparatus.
9. The substrate processing system according to claim 8, wherein the plasma processing apparatus is configured so as to conduct the step (b) succeeding to the step (a).
10. The substrate processing system according to claim 8 or 9, wherein the processing vessel of the plasma processing apparatus and the processing vessel of the heating apparatus are different from each other, and the processing vessels are air tightly connected to a transfer chamber having a vacuum atmosphere and provided with substrate transfer means.
11. The substrate processing system according to claim 8 or 9, wherein the gas containing fluorine is a gas containing fluorine and carbon.
12. The substrate processing system according to claim 8 or 9, wherein the processing gas containing nitrogen and hydrogen is ammonia gas.
13. A storage medium storing a computer program to be used for a substrate processing system for processing a substrate in a processing vessel, and to be run on a computer, wherein
the computer program is incorporated with steps for practicing the substrate processing method according to any one of claims 1 to 7.
US11/889,582 2006-08-15 2007-08-14 Substrate processing method, substrate processing system and storage medium Abandoned US20080045030A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/889,582 US20080045030A1 (en) 2006-08-15 2007-08-14 Substrate processing method, substrate processing system and storage medium
US13/098,748 US8492287B2 (en) 2006-08-15 2011-05-02 Substrate processing method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2006-221671 2006-08-15
JP2006221671A JP5233097B2 (en) 2006-08-15 2006-08-15 Substrate processing method, substrate processing apparatus, and storage medium
US84437006P 2006-09-14 2006-09-14
US11/889,582 US20080045030A1 (en) 2006-08-15 2007-08-14 Substrate processing method, substrate processing system and storage medium

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/098,748 Continuation US8492287B2 (en) 2006-08-15 2011-05-02 Substrate processing method

Publications (1)

Publication Number Publication Date
US20080045030A1 true US20080045030A1 (en) 2008-02-21

Family

ID=39101881

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/889,582 Abandoned US20080045030A1 (en) 2006-08-15 2007-08-14 Substrate processing method, substrate processing system and storage medium
US13/098,748 Active US8492287B2 (en) 2006-08-15 2011-05-02 Substrate processing method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/098,748 Active US8492287B2 (en) 2006-08-15 2011-05-02 Substrate processing method

Country Status (1)

Country Link
US (2) US20080045030A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080099440A1 (en) * 2006-11-01 2008-05-01 Tokyo Electron Limited Substrate processing method and substrate processing system
US20110174337A1 (en) * 2010-01-20 2011-07-21 Tokyo Electron Limited Method and apparatus for recovering pattern on silicon substrate
US20140202921A1 (en) * 2013-01-22 2014-07-24 Brooks Automation, Inc. Substrate transport
US20190051545A1 (en) * 2016-01-29 2019-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing station
US10643869B2 (en) * 2017-02-21 2020-05-05 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus and heat treatment method
CN113517170A (en) * 2021-07-09 2021-10-19 长鑫存储技术有限公司 Manufacturing method of semiconductor structure, semiconductor structure and memory
US20220223442A1 (en) * 2021-01-13 2022-07-14 Kioxia Corporation Semiconductor manufacturing apparatus and control method thereof

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101882531B1 (en) * 2010-08-03 2018-07-26 도쿄엘렉트론가부시키가이샤 Substrate processing method and substrate processing device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120312326A1 (en) * 2011-06-10 2012-12-13 Applied Materials, Inc. Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
KR102616489B1 (en) 2016-10-11 2023-12-20 삼성전자주식회사 Method for fabricating semiconductor device
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) * 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6576151B1 (en) * 1999-09-10 2003-06-10 Internuiversitair Microelektronica Centrum Etching of silicon nitride by anhydrous halogen gas
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6756300B1 (en) * 2002-12-18 2004-06-29 Advanced Micro Devices, Inc. Method for forming dual damascene interconnect structure
US20040184792A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US20050121144A1 (en) * 2003-12-03 2005-06-09 Canon Kabushiki Kaisha Processing system and exposure apparatus using the same
US20050284572A1 (en) * 2004-06-29 2005-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Heating system for load-lock chamber

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU3726593A (en) 1992-02-26 1993-09-13 Materials Research Corporation Ammonia plasma treatment of silicide contact surfaces in semiconductor devices
US6521524B1 (en) * 2001-02-07 2003-02-18 Advanced Micro Devices, Inc. Via filled dual damascene structure with middle stop layer and method for making the same
US6743725B1 (en) * 2001-08-13 2004-06-01 Lsi Logic Corporation High selectivity SiC etch in integrated circuit fabrication
JP2005085956A (en) 2003-09-08 2005-03-31 Mitsubishi Heavy Ind Ltd Cleaning method and cvd apparatus
JP4348176B2 (en) 2003-12-25 2009-10-21 積水化学工業株式会社 Dry etching processing apparatus and processing method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6576151B1 (en) * 1999-09-10 2003-06-10 Internuiversitair Microelektronica Centrum Etching of silicon nitride by anhydrous halogen gas
US6756300B1 (en) * 2002-12-18 2004-06-29 Advanced Micro Devices, Inc. Method for forming dual damascene interconnect structure
US20040184792A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US20050121144A1 (en) * 2003-12-03 2005-06-09 Canon Kabushiki Kaisha Processing system and exposure apparatus using the same
US20050284572A1 (en) * 2004-06-29 2005-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Heating system for load-lock chamber

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8206605B2 (en) * 2006-11-01 2012-06-26 Tokyo Electron Limited Substrate processing method and substrate processing system
US20080099440A1 (en) * 2006-11-01 2008-05-01 Tokyo Electron Limited Substrate processing method and substrate processing system
US20110174337A1 (en) * 2010-01-20 2011-07-21 Tokyo Electron Limited Method and apparatus for recovering pattern on silicon substrate
US11658051B2 (en) 2013-01-22 2023-05-23 Brooks Automation Us, Llc Substrate transport
US20140202921A1 (en) * 2013-01-22 2014-07-24 Brooks Automation, Inc. Substrate transport
US10395959B2 (en) * 2013-01-22 2019-08-27 Brooks Automation, Inc. Substrate transport
US11121015B2 (en) 2013-01-22 2021-09-14 Brooks Automation, Inc. Substrate transport
US11978648B2 (en) 2013-01-22 2024-05-07 Brooks Automation Us, Llc Substrate transport
US20190051545A1 (en) * 2016-01-29 2019-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing station
US10763140B2 (en) * 2016-01-29 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing station
US10643869B2 (en) * 2017-02-21 2020-05-05 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus and heat treatment method
US20220223442A1 (en) * 2021-01-13 2022-07-14 Kioxia Corporation Semiconductor manufacturing apparatus and control method thereof
CN113517170A (en) * 2021-07-09 2021-10-19 长鑫存储技术有限公司 Manufacturing method of semiconductor structure, semiconductor structure and memory

Also Published As

Publication number Publication date
US20110204025A1 (en) 2011-08-25
US8492287B2 (en) 2013-07-23

Similar Documents

Publication Publication Date Title
US8492287B2 (en) Substrate processing method
JP7483839B2 (en) How to Form an Air Gap
JP5057647B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
KR101974715B1 (en) Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
KR20160111508A (en) Methods for etching a dielectric barrier layer in a dual damascene structure
TWI775839B (en) Structure with selective barrier layer
US11127597B2 (en) Etching method
KR102244356B1 (en) Substrate treatment method
JP2007266099A (en) Method for restoring damage of low dielectric-constant film, production device for semiconductor, and storage medium
KR101671316B1 (en) Substrate processing method and storage medium
TWI756425B (en) Etching method
KR102606417B1 (en) Etching method, damage layer removal method, and storage medium
JP5233097B2 (en) Substrate processing method, substrate processing apparatus, and storage medium
KR102614944B1 (en) Etching method, method for removing etching residue, and storage medium
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
KR100870806B1 (en) Process for fabricating semiconductor device
TWI758464B (en) Selective formation of silicon-containing spacer
TWI751326B (en) Self-aligned via process flow
TWI778048B (en) Methods of forming semiconductor structures
TWI798215B (en) Selective sidewall spacers

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TAHARA, SHIGERU;REEL/FRAME:020040/0520

Effective date: 20070920

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION