US20060008676A1 - Protective coating on a substrate and method of making thereof - Google Patents

Protective coating on a substrate and method of making thereof Download PDF

Info

Publication number
US20060008676A1
US20060008676A1 US11/175,237 US17523705A US2006008676A1 US 20060008676 A1 US20060008676 A1 US 20060008676A1 US 17523705 A US17523705 A US 17523705A US 2006008676 A1 US2006008676 A1 US 2006008676A1
Authority
US
United States
Prior art keywords
layer
protective
protective layer
coating layer
article
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/175,237
Inventor
Toshiki Ebata
Yuji Morikawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
General Electric Co
Original Assignee
General Electric Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by General Electric Co filed Critical General Electric Co
Priority to US11/175,237 priority Critical patent/US20060008676A1/en
Assigned to GENERAL ELECTRIC COMPANY reassignment GENERAL ELECTRIC COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EBATA, TOSHIKI, MORIKAWA, YUJI
Publication of US20060008676A1 publication Critical patent/US20060008676A1/en
Assigned to JPMORGAN CHASE BANK, N.A. AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A. AS ADMINISTRATIVE AGENT SECURITY AGREEMENT Assignors: MOMENTIVE PERFORMANCE MATERIALS GMBH & CO. KG, MOMENTIVE PERFORMANCE MATERIALS HOLDINGS INC., MOMENTIVE PERFORMANCE MATERIALS JAPAN HOLDINGS GK
Assigned to MOMENTIVE PERFORMANCE MATERIALS INC., MOMENTIVE PERFORMANCE MATERIALS GMBH & CO KG, MOMENTIVE PERFORMANCE MATERIALS JAPAN HOLDINGS GK reassignment MOMENTIVE PERFORMANCE MATERIALS INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5031Alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5053Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials non-oxide ceramics
    • C04B41/5062Borides, Nitrides or Silicides
    • C04B41/5063Aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/89Coating or impregnation for obtaining at least two superposed coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0617AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer

Definitions

  • equipment In the semi-conductor industry, equipment is typically exposed to a corrosive environment such as ammonia, hydrogen and halogens at elevated temperatures. Examples of such equipment include substrates, liners, evaporators, crucibles, heating elements, electrostatic chucks, wafer carriers, susceptors, and the like. With respect to the manufacture of computer integrated circuits (e.g., computer chips), a device called electrostatic chuck (ESC) is typically used to support the substrates such as wafer etc., in the process wherein layers of materials are selectively deposited and removed from the wafers.
  • ESC electrostatic chuck
  • NF 3 nitrogen trifluoride
  • Japanese Published Patent Application No. JP62-123094A2 discloses susceptors employing a coating layer of AlN formed by a thermal chemical vapor deposition (“CVD”) method, on a substrate of high purity carbon based material.
  • Japanese Published Patent Application No. H06-061335 discloses an electrostatic chuck having a protective coating layer comprising AlN, Al2O3, AlON, deposited by methods including sputtering, ion plating and CVD methods to protective the underlying PBN layer.
  • PBN does not have anti-corrosive property with respect to halogen gas and halogen plasma.
  • the protective coating layers of the prior art there exhibits cracking on the coating thus typically resulting in an etch rate of exceeding 5,000 Angstroms per minute (/min). Peeling is also experienced in the protective coating layers of the prior art.
  • s i is intrinsic stress, which is a fundamental result of the conditions and method of film growth and is to a large degree a reflection of the film structure and the presence of impurities.
  • the term s T refers to the thermal stress in the film due to the CTE mismatch between the film and the substrate. Thermal stress s T is dependent on various factors, including the CTE of the substrate, the CTE of the protective film, the processing temperature (T dep ) and the reference temperature (T ref ), which can be the room temperature or the temperature of the device under operating condition(s) of interest.
  • the invention relates to a protective coating on a substrate and method of making thereof.
  • the invention relates to a protective layer for coating at least a surface of a device for use in a halogen-containing gas and/or plasma environment, the protective coating layer having compressive stress of less than 280 Mpa, wherein said surface comprising one of pyrolytic boron nitride, graphite, pyrolytic graphite, and combinations thereof.
  • the invention further relates to a protective layer for coating at least a surface of a device for use in a halogen-containing gas and/or plasma environment, wherein the protective coating is under a compressive stress of greater than or equal to about 50 kilograms per square centimeter when disposed upon the substrate, and wherein the coating is deposited on the surface of the device by means of a reactive ion plating method at an Ar flow rate of ⁇ 6 sccm.
  • the Ar flow rate is kept at 0 sccm.
  • the invention relates to articles comprising the aforementioned protective coating, and articles manufactured by the aforementioned method of making the protective coating.
  • FIG. 1 illustrates a cross-section view of one embodiment of an article employing the protective coating of this invention, an electrostatic chuck.
  • FIG. 2 shows three pictures of AlN coatings.
  • Figure (a) is a scanning electron microscopy (SEM) image showing an AlN protective layer (a) that is formed via a thermal CVD method.
  • Figure (b) is a SEM image showing an AlN layer (b) that is via an ion-plating method, wherein the Ar flow is kept at about 15 sccm.
  • Figure (c) is a SEM image showing an AlN layer (c) formed via the ion-plating method, with the Ar flow is kept at 05.
  • FIG. 3 is a graph illustrating the relationship between the compressive stress of the AlN protective layer and the Ar flow rate in the ion-plating process.
  • FIG. 4 contains two diagrams showing the behavior of the protective layer under tensile stress (a) and compressive stress.
  • FIG. 5 is a bar chart comparing the etching rates of AlN layer formed via the ion-plating method of one embodiment of the invention, versus protective layers formed by other methods, including pBN and sintered AlN surfaces.
  • the terms “first,” “second,” and the like do not denote any order or importance, but rather are used to distinguish one element from another, and the terms “the”, “a” and “an” do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item. Furthermore, all ranges disclosed herein are inclusive of the endpoints and are independently combinable.
  • approximating language may be applied to modify any quantitative representation that may vary without resulting in a change in the basic function to which it is related. Accordingly, a value modified by a term or terms, such as “about” and “substantially,” may not to be limited to the precise value specified, in some cases. In at least some instances, the approximating language may correspond to the precision of an instrument for measuring the value.
  • substrate or “substrates” may be used interchangeably with “surface” or “surfaces.”
  • protection coating layer may be used interchangeably with “coating layer” or “coating film,” or “protective layer,” or “protective coating layer.”
  • crack free or “substantially crack free” means that no cracks can be observed by optical microscopy or SEM with 10 k magnification. Cracks also include holes, perforations, pores, or lines.
  • Adhesion means the bonding of two different materials, and cohesion means a mass that resists separation.
  • having an excellent adhesion or having a layer that free from peeling, or a coating layer that doesn't peel, means that the adhesive strength of the coating layer exceeds the cohesive strength of underlying layer or layers.
  • the stress at T ref can be lowered by having T dep closer to T ref , or to adjust the intrinsic stress for a given fixed Delta CTE where:
  • the in-film or mechanical stress is a force in the plane of a coating film such as AlN, acting per unit area of the film cross section.
  • the mechanical stress may be compressive or tensile in character. The compressive stress prevents the formation of cracks thereby increasing the useful life of the article.
  • the invention relates to articles comprising protective coatings that can be advantageously used in harsh semiconductor manufacturing environments that contain ammonia, hydrogen and halogens at elevated temperatures.
  • These coated articles are advantageously used as electrostatic chucks, heater elements and wafer carriers during the manufacture of integrated circuits, semiconductors, silicon wafers, chemical compound semiconductor wafers, liquid crystalline display devices and their glass substrates, or the like.
  • the protective coating comprises at least one of AlN, AlON, or combinations thereof.
  • the protective coating layer is a single layer of AlN, AlON, or combinations thereof.
  • it is a multi-layer of multiple coatings of the same material, e.g., AlN, AlON, etc., or multiple different layers of AlN, AlON, etc., coated in succession.
  • the protective coatings are deposited upon substrates comprising boron nitride (BN), pyrolytic boron nitride (PBN), or carbon doped pyrolytic boron nitride (C-PBN), graphite, pyrolytic graphite (pG), or combinations thereof.
  • substrates comprising boron nitride (BN), pyrolytic boron nitride (PBN), or carbon doped pyrolytic boron nitride (C-PBN), graphite, pyrolytic graphite (pG), or combinations thereof.
  • the protective coatings are also advantageously in a state of compressive stress when disposed upon the substrates, thus facilitating the retention of dimensional stability and mechanical strength as well as a reduction in the number of cracks.
  • the protective coatings also have a substantially reduced number of cracks when compared with other protective coatings manufactured by processes such as thermal chemical vapor deposition.
  • the protective coating has excellent adhesion to the substrate and is crack free. The reduced number of cracks as well as the morphology of the coating minimizes any etching of the substrate during the periodic cleaning of the equipment.
  • the protective coating layer of the invention significantly increases the life cycle of the article.
  • the life cycle is the amount of time that the article can be subjected to a cleansing environment before it has to be replaced as a result of the etching away of the protective coating.
  • suitable cleansing environments include halogen-based plasmas, halogen-based radicals generated from remote plasma source, halogen-based species decomposed by heating, halogen-based gases, oxygen plasmas, oxygen-based plasmas, or the like.
  • An example of a halogen-based plasma is a nitrogen trifluoride (NF 3 ) plasma.
  • Fluorinated hydrocarbons such as, for example, carbon tetrafluoride (CF 4 ) can be used either alone or in combination with oxygen.
  • the article may also be subjected to a reactive ion-etching environment and should be capable of offering resistance to etching in such an environment as well.
  • the protective coating increases the life cycle of the article by a time period of greater than or equal to about 5 hours over articles that have unprotected PBN as a substrate. In one embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 10 hours over similar articles that have unprotected PBN. In yet another embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 50 hours over similar articles that have unprotected PBN. In yet another embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 100 hours over similar articles that have unprotected PBN.
  • the thickness of the protective coating may be varied depending upon the application and upon the cleaning conditions.
  • Protective coatings having thickness of about 1 to about 5 ⁇ m generally permit good thermal contact between the wafer and the electrostatic chuck, but do not last as long as coatings having greater thicknesses. Coatings having thicknesses of greater than 5 ⁇ m, however, have longer life cycles than coatings having thickness of less than 5 ⁇ m.
  • the coating has a thickness of greater than or equal to about 2 micrometers ( ⁇ m).
  • the protective coating thickness is greater than or equal to about 10 ⁇ m.
  • the thickness is greater than or equal to about 50 ⁇ m.
  • the thickness is greater than or equal to about 75 ⁇ m.
  • the protective coatings of the present invention are generally under compressive stress when deposited upon substrates comprising PBN, PG or C-PBN.
  • the protective film has a compressive stress of less than 300 MPa. In a second embodiment, the film has a compressive stress of less than 280 MPa. In a third embodiment, the film has a compressive stress of less than 250 MPa.
  • the compressive stress permits the protective coating to withstand thermal cycling without cracking.
  • the protective coatings have very few cracks and this feature provides significant resistance against etching.
  • the initial protective coating may have cracks that occupy a volume of less than 10% of the total volume of the coating.
  • the initial protective coating may have cracks that occupy a volume of less than 5% of the total volume of the coating.
  • the protective coating may be completely crack free when it is initially manufactured.
  • the protective coating is characterized as being etch-resistant, or having a low-etch rate in an environment comprising halogens or when exposed to plasma etching, reactive ion etching, plasma cleaning and gas cleaning.
  • the etch rate for the protective coating is much lower than coatings of a similar chemical composition that are sintered.
  • the etch rate of the protective coating is also less than the etch rate for the PBN substrate. This low etch rate provides protection for the PBN thereby extending the life of the article.
  • the etch rate is less than 100 Angstroms per minute (/min).
  • the etch rate is less than 50/min.
  • it is less than or equal to about 40 Angstroms per minute (/min) in a cleansing environment that comprises halogens or when exposed to a reactive ion etching environment.
  • the protective coating is deposited using ion plating (IP).
  • IP ion plating
  • aluminum is ionized using a radio frequency (RF) discharge.
  • Ionization and plasma is mainly sustained by the formation of nitrogen ions from nitrogen. Ionization is brought by plasma discharge, which can be sustained not only by RF coils but also by using a capacitive coupling plasma or an inductive coupling plasma.
  • the chamber in which the deposition occurs is generally maintained under vacuum conditions. Nitrogen gas is introduced into the chamber and becomes ionized by RF discharge.
  • the substrate is generally placed on or near a cathode to direct the ionized gases.
  • the substrate is placed in an argon/nitrogen plasma, together with the aluminum metal, which vaporizes on heating and becomes partly ionized (acquires charged atoms) as it diffuses through the discharging region to form the protective coating.
  • the temperature in the chamber during ion plating is maintained at less than or equal to about 400° C.
  • the deposition rate during ion plating is greater than or equal to about 0.1 ⁇ m/hour. In one embodiment, the deposition rate is greater than or equal to about 0.15 ⁇ m/hour. In another embodiment, the deposition rate is greater than or equal to about 0.20 ⁇ m/hour. In yet another embodiment, the deposition rate is greater than or equal to about 0.25 ⁇ m/hour.
  • an inert/non-reactive gas such as Argon can be introduced into the vacuum reactor.
  • Argon an inert/non-reactive gas
  • Applicants have found that by controlling the Ar flow amount in the reactor, the anti-corrosive property of the protective coating layer can be controlled, for an anti-corrosive protective layer with no cracks/minimal or no peeling (strong adhesion strength between the coating layer and the pBN/pG substrate).
  • the protective coating layer displayers a compressive stress of less than 280 Mpa and little if no peeling.
  • the Ar flow rate is kept at 0 sccm for a crack-free protective layer that also adheres to the substrate (without peeling), and with a compressive stress of less than 250 Mpa.
  • the protective coatings can be advantageously used for semi-conductor processing components such as substrates, liners, evaporators, crucibles, heating elements, wafer carriers, electrostatic chucks, susceptors, or the like.
  • the protective coating enhances the life of the aforementioned semi-conductor processing components when these components are exposed to ammonia, hydrogen, halogens such as fluorine, chlorine, nitrogen trifluoride, or the like, at temperatures of greater than or equal to about 200° C.
  • FIG. 1 illustrates the cross section of an article employing the protective coating of the invention.
  • the article can be a heating element, an electrostatic chuck or a wafer carrier that can be used for locating and/or heating the silicon wafers during the deposition of surface layers on the wafer.
  • the article 8 is an electrostatic chuck.
  • the article comprises a graphite core 1 of about 10 mm.
  • the core can also be made from PBN, hot pressed BN, or composites.
  • Disposed upon the graphite core is a pyrolytic boron nitride (BN) layer 2 of about 300 ⁇ m.
  • the pBN layer 2 is can be deposited by thermal chemical vapor deposition (thermal CVD), hot pressing, sintering, or plasma enhanced chemical vapor deposition (PECVD).
  • the PG layer is deposited upon the BN layer 2 by chemical vapor deposition (CVD) or other processes known in the prior art.
  • the PG layer is machined into a desired configuration, forming chuck electrode(s) 3 at the top surface and heater electrode (4) at the bottom surface of the article.
  • the machined PG layer 3 and 4 together with the first pBN layer 2 and the graphite substrate 1 , form the substrate body 5 of the article.
  • a pyrolytic boron nitride (PBN) coating 6 is disposed upon the body of the article such that it substantially covers the body of the article.
  • PBN pyrolytic boron nitride
  • the pBN coating layer 6 is formed in a thermal CVD furnace at 1850° C., under reduced pressure with 3 moles of ammonia and 2.4 moles of methane gas with respect to 1 mole of boron trichloride.
  • a carbon-doped PBN insulation layer 6 of a thickness of 100 about ⁇ m is formed on the entire surface of substrate 5 , having an electrical resistivity of 2.8 ⁇ 10 12 ⁇ -cm.
  • This PBN coating 6 resists oxidation, provides electrical insulation, chemical and mechanical protection and minimizes the opportunity for carbon contamination of the wafers. It also has very high thermal conductivity in the a-b direction, thereby minimizing any thermal non-uniformity on the top of the heater.
  • the protective coating AlN 7 is then disposed upon the PBN coating 6 .
  • the AlN coating layer 7 is applied onto the electrostatic chuck 8 in a reactive ion plating process, wherein the Ar flow rates are kept at 0 sccm (no Ar), 5 sccm, 10 sccm, and 15 sccm respectively.
  • nitrogen reacts with aluminum at about 400° C. forming as the AlN protective layer 7 of the invention with anti-corrosive property with respect to halogen gas and halogen plasma.
  • FIGS. 2 ( a )- 2 ( c ) are SEM (Scanning Electron Microscope) images, comparing the AlN protective layers of the invention with the prior art AlN coating via thermal CVD.
  • FIG. 2 ( a ) shows cracks in the AlN layer formed by a thermal CVD process, confirming that it is not practical to employ this coating in a corrosive environment.
  • FIG. 2 ( c ) is an SEM showing an AlN coating formed by the ion-plating method, wherein the Ar flow rate is reduced to 0, thus forming a crack-free AlN layer and without forming.
  • FIG. 2 ( b ) is an SEM showing that when the Ar flow is reduced to 15 sccm, the AlN layer remains crack-free although there is some showing of peeling.
  • FIG. 3 is a graph illustrating the relationship between the compressive stress of the AlN protective layer and the Ar flow rate in the ion-plating process.
  • the compressive stress is measured to be 248 Mpa when the Ar flow amount is reduced to 0 sccm.
  • the compressive stress is measured to be 267 Mpa when the Ar flow rate is kept at 5 sccm.
  • the Ar flow is set at 10 sccm
  • the compressive stress is 344 Mpa.
  • the Ar flow rate is 15 sccm
  • the compressive stress is 360 Mpa.
  • FIG. 4 contains two diagrams showing the behavior of the protective layer under tensile stress (a) and compressive stress.
  • the thermal heat expansion coefficient of pBN is small comparing to materials comprising the protective layer such as aluminum nitride, aluminum oxynitride, and the like, etc. Since there is a strong tensile stress due to the difference in thermal expansion coefficients and this residual tensile stress exceeds the tensile strength of the protective layer itself, the protective layer is ruptured thus causing cracks. This is illustrated in FIG. 4 ( a ).
  • compressive stress is formed and thus minimizing or eliminating the occurrence of cracks.
  • FIG. 5 is a graph comparing the anti-corrosion property of the AlN coating layer of the invention as formed via the ion-plating process, with other protective surfaces.
  • the anti-corrosion property is illustrated as the etch rate under a NF3 plasma atmosphere, the lower the rate, the higher the anti-corrosion property.
  • etch rates measured in an AlN protective layer formed by the ion-plating method wherein the Ar flow is 0 sccm is compared with the etch rates as measured in sintered AlN, thermal CVD pBN, and Si wafer.
  • the etch rates of the ion-plated AlN of the present invention is at 4.8 ⁇ /minute, as compared to rates in sintered AlN of 33 ⁇ /min., 455 ⁇ /minute in Si wafer, and >10,000 ⁇ /minute in pBN.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Disclosed herein is a protective coating having a compressive stress of less than 280 Mpa, for devices to be used in a corrosive environment such as halogen containing gases or halogen plasma atmosphere, e.g., wafer supporting device for use in semi-conductor processing assemblies such as electrostatic chucks, heaters, etc. The protective coating in one embodiment is crack-free, with a compressive stress of less than 250 Mpa. It is deposited onto at least one surface of the device via an ion-plating process, in which the Ar flow is kept below 5 sccm, and one embodiment, at 0 sccm, for a crack-free protective layer.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application claims the benefit of U.S. Provisional Application Ser. No. 60/586,059, filed Jul. 7, 2004.
  • BACKGROUND
  • In the semi-conductor industry, equipment is typically exposed to a corrosive environment such as ammonia, hydrogen and halogens at elevated temperatures. Examples of such equipment include substrates, liners, evaporators, crucibles, heating elements, electrostatic chucks, wafer carriers, susceptors, and the like. With respect to the manufacture of computer integrated circuits (e.g., computer chips), a device called electrostatic chuck (ESC) is typically used to support the substrates such as wafer etc., in the process wherein layers of materials are selectively deposited and removed from the wafers.
  • During wafer coating, some of the materials that are applied to the wafer or chip also deposit on the equipment in the deposition chamber. This requires periodic cleaning of the equipment, which is commonly done using high-energy gas plasma. Aggressive cleaning agents generally use a halogen-bearing gas such as nitrogen trifluoride (NF3) to produce fluorine plasma, which cleans the chamber but may simultaneously attack the components of the equipment causing erosion. This erosion limits the life of the components and the equipment. It is therefore desirable to extend the service life of components and equipment by the use of a durable protective coating.
  • Japanese Published Patent Application No. JP62-123094A2 discloses susceptors employing a coating layer of AlN formed by a thermal chemical vapor deposition (“CVD”) method, on a substrate of high purity carbon based material. Japanese Published Patent Application No. H06-061335 discloses an electrostatic chuck having a protective coating layer comprising AlN, Al2O3, AlON, deposited by methods including sputtering, ion plating and CVD methods to protective the underlying PBN layer. PBN does not have anti-corrosive property with respect to halogen gas and halogen plasma. In the protective coating layers of the prior art, there exhibits cracking on the coating thus typically resulting in an etch rate of exceeding 5,000 Angstroms per minute (/min). Peeling is also experienced in the protective coating layers of the prior art.
  • Stresses in films are measured using techniques known in the art, e.g., as described in “Mechanical Properties of Thin Films,” by W. D. Nix, Metallurgical Transactions A, 20A, 2217 (1989); “The Mechanical Properties of Thin Condensed Films” by R. W. Hoffman, Physics of Thin Films, Vol 3, Academic Press, New York, 1966; and P. H Townsend et al., J. Appl. Phys. 62, 4438 (1987), for multi-layered structures. For AlN coating films, the residual (mechanical) stress is the sum of the stress due to the CTE mismatch between AlN and the substrate, and the intrinsic stress of the AlN as deposited. The total mechanical or residual stress of a film can be summarized by the following formula (1):
    Stress(residual)s=Stress s T(thermal)+Stress s i (intrinsic)  (1).
  • In the equation, si is intrinsic stress, which is a fundamental result of the conditions and method of film growth and is to a large degree a reflection of the film structure and the presence of impurities. The term sT refers to the thermal stress in the film due to the CTE mismatch between the film and the substrate. Thermal stress sT is dependent on various factors, including the CTE of the substrate, the CTE of the protective film, the processing temperature (Tdep) and the reference temperature (Tref), which can be the room temperature or the temperature of the device under operating condition(s) of interest.
  • For AlN coatings on pBN substrates, since PBN is a material with low thermal heat expansion coefficient as compared to materials comprising the protective layer such as aluminum nitride, aluminum oxynitride, and the like, etc., there is a strong tensile stress formed in a protective layer that is formed at high temperature and then cooled at room temperature. Because of this reason, cracks and peeling are expected in the protective layer formed in the processes of the prior art.
  • There remains a need for protective coating layers of equipment used in corrosive environment, e.g., wafer supporting device such as ESCs, susceptors, heater, etc. to strongly adhere to the underlying substrate. There is also a need for protective coating layers for substrates including pBN, graphite, or combinations thereof, to have excellent anti-corrosion property in halogen gas/halogen plasma environments.
  • SUMMARY
  • This disclosure relates to a protective coating on a substrate and method of making thereof. In one embodiment, the invention relates to a protective layer for coating at least a surface of a device for use in a halogen-containing gas and/or plasma environment, the protective coating layer having compressive stress of less than 280 Mpa, wherein said surface comprising one of pyrolytic boron nitride, graphite, pyrolytic graphite, and combinations thereof.
  • The invention further relates to a protective layer for coating at least a surface of a device for use in a halogen-containing gas and/or plasma environment, wherein the protective coating is under a compressive stress of greater than or equal to about 50 kilograms per square centimeter when disposed upon the substrate, and wherein the coating is deposited on the surface of the device by means of a reactive ion plating method at an Ar flow rate of <6 sccm. In one embodiment of the invention, the Ar flow rate is kept at 0 sccm.
  • Lastly, the invention relates to articles comprising the aforementioned protective coating, and articles manufactured by the aforementioned method of making the protective coating.
  • BREIF DESCRIPTION OF THE FIGURES
  • FIG. 1 illustrates a cross-section view of one embodiment of an article employing the protective coating of this invention, an electrostatic chuck.
  • FIG. 2 shows three pictures of AlN coatings. Figure (a) is a scanning electron microscopy (SEM) image showing an AlN protective layer (a) that is formed via a thermal CVD method. Figure (b) is a SEM image showing an AlN layer (b) that is via an ion-plating method, wherein the Ar flow is kept at about 15 sccm. Figure (c) is a SEM image showing an AlN layer (c) formed via the ion-plating method, with the Ar flow is kept at 05.
  • FIG. 3 is a graph illustrating the relationship between the compressive stress of the AlN protective layer and the Ar flow rate in the ion-plating process.
  • FIG. 4 contains two diagrams showing the behavior of the protective layer under tensile stress (a) and compressive stress.
  • FIG. 5 is a bar chart comparing the etching rates of AlN layer formed via the ion-plating method of one embodiment of the invention, versus protective layers formed by other methods, including pBN and sintered AlN surfaces.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • As used herein, the terms “first,” “second,” and the like do not denote any order or importance, but rather are used to distinguish one element from another, and the terms “the”, “a” and “an” do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item. Furthermore, all ranges disclosed herein are inclusive of the endpoints and are independently combinable.
  • As used herein, approximating language may be applied to modify any quantitative representation that may vary without resulting in a change in the basic function to which it is related. Accordingly, a value modified by a term or terms, such as “about” and “substantially,” may not to be limited to the precise value specified, in some cases. In at least some instances, the approximating language may correspond to the precision of an instrument for measuring the value.
  • As used herein, “substrate” or “substrates” may be used interchangeably with “surface” or “surfaces.”
  • As used herein, “protective coating” layer may be used interchangeably with “coating layer” or “coating film,” or “protective layer,” or “protective coating layer.”
  • As used herein, “crack free” or “substantially crack free” means that no cracks can be observed by optical microscopy or SEM with 10 k magnification. Cracks also include holes, perforations, pores, or lines.
  • Adhesion means the bonding of two different materials, and cohesion means a mass that resists separation. As used herein, having an excellent adhesion or having a layer that free from peeling, or a coating layer that doesn't peel, means that the adhesive strength of the coating layer exceeds the cohesive strength of underlying layer or layers. The stress at Tref can be lowered by having Tdep closer to Tref, or to adjust the intrinsic stress for a given fixed Delta CTE where:
      • Stress sT (thermal) is proportional to ((CTEsubstrate−CTEfilm)*(Tdep−Tref)).
  • As known in the art, the in-film or mechanical stress is a force in the plane of a coating film such as AlN, acting per unit area of the film cross section. The mechanical stress may be compressive or tensile in character. The compressive stress prevents the formation of cracks thereby increasing the useful life of the article.
  • In one embodiment, the invention relates to articles comprising protective coatings that can be advantageously used in harsh semiconductor manufacturing environments that contain ammonia, hydrogen and halogens at elevated temperatures. These coated articles are advantageously used as electrostatic chucks, heater elements and wafer carriers during the manufacture of integrated circuits, semiconductors, silicon wafers, chemical compound semiconductor wafers, liquid crystalline display devices and their glass substrates, or the like.
  • Coating Characteristics The protective coating comprises at least one of AlN, AlON, or combinations thereof. In one embodiment, the protective coating layer is a single layer of AlN, AlON, or combinations thereof. In another embodiment, it is a multi-layer of multiple coatings of the same material, e.g., AlN, AlON, etc., or multiple different layers of AlN, AlON, etc., coated in succession.
  • In one embodiment, the protective coatings are deposited upon substrates comprising boron nitride (BN), pyrolytic boron nitride (PBN), or carbon doped pyrolytic boron nitride (C-PBN), graphite, pyrolytic graphite (pG), or combinations thereof.
  • The protective coatings are also advantageously in a state of compressive stress when disposed upon the substrates, thus facilitating the retention of dimensional stability and mechanical strength as well as a reduction in the number of cracks. The protective coatings also have a substantially reduced number of cracks when compared with other protective coatings manufactured by processes such as thermal chemical vapor deposition. In one embodiment, the protective coating has excellent adhesion to the substrate and is crack free. The reduced number of cracks as well as the morphology of the coating minimizes any etching of the substrate during the periodic cleaning of the equipment.
  • When applied to a substrate, the protective coating layer of the invention significantly increases the life cycle of the article. The life cycle is the amount of time that the article can be subjected to a cleansing environment before it has to be replaced as a result of the etching away of the protective coating. Examples of suitable cleansing environments include halogen-based plasmas, halogen-based radicals generated from remote plasma source, halogen-based species decomposed by heating, halogen-based gases, oxygen plasmas, oxygen-based plasmas, or the like. An example of a halogen-based plasma is a nitrogen trifluoride (NF3) plasma. Fluorinated hydrocarbons such as, for example, carbon tetrafluoride (CF4) can be used either alone or in combination with oxygen. The article may also be subjected to a reactive ion-etching environment and should be capable of offering resistance to etching in such an environment as well.
  • In one embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 5 hours over articles that have unprotected PBN as a substrate. In one embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 10 hours over similar articles that have unprotected PBN. In yet another embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 50 hours over similar articles that have unprotected PBN. In yet another embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 100 hours over similar articles that have unprotected PBN.
  • The thickness of the protective coating may be varied depending upon the application and upon the cleaning conditions. Protective coatings having thickness of about 1 to about 5 μm, generally permit good thermal contact between the wafer and the electrostatic chuck, but do not last as long as coatings having greater thicknesses. Coatings having thicknesses of greater than 5 μm, however, have longer life cycles than coatings having thickness of less than 5 μm. In one embodiment, the coating has a thickness of greater than or equal to about 2 micrometers (μm). In another embodiment, the protective coating thickness is greater than or equal to about 10 μm. In a third embodiment, the thickness is greater than or equal to about 50 μm. In yet another embodiment, the thickness is greater than or equal to about 75 μm.
  • As known in the art, compressive stress in protective coating films or layers prevents the formation of cracks thereby increasing the useful life of the article. The protective coatings of the present invention are generally under compressive stress when deposited upon substrates comprising PBN, PG or C-PBN.
  • In one embodiment, the protective film has a compressive stress of less than 300 MPa. In a second embodiment, the film has a compressive stress of less than 280 MPa. In a third embodiment, the film has a compressive stress of less than 250 MPa.
  • The compressive stress permits the protective coating to withstand thermal cycling without cracking. The protective coatings have very few cracks and this feature provides significant resistance against etching. In one embodiment, the initial protective coating may have cracks that occupy a volume of less than 10% of the total volume of the coating. In another embodiment, the initial protective coating may have cracks that occupy a volume of less than 5% of the total volume of the coating. In yet another embodiment, the protective coating may be completely crack free when it is initially manufactured.
  • The protective coating is characterized as being etch-resistant, or having a low-etch rate in an environment comprising halogens or when exposed to plasma etching, reactive ion etching, plasma cleaning and gas cleaning. The etch rate for the protective coating is much lower than coatings of a similar chemical composition that are sintered. The etch rate of the protective coating is also less than the etch rate for the PBN substrate. This low etch rate provides protection for the PBN thereby extending the life of the article. In one embodiment, the etch rate is less than 100 Angstroms per minute (/min). In a second embodiment, the etch rate is less than 50/min. In a third embodiment; it is less than or equal to about 40 Angstroms per minute (/min) in a cleansing environment that comprises halogens or when exposed to a reactive ion etching environment.
  • Process of depositing the protective coating—IP the protective coating is deposited using ion plating (IP). In ion plating, aluminum is ionized using a radio frequency (RF) discharge. Ionization and plasma is mainly sustained by the formation of nitrogen ions from nitrogen. Ionization is brought by plasma discharge, which can be sustained not only by RF coils but also by using a capacitive coupling plasma or an inductive coupling plasma. The chamber in which the deposition occurs is generally maintained under vacuum conditions. Nitrogen gas is introduced into the chamber and becomes ionized by RF discharge. The substrate is generally placed on or near a cathode to direct the ionized gases. The substrate is placed in an argon/nitrogen plasma, together with the aluminum metal, which vaporizes on heating and becomes partly ionized (acquires charged atoms) as it diffuses through the discharging region to form the protective coating.
  • The temperature in the chamber during ion plating is maintained at less than or equal to about 400° C. The deposition rate during ion plating is greater than or equal to about 0.1 μm/hour. In one embodiment, the deposition rate is greater than or equal to about 0.15 μm/hour. In another embodiment, the deposition rate is greater than or equal to about 0.20 μm/hour. In yet another embodiment, the deposition rate is greater than or equal to about 0.25 μm/hour.
  • In an ion plating method, an inert/non-reactive gas such as Argon can be introduced into the vacuum reactor. Applicants have found that by controlling the Ar flow amount in the reactor, the anti-corrosive property of the protective coating layer can be controlled, for an anti-corrosive protective layer with no cracks/minimal or no peeling (strong adhesion strength between the coating layer and the pBN/pG substrate).
  • In one embodiment of the ion-plating process, when the Ar flow is reduced to 10 sccm, there is some evidence of peeling along the entire protective layer. When the Ar flow is reduced to 5 sccm, peeling is observed in one some part of the protective layer. In one embodiment wherein the Ar flow is kept below 6 sccm, the protective coating layer displayers a compressive stress of less than 280 Mpa and little if no peeling. In another embodiment, the Ar flow rate is kept at 0 sccm for a crack-free protective layer that also adheres to the substrate (without peeling), and with a compressive stress of less than 250 Mpa.
  • Applications of the Invention The protective coatings can be advantageously used for semi-conductor processing components such as substrates, liners, evaporators, crucibles, heating elements, wafer carriers, electrostatic chucks, susceptors, or the like. The protective coating enhances the life of the aforementioned semi-conductor processing components when these components are exposed to ammonia, hydrogen, halogens such as fluorine, chlorine, nitrogen trifluoride, or the like, at temperatures of greater than or equal to about 200° C.
  • FIG. 1 illustrates the cross section of an article employing the protective coating of the invention. The article can be a heating element, an electrostatic chuck or a wafer carrier that can be used for locating and/or heating the silicon wafers during the deposition of surface layers on the wafer. In one embodiment, the article 8 is an electrostatic chuck. The article comprises a graphite core 1 of about 10 mm. The core can also be made from PBN, hot pressed BN, or composites. Disposed upon the graphite core is a pyrolytic boron nitride (BN) layer 2 of about 300 μm. In one embodiment, the pBN layer 2 is can be deposited by thermal chemical vapor deposition (thermal CVD), hot pressing, sintering, or plasma enhanced chemical vapor deposition (PECVD).
  • Disposed upon the BN layer 2 is a layer of pyrolytic graphite (PG). The PG layer is deposited upon the BN layer 2 by chemical vapor deposition (CVD) or other processes known in the prior art. The PG layer is machined into a desired configuration, forming chuck electrode(s) 3 at the top surface and heater electrode (4) at the bottom surface of the article. The machined PG layer 3 and 4, together with the first pBN layer 2 and the graphite substrate 1, form the substrate body 5 of the article. A pyrolytic boron nitride (PBN) coating 6 is disposed upon the body of the article such that it substantially covers the body of the article. The pBN coating layer 6 is formed in a thermal CVD furnace at 1850° C., under reduced pressure with 3 moles of ammonia and 2.4 moles of methane gas with respect to 1 mole of boron trichloride. A carbon-doped PBN insulation layer 6 of a thickness of 100 about μm is formed on the entire surface of substrate 5, having an electrical resistivity of 2.8×1012 Ω-cm.
  • This PBN coating 6 resists oxidation, provides electrical insulation, chemical and mechanical protection and minimizes the opportunity for carbon contamination of the wafers. It also has very high thermal conductivity in the a-b direction, thereby minimizing any thermal non-uniformity on the top of the heater. The protective coating AlN 7 is then disposed upon the PBN coating 6.
  • In one embodiment to provide the electrostatic chuck protection to be usable even in a corrosive environment such as halogen gases and/or halogen plasma atmosphere, the AlN coating layer 7 is applied onto the electrostatic chuck 8 in a reactive ion plating process, wherein the Ar flow rates are kept at 0 sccm (no Ar), 5 sccm, 10 sccm, and 15 sccm respectively. In the ion plating process, nitrogen reacts with aluminum at about 400° C. forming as the AlN protective layer 7 of the invention with anti-corrosive property with respect to halogen gas and halogen plasma.
  • As a comparative example on a comparative electrostatic chuck, instead of using an AlN protective coating via ion-plating method, an AlN protective layer is formed using a thermal CVD method at 950° C. FIGS. 2 (a)-2(c) are SEM (Scanning Electron Microscope) images, comparing the AlN protective layers of the invention with the prior art AlN coating via thermal CVD.
  • FIG. 2 (a) shows cracks in the AlN layer formed by a thermal CVD process, confirming that it is not practical to employ this coating in a corrosive environment. FIG. 2 (c) is an SEM showing an AlN coating formed by the ion-plating method, wherein the Ar flow rate is reduced to 0, thus forming a crack-free AlN layer and without forming. FIG. 2 (b) is an SEM showing that when the Ar flow is reduced to 15 sccm, the AlN layer remains crack-free although there is some showing of peeling.
  • FIG. 3 is a graph illustrating the relationship between the compressive stress of the AlN protective layer and the Ar flow rate in the ion-plating process. In one embodiment wherein the AlN protective layer 7 thickness of 0.5 μm is formed, with the Young's Modulus value is 130 GPa and the Poisson ratio is measured as 0.28 by the deflection method, the compressive stress is measured to be 248 Mpa when the Ar flow amount is reduced to 0 sccm. The compressive stress is measured to be 267 Mpa when the Ar flow rate is kept at 5 sccm. When the Ar flow is set at 10 sccm, the compressive stress is 344 Mpa. Finally, when the Ar flow rate is 15 sccm, the compressive stress is 360 Mpa. A
  • It should be note that the AlN protective layer formed by the thermal CVD method whose SEM is shown in FIG. 2 (a), the compressive stress cannot be measured since all samples show evidence of cracks.
  • FIG. 4 contains two diagrams showing the behavior of the protective layer under tensile stress (a) and compressive stress. As illustrated in the prior art AlN coating via thermal CVD and with the occurrence of cracks, the thermal heat expansion coefficient of pBN is small comparing to materials comprising the protective layer such as aluminum nitride, aluminum oxynitride, and the like, etc. Since there is a strong tensile stress due to the difference in thermal expansion coefficients and this residual tensile stress exceeds the tensile strength of the protective layer itself, the protective layer is ruptured thus causing cracks. This is illustrated in FIG. 4 (a). In the AlN protective layer formed via an ion-plating method and as illustrated in FIG. 4 (b), compressive stress is formed and thus minimizing or eliminating the occurrence of cracks.
  • FIG. 5 is a graph comparing the anti-corrosion property of the AlN coating layer of the invention as formed via the ion-plating process, with other protective surfaces. The anti-corrosion property is illustrated as the etch rate under a NF3 plasma atmosphere, the lower the rate, the higher the anti-corrosion property. In the Figure, etch rates measured in an AlN protective layer formed by the ion-plating method wherein the Ar flow is 0 sccm is compared with the etch rates as measured in sintered AlN, thermal CVD pBN, and Si wafer. The etch rates of the ion-plated AlN of the present invention is at 4.8 Å/minute, as compared to rates in sintered AlN of 33 Å/min., 455 Å/minute in Si wafer, and >10,000 Å/minute in pBN.

Claims (25)

1. A protective layer for coating at least a surface of an article for use in a halogen-containing gas and/or plasma environment,
wherein said at least a surface comprises one of pyrolytic boron nitride, graphite, pyrolytic graphite, and combinations thereof,
wherein the protective layer has a compressive stress of less than 280 Mpa.
2. The protective layer of claim 1, wherein the protective layer has a compressive stress of less than 250 Mpa.
3. The protective layer of claim 1, wherein the protective layer comprises one of aluminum nitride, aluminum oxynitride, and combinations thereof.
4. The protective layer of claim 1, wherein the protective layer is deposited onto said at least a surface of an article via an ion plating method.
5. The protective layer of claim 4, wherein the protective layer is deposited onto said at least a surface of an article via an ion plating method.
6. The protective layer of claim 5, wherein ion plating method includes Argon as a non-reactive gas.
7. The protective layer of claim 6, wherein the Argon flow rate is kept below a rate of 10 sccm.
8. The protective layer of claim 7, wherein the Argon flow rate is kept below a rate of 5 sccm.
9. The protective layer of claim 1, wherein at least a surface comprises pyrolytic boron nitride.
10. The protective layer of claim 1, wherein the protective layer comprises aluminum nitride.
11. The protective layer of claim 1, wherein the protective layer has a thickness in the range between 3 μm to 200 μm.
12. The protective coating layer of claim 1, wherein the protective layer is substantially crack-free.
13. The protective coating layer of claim 1, wherein the layer has an etch resistance rate of less than 50 Angstroms per minute upon exposure to a halogen-containing gas and/or plasma environment.
14. The protective coating layer of claim 13, wherein the layer has an etch resistance rate of less than 40 Angstroms per minute upon exposure to a fluorine-containing gas and/or plasma environment.
15. An article comprising a protective coating layer on at least one of its surfaces, said coating layer comprises at least one of aluminum nitride, aluminum oxynitride or combinations thereof,
and wherein the coating layer is substantially crack free when said article is exposed to fluorine containing gases and/or plasma.
16. The article of claim 13, wherein the protective coating layer has a compressive stress of less than 280 Mpa.
17. A method for modifying the in-film stress in a protective coating layer of an article for use in a halogen-containing gas and/or plasma environment, said method comprising:
depositing a protective coating layer on at least one surface of the article, said coating layer comprising at least one of aluminum nitride, carbon and/or oxygen doped aluminum nitride, aluminum oxynitride or combinations thereof,
said at least one surface comprises at least one of pyrolytic boron nitride, pyrolytic graphite and/or carbon doped boron nitride, and combinations thereof;
wherein said protective coating layer is substantially crack free upon exposure to said halogen-containing gas and/or plasma environment.
18. The method of claim 17, wherein the deposited coating layer has a compressive stress of less than 280 Mpa.
19. The method of claim 17, wherein the protective coating layer is deposited on said at least one surface via an ion plating method.
20. The method of claim 19, wherein the ion plating method includes Ar as a non-reactive gas, and wherein the Ar gas flow rate is maintained at an average of less than 10 sccm.
21. The method of claim 17, wherein the protective coating layer has an adhesion strength which exceeds the cohesive strength of the surface protected by said coating layer.
22. The method of claim 17, wherein said at least one surface of the article is first protected by depositing at least a layer of pyrolytic boron nitride, pyrolytic graphite and/or carbon doped boron nitride on said surface.
23. An article manufactured the method of claim 28.
24. The protective layer of claim 1, wherein the layer is a multilayer.
25. The protective layer of claim 1, wherein the protective coating layer has an adhesion strength which exceeds the cohesive strength of the surface protected by said coating layer.
US11/175,237 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof Abandoned US20060008676A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/175,237 US20060008676A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US58605904P 2004-07-07 2004-07-07
US11/175,237 US20060008676A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof

Publications (1)

Publication Number Publication Date
US20060008676A1 true US20060008676A1 (en) 2006-01-12

Family

ID=35542147

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/175,236 Abandoned US20060165994A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof
US11/175,237 Abandoned US20060008676A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof
US11/249,085 Expired - Fee Related US8247080B2 (en) 2004-07-07 2005-10-12 Coating structure and method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/175,236 Abandoned US20060165994A1 (en) 2004-07-07 2005-07-06 Protective coating on a substrate and method of making thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/249,085 Expired - Fee Related US8247080B2 (en) 2004-07-07 2005-10-12 Coating structure and method

Country Status (4)

Country Link
US (3) US20060165994A1 (en)
CN (2) CN101048531A (en)
DE (1) DE112005001601T5 (en)
WO (2) WO2006005067A2 (en)

Cited By (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080050522A1 (en) * 2006-08-23 2008-02-28 Atomic Energy Council-Institute Of Nuclear Energy Research Preparative method for protective layer of susceptor
US20130213434A1 (en) * 2011-07-25 2013-08-22 Guilei Wang Method for eliminating contact bridge in contact hole process
US8574728B2 (en) 2011-03-15 2013-11-05 Kennametal Inc. Aluminum oxynitride coated article and method of making the same
US9017809B2 (en) 2013-01-25 2015-04-28 Kennametal Inc. Coatings for cutting tools
US20150114930A1 (en) * 2013-10-31 2015-04-30 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9138864B2 (en) 2013-01-25 2015-09-22 Kennametal Inc. Green colored refractory coatings for cutting tools
US9427808B2 (en) 2013-08-30 2016-08-30 Kennametal Inc. Refractory coatings for cutting tools
JP2017034042A (en) * 2015-07-30 2017-02-09 モメンティブ・パフォーマンス・マテリアルズ・ジャパン合同会社 Wafer support device
US20170338082A1 (en) * 2011-08-10 2017-11-23 Entegris, Inc. AlON COATED SUBSTRATE WITH OPTIONAL YTTRIA OVERLAYER
US9845269B2 (en) * 2012-03-30 2017-12-19 National Institute Of Aerospace Associates Multi-functional BN—BN composite
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10435293B2 (en) 2009-10-13 2019-10-08 National Institute Of Aerospace Associates Methods of manufacturing energy conversion materials fabricated with boron nitride nanotubes (BNNTs) and BNNT polymer composites
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10607742B2 (en) 2011-05-09 2020-03-31 National Institute Of Aerospace Associates Radiation shielding materials containing hydrogen, boron and nitrogen
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
CN111033384A (en) * 2017-07-31 2020-04-17 卡尔蔡司Smt有限责任公司 Optical arrangement for EUV radiation with a shield against the effects of plasma etching
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN113481476A (en) * 2021-06-11 2021-10-08 武汉大学 High-temperature-resistant AlN/ZnO nano composite piezoelectric coating and preparation method thereof
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101048531A (en) * 2004-07-07 2007-10-03 通用电气公司 Protective coating on a substrate and method of making thereof
JP4548171B2 (en) * 2005-03-24 2010-09-22 ソニー株式会社 Piezoelectric resonance element and manufacturing method thereof
KR101329630B1 (en) 2006-04-13 2013-11-14 신에쓰 가가꾸 고교 가부시끼가이샤 Heating element
DE102006039451A1 (en) * 2006-08-24 2008-03-13 Oc Oerlikon Balzers Ag Color wheel
US7839587B2 (en) * 2006-08-24 2010-11-23 Oerlikon Trading Ag, Trubbach Color wheel
US20080141938A1 (en) * 2006-12-13 2008-06-19 General Electric Company Processing apparatus, coated article and method
CN101595245B (en) * 2006-12-28 2012-11-07 埃克阿泰克有限责任公司 Method and apparatus for stabilizing a coating
US20090115060A1 (en) * 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
US20100236607A1 (en) * 2008-06-12 2010-09-23 General Electric Company Monolithically integrated solar modules and methods of manufacture
US20090308454A1 (en) * 2008-06-12 2009-12-17 General Electric Company, A New York Corporation Insulating coating, methods of manufacture thereof and articles comprising the same
EP2298953B1 (en) * 2009-09-18 2014-03-05 Rohm and Haas Electronic Materials, L.L.C. Method of making durable articles
KR20110049218A (en) * 2009-11-04 2011-05-12 삼성전자주식회사 Solar cell and method of manufacturing the same
JP2013529390A (en) * 2010-05-28 2013-07-18 アクセリス テクノロジーズ, インコーポレイテッド Thermal expansion coefficient suitable for electrostatic chuck
TWI471430B (en) * 2010-08-25 2015-02-01 Hon Hai Prec Ind Co Ltd Anticorrosion surface treating for al alloy and articles treated by the same
JP2012087392A (en) * 2010-10-22 2012-05-10 Shin-Etsu Chemical Co Ltd Method for forming aluminum nitride film
US8568605B2 (en) * 2010-11-18 2013-10-29 California Institute Of Technology Forming nanometer-sized patterns by electron microscopy
TWI477636B (en) * 2010-12-30 2015-03-21 Hon Hai Prec Ind Co Ltd Anticorrosion surface treatment for al and al-alloy and articles treated by same
TWI426153B (en) * 2011-01-19 2014-02-11 Hon Hai Prec Ind Co Ltd Coated article and method for making the same
EP2738286B1 (en) * 2011-06-30 2015-10-28 Momentive Performance Materials Japan LLC Product having traceability displayed thereon and method for displaying traceability of product
JP5915026B2 (en) * 2011-08-26 2016-05-11 住友大阪セメント株式会社 Plate for temperature measurement and temperature measurement apparatus provided with the same
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20150072119A1 (en) * 2012-04-12 2015-03-12 The Regents Of The University Of Colorado, A Body Corporate Multi-layer structure including an interlayer to reduce stress in the structure and method of forming same
JP6076838B2 (en) * 2013-05-31 2017-02-08 住友重機械イオンテクノロジー株式会社 Insulation structure and insulation method
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9804058B2 (en) 2014-02-27 2017-10-31 Pratt & Whitney Canada Corp. Method of facilitating visual detection of a crack in a component of a gas turbine engine
US9804309B1 (en) * 2014-04-22 2017-10-31 Kla-Tencor Corporation Reducing extrinsic stress in thin film optical mirrors and filters for deep ultraviolet
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
CN104177128B (en) * 2014-08-08 2016-12-07 苏州宏久航空防热材料科技有限公司 A kind of composite ceramics graphite electrode
CN107001820A (en) * 2014-10-21 2017-08-01 奥雷尔科技有限公司 For the composition for the metal film that patterning is formed in substrate
EP3271494A1 (en) 2015-03-18 2018-01-24 Entegris, Inc. Articles coated with fluoro-annealed films
JP6455480B2 (en) * 2016-04-25 2019-01-23 トヨタ自動車株式会社 Film forming apparatus and film forming method
JP6322669B2 (en) * 2016-06-23 2018-05-09 株式会社アルバック Stress adjustment method
US10529584B2 (en) * 2017-05-15 2020-01-07 Tokyo Electron Limited In-situ selective deposition and etching for advanced patterning applications
CN107105605B (en) * 2017-05-26 2019-08-06 爱克奇换热技术(太仓)有限公司 A kind of radiating water cooling board and preparation method thereof
KR102016615B1 (en) * 2017-09-14 2019-08-30 (주)코미코 Member Having Exellent Resistance Against Plasmacorrosion for Plasma Etching device and Method for Producing the Same
CN108760254B (en) * 2017-10-25 2020-05-12 上海四旻机电科技有限公司 Change-over switch testing arrangement
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US11157717B2 (en) * 2018-07-10 2021-10-26 Next Biometrics Group Asa Thermally conductive and protective coating for electronic device
CN108863443B (en) * 2018-07-10 2021-05-14 山东国晶新材料有限公司 Preparation method of planar composite heater
CN109370541B (en) * 2018-09-29 2021-06-01 江苏墨泰新材料有限公司 Graphite-ceramic composite heat-conducting film and preparation method and application thereof
CN112805805A (en) * 2018-10-05 2021-05-14 朗姆研究公司 Plasma processing chamber
US11591689B2 (en) * 2019-02-25 2023-02-28 Applied Materials, Inc. Method for fabricating chamber parts
WO2021237071A1 (en) * 2020-05-22 2021-11-25 Momentive Performance Quartz, Inc. Coating for heaters and crucibles
WO2024064494A1 (en) * 2022-09-19 2024-03-28 Lam Research Corporation Plasma-exposed parts comprising an etch-resistant material
CN115558892A (en) * 2022-10-14 2023-01-03 上海奥莱雅康医疗科技有限公司 Coating containing platinum

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264803A (en) * 1978-01-10 1981-04-28 Union Carbide Corporation Resistance-heated pyrolytic boron nitride coated graphite boat for metal vaporization
US4619865A (en) * 1984-07-02 1986-10-28 Energy Conversion Devices, Inc. Multilayer coating and method
US5146481A (en) * 1991-06-25 1992-09-08 Diwakar Garg Diamond membranes for X-ray lithography
US5332601A (en) * 1992-12-10 1994-07-26 The United States As Represented By The United States Department Of Energy Method of fabricating silicon carbide coatings on graphite surfaces
US6436509B1 (en) * 1994-06-30 2002-08-20 Applied Materials, Inc. Electrically insulating sealing structure and its method of use in a semiconductor manufacturing apparatus
US20060165994A1 (en) * 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3278319A (en) * 1962-08-06 1966-10-11 Pittsburgh Plate Glass Co Phototropic glass and method
SU424449A1 (en) * 1971-01-05 1974-05-15 CATHODE FOR REACTIVE SILICON SPRAYING
US4374903A (en) * 1980-06-09 1983-02-22 Advanced Technology, Inc. Metal coatings or metal sandwiches with boron nitride or titanium diboride substrates
JPS5858273A (en) * 1981-10-01 1983-04-06 Sumitomo Electric Ind Ltd Coated sintered hard alloy
JPS62123094A (en) 1985-11-22 1987-06-04 Denki Kagaku Kogyo Kk Susceptor for vapor growth of semiconductor
US4788167A (en) * 1986-11-20 1988-11-29 Minnesota Mining And Manufacturing Company Aluminum nitride/aluminum oxynitride/group IVB metal nitride abrasive particles derived from a sol-gel process
US4980730A (en) * 1987-05-01 1990-12-25 National Institute For Research In Organic Materials Light emitting element of cubic boron nitride
US5527596A (en) * 1990-09-27 1996-06-18 Diamonex, Incorporated Abrasion wear resistant coated substrate product
US5350720A (en) * 1991-03-18 1994-09-27 Shin-Etsu Chemical Co., Ltd. Triple-layered ceramic heater
JPH05238855A (en) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The Production of ceramic coating member
JPH05238859A (en) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The Coated member of ceramic
JPH0661335A (en) 1992-08-04 1994-03-04 Ulvac Japan Ltd Wafer holding plate for semiconductor manufacturing device
KR0142150B1 (en) * 1993-04-09 1998-07-15 윌리엄 티. 엘리스 Method for etching boron nitride
EP0704880A3 (en) * 1994-09-28 1998-09-30 Matsushita Electric Industrial Co., Ltd. High-pressure discharge lamp, method for manufacturing a discharge tube body for high-pressure discharge lamps and method for manufacturing a hollow tube body
US5691260A (en) * 1994-12-30 1997-11-25 Denki Kagaku Kogyo Kabushiki Kaisha Cubic system boron nitride sintered body for a cutting tool
CH690582A5 (en) 1995-06-26 2000-10-31 Gen Electric Composite material protected by multiple trains Over thermal barrier coating.
JPH1067584A (en) * 1996-08-23 1998-03-10 Shin Etsu Chem Co Ltd Reaction vessel
US6132843A (en) * 1996-11-14 2000-10-17 Nippon Sheet Glass Do., Ltd. Glass substrate for magnetic disks
JP3362113B2 (en) * 1997-07-15 2003-01-07 日本碍子株式会社 Corrosion-resistant member, wafer mounting member, and method of manufacturing corrosion-resistant member
SE520802C2 (en) * 1997-11-06 2003-08-26 Sandvik Ab Cutting tool coated with alumina and process for its manufacture
JP3949268B2 (en) * 1998-04-20 2007-07-25 日本碍子株式会社 Corrosion resistant ceramic material
JPH11354260A (en) 1998-06-11 1999-12-24 Shin Etsu Chem Co Ltd Multiple-layered ceramic heater
US6187453B1 (en) 1998-07-17 2001-02-13 United Technologies Corporation Article having a durable ceramic coating
JP2000201050A (en) * 1998-11-02 2000-07-18 Ngk Insulators Ltd Substrate for surface acoustic wave device and manufacture of the same
JP2000345319A (en) * 1999-05-31 2000-12-12 Tokyo Electron Ltd Manufacture of transmission window, transmission window, and processing device using the same
US6410172B1 (en) * 1999-11-23 2002-06-25 Advanced Ceramics Corporation Articles coated with aluminum nitride by chemical vapor deposition
JP2002038252A (en) * 2000-07-27 2002-02-06 Ngk Insulators Ltd Structure resistant to heat, and material and structure resistant to corrosive halogen-based gas
JP4277973B2 (en) * 2001-07-19 2009-06-10 日本碍子株式会社 Yttria-alumina composite oxide film production method, yttria-alumina composite oxide film, and corrosion-resistant member
US6627323B2 (en) 2002-02-19 2003-09-30 General Electric Company Thermal barrier coating resistant to deposits and coating method therefor
JP2003277051A (en) * 2002-03-22 2003-10-02 Ngk Insulators Ltd Multilayer body having yttria - alumina compound oxide film, yttria - alumina compound oxide film, corrosion- resistant member, corrosion-resistant film and method for manufacturing yttria - alumina compound oxide film
JP2004002101A (en) * 2002-05-31 2004-01-08 Toshiba Ceramics Co Ltd Plasma resistant member and its manufacturing process
US7535100B2 (en) * 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
JP4136648B2 (en) * 2002-12-26 2008-08-20 日本碍子株式会社 Dissimilar material joined body and manufacturing method thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264803A (en) * 1978-01-10 1981-04-28 Union Carbide Corporation Resistance-heated pyrolytic boron nitride coated graphite boat for metal vaporization
US4619865A (en) * 1984-07-02 1986-10-28 Energy Conversion Devices, Inc. Multilayer coating and method
US5146481A (en) * 1991-06-25 1992-09-08 Diwakar Garg Diamond membranes for X-ray lithography
US5332601A (en) * 1992-12-10 1994-07-26 The United States As Represented By The United States Department Of Energy Method of fabricating silicon carbide coatings on graphite surfaces
US6436509B1 (en) * 1994-06-30 2002-08-20 Applied Materials, Inc. Electrically insulating sealing structure and its method of use in a semiconductor manufacturing apparatus
US20060165994A1 (en) * 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof

Cited By (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080050522A1 (en) * 2006-08-23 2008-02-28 Atomic Energy Council-Institute Of Nuclear Energy Research Preparative method for protective layer of susceptor
US10435293B2 (en) 2009-10-13 2019-10-08 National Institute Of Aerospace Associates Methods of manufacturing energy conversion materials fabricated with boron nitride nanotubes (BNNTs) and BNNT polymer composites
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8574728B2 (en) 2011-03-15 2013-11-05 Kennametal Inc. Aluminum oxynitride coated article and method of making the same
US8828492B2 (en) 2011-03-15 2014-09-09 Kennametal Inc. Method of making aluminum oxynitride coated article
US10607742B2 (en) 2011-05-09 2020-03-31 National Institute Of Aerospace Associates Radiation shielding materials containing hydrogen, boron and nitrogen
US20130213434A1 (en) * 2011-07-25 2013-08-22 Guilei Wang Method for eliminating contact bridge in contact hole process
US9224589B2 (en) * 2011-07-25 2015-12-29 The Institute of Microelectronics Chinese Academy of Science Method for eliminating contact bridge in contact hole process
US10840067B2 (en) * 2011-08-10 2020-11-17 Entegris, Inc. AlON coated substrate with optional yttria overlayer
US20170338082A1 (en) * 2011-08-10 2017-11-23 Entegris, Inc. AlON COATED SUBSTRATE WITH OPTIONAL YTTRIA OVERLAYER
US9845269B2 (en) * 2012-03-30 2017-12-19 National Institute Of Aerospace Associates Multi-functional BN—BN composite
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9017809B2 (en) 2013-01-25 2015-04-28 Kennametal Inc. Coatings for cutting tools
US9138864B2 (en) 2013-01-25 2015-09-22 Kennametal Inc. Green colored refractory coatings for cutting tools
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9427808B2 (en) 2013-08-30 2016-08-30 Kennametal Inc. Refractory coatings for cutting tools
US20150114930A1 (en) * 2013-10-31 2015-04-30 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9330891B2 (en) * 2013-10-31 2016-05-03 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP2017034042A (en) * 2015-07-30 2017-02-09 モメンティブ・パフォーマンス・マテリアルズ・ジャパン合同会社 Wafer support device
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN111033384A (en) * 2017-07-31 2020-04-17 卡尔蔡司Smt有限责任公司 Optical arrangement for EUV radiation with a shield against the effects of plasma etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113481476A (en) * 2021-06-11 2021-10-08 武汉大学 High-temperature-resistant AlN/ZnO nano composite piezoelectric coating and preparation method thereof

Also Published As

Publication number Publication date
WO2006017070A2 (en) 2006-02-16
US20060051602A1 (en) 2006-03-09
CN101076614A (en) 2007-11-21
WO2006005067A2 (en) 2006-01-12
US20060165994A1 (en) 2006-07-27
CN101048531A (en) 2007-10-03
US8247080B2 (en) 2012-08-21
WO2006005067A3 (en) 2006-04-06
WO2006017070A3 (en) 2006-06-15
DE112005001601T5 (en) 2007-05-16

Similar Documents

Publication Publication Date Title
US20060008676A1 (en) Protective coating on a substrate and method of making thereof
US10563297B2 (en) Ion assisted deposition top coat of rare-earth oxide
US10501843B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
KR100830068B1 (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
KR100853972B1 (en) Diamond coatings on reactor wall and method of manufacturing thereof
KR101076244B1 (en) Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US10612121B2 (en) Plasma resistant coating with tailorable coefficient of thermal expansion
JP2007016272A (en) Protective film covered on substrate, and its manufacturing method
TW202202469A (en) Yttrium oxide based coating and bulk compositions
KR20070032050A (en) Protective coating on a substrate and method of making thereof
JP3784180B2 (en) Corrosion resistant material
TWI814429B (en) wafer support
TW201334035A (en) Plasma etch resistant films, articles bearing plasma etch resistant films and related methods
JP2007019190A (en) Supporting device and method of manufacturing same
KR20070032049A (en) Protective coating on a substrate and method of making thereof
TW202346241A (en) Wafer support
JPH09328382A (en) Aluminum nitride base material for semiconductor production unit and its production
JP2012229149A (en) Member coated with aluminum nitride film
JP2008179858A (en) Jig superior in durability for semiconductor-manufacturing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: GENERAL ELECTRIC COMPANY, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:EBATA, TOSHIKI;MORIKAWA, YUJI;REEL/FRAME:016771/0211

Effective date: 20050704

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A. AS ADMINISTRATIVE AGENT,

Free format text: SECURITY AGREEMENT;ASSIGNORS:MOMENTIVE PERFORMANCE MATERIALS HOLDINGS INC.;MOMENTIVE PERFORMANCE MATERIALS GMBH & CO. KG;MOMENTIVE PERFORMANCE MATERIALS JAPAN HOLDINGS GK;REEL/FRAME:019511/0166

Effective date: 20070228

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: MOMENTIVE PERFORMANCE MATERIALS INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:054387/0001

Effective date: 20201102

Owner name: MOMENTIVE PERFORMANCE MATERIALS GMBH & CO KG, GERMANY

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:054387/0001

Effective date: 20201102

Owner name: MOMENTIVE PERFORMANCE MATERIALS JAPAN HOLDINGS GK, JAPAN

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:054387/0001

Effective date: 20201102