US20060005856A1 - Reduction of reactive gas attack on substrate heater - Google Patents

Reduction of reactive gas attack on substrate heater Download PDF

Info

Publication number
US20060005856A1
US20060005856A1 US10/882,129 US88212904A US2006005856A1 US 20060005856 A1 US20060005856 A1 US 20060005856A1 US 88212904 A US88212904 A US 88212904A US 2006005856 A1 US2006005856 A1 US 2006005856A1
Authority
US
United States
Prior art keywords
substrate support
substrate
chamber
spacing
clean
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/882,129
Inventor
David Sun
Keith Harvey
Nitin Ingle
Karthik Janakiraman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/882,129 priority Critical patent/US20060005856A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HARVEY, KEITH, INGLE, NITIN, JANAKIRAMAN, KARTHIK, SUN, DAVID
Publication of US20060005856A1 publication Critical patent/US20060005856A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Definitions

  • the present invention relates generally to semiconductor processing and, more particularly, to an improved process for cleaning a semiconductor processing chamber by minimizing negative effects of the cleaning procedure.
  • CVD chemical vapor deposition
  • Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions can take place to produce the desired film.
  • Plasma enhanced CVD (PECVD) processes promote excitation and/or dissociation of reactant gases by application of radio frequency (RF) energy to the reaction zone proximate to the substrate surface thereby creating a plasma of highly reactive species.
  • RF radio frequency
  • the substrate rests on a substrate support during processing in the chamber such as the formation of a layer on the substrate.
  • the substrate support typically is a substrate heater which supports and heats the substrate during substrate processing.
  • the substrate rests above the heater surface of the heater and heat is supplied to the bottom of the substrate.
  • Some substrate heaters are resistively heated, for example, by electrical heating elements such as resistive coils disposed below the heater surface or embedded in a plate having the heater surface.
  • the heat from the substrate heater is the primary source of energy in thermally driven processes such as thermal CVD for depositing layers including undoped silicate glass (USG), doped silicate glass (e.g., borophosphosilicate glass (BPSG)), and the like.
  • USG undoped silicate glass
  • BPSG borophosphosilicate glass
  • the heater temperature can also be quite high (e.g., above 500° C.).
  • the substrate support typically supports the substrate opposite a gas distribution faceplate through which a reactant gas is supplied to the chamber.
  • the faceplate is part of the gas distribution member for supplying one or more gases to the chamber.
  • the chamber is cleaned periodically by flowing a clean gas flow through the chamber.
  • the clean gas typically includes reactive radicals such as fluorine.
  • AlF x aluminum fluoride
  • AlN x aluminum fluoride
  • the lift pin holes are attacked and as AlF x is formed, the lift pin holes become enlarged.
  • the substrate support surface also becomes damaged as the Al in the AlN is used to form AlF x .
  • the resulting AlF x is known to sublimate to colder and close surfaces on other parts of the chamber including the ceramic liners and the faceplate.
  • Embodiments of the present invention provide a method of reducing damage to the substrate support by the cleaning gas during a cleaning process of the semiconductor processing chamber, such as by reducing aluminum fluoride formation on the substrate support. This is done without a hardware change or process temperature change. Instead, by increasing the spacing between the faceplate and the substrate support surface during the cleaning process, the damage such as AlF x formation can be reduced. This invention also reduces the amount of AlF x deposited on ceramic liners and faceplate, and prevents premature chamber failure.
  • One aspect of the present invention is directed to a method of cleaning a semiconductor process chamber which is used for processing a substrate disposed on a surface of a substrate support.
  • the method comprises introducing a cleaning gas into a process chamber through an inlet facing a surface of a substrate support.
  • the inlet is spaced from the surface of the substrate support by a clean spacing.
  • Reactive species are provided from the cleaning gas to clean the process chamber.
  • the clean spacing is substantially greater than a process spacing between the inlet and the surface of the substrate support during processing of a substrate on the substrate support in the process chamber.
  • the clean spacing is at least about 4 times the process spacing, more desirably at least about 7 times the process spacing.
  • the reactive species comprise fluorine radicals.
  • the reactive species may be generated by remote plasma from the cleaning gas and introduced into the process chamber through the inlet.
  • the temperature of the substrate support during cleaning is substantially identical to the temperature of the substrate support during processing of the substrate on the substrate support.
  • the temperature of the substrate support during cleaning may be higher than about 500° C.
  • the clean spacing is at least about 1.3 inches, and may be about 2.1 inches.
  • the process chamber has a pressure of about 1.5-6 torr during cleaning of the process chamber.
  • a method of cleaning a semiconductor process chamber which is used for processing a substrate disposed on a surface of a substrate support comprises introducing a cleaning gas into a process chamber through an inlet facing a surface of a substrate support.
  • the inlet is spaced from the surface of the substrate support by a clean spacing.
  • Reactive species are produced from the cleaning gas to clean the process chamber.
  • the clean spacing is at least about 1.3 inches.
  • a method of processing a substrate on a substrate support disposed in a process chamber comprises processing a substrate on a surface of a substrate support disposed in a process chamber by introducing a process gas into the process chamber through an inlet facing the surface of a substrate support.
  • the inlet is spaced from the surface of the substrate support by a process spacing.
  • the method further comprises removing the substrate from the process chamber; and introducing a cleaning gas into the process chamber through the inlet facing the surface of a substrate support.
  • the inlet is spaced from the surface of the substrate support by a clean spacing. Reactive species are provided from the cleaning gas to clean the process chamber.
  • the clean spacing is substantially greater than the process spacing.
  • a substrate processing system for processing a substrate comprises a housing forming a chamber, the chamber including a substrate support having a surface for supporting a substrate to be processed in the chamber; a gas distribution system configured to introduce one or more gases into the chamber via an inlet; an adjustment mechanism coupled to the substrate support to adjust a position of the substrate support with respect to the inlet and change a spacing between the inlet and the surface of the substrate support; a controller, including a computer, configured to control the substrate processing system; and a memory coupled to the controller and including a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing system.
  • the computer-readable program code includes a first set of computer instructions for controlling the adjustment mechanism to provide a process spacing between the inlet and the surface of the substrate support; a second set of computer instructions for controlling the gas distribution system to introduce a process gas into the process chamber through the inlet to process a substrate disposed on the surface of a substrate support; a third set of computer instructions for controlling the substrate processing system to remove the substrate from the process chamber; a fourth set of computer instructions for controlling the adjustment mechanism to provide a clean spacing between the inlet and the surface of the substrate support, the clean spacing being substantially greater than the process spacing; a fifth set of computer instructions for controlling the gas distribution system to introduce a cleaning gas into the process chamber through the inlet facing the surface of the substrate support; and a sixth set of computer instructions for controlling the substrate processing system to provide reactive species from the cleaning gas to clean the process chamber.
  • FIG. 1 is a perspective view of one embodiment of a processing chamber of the present invention
  • FIG. 2 is a cross sectional view of one embodiment of a processing chamber of the present invention.
  • FIG. 3 is an exploded view of the gas distribution assembly
  • FIG. 4 is a top view of the processing chamber of FIG. 1 with the lid removed;
  • FIG. 5 is a perspective view of a remote plasma chamber mounted on a processing chamber
  • FIG. 6 is a cross sectional view of a remote plasma chamber mounted on a processing chamber
  • FIG. 7 is an illustrative block diagram of the hierarchical control structure of a computer program for process control.
  • FIG. 8 is a close-up cross-sectional view of the processing chamber illustrating the gas flow between the faceplate and the surface of the heater pedestal.
  • FIG. 1 shows a perspective view of one embodiment of a tandem processing chamber 106 of the present invention.
  • Chamber body 602 is mounted or otherwise connected to a transfer chamber and includes two processing regions in which individual wafers are concurrently processed.
  • the chamber body 602 supports a lid 604 which is hingedly attached to the chamber body 602 and includes one or more gas distribution systems 608 disposed therethrough for delivering reactant and cleaning gases into multiple processing regions.
  • FIG. 2 shows a schematic cross-sectional view of the chamber 106 defining two processing regions 618 , 620 .
  • Chamber body 602 includes sidewall 612 , interior wall 614 and bottom wall 616 which define the two processing regions 618 , 620 .
  • the bottom wall 616 in each processing region 618 , 620 defines at least two passages 622 , 624 through which a stem 626 of a pedestal heater 628 and a rod 630 of a wafer lift pin assembly are disposed, respectively.
  • a pedestal lift assembly and the wafer lift will be described in detail below.
  • the sidewall 612 and the interior wall 614 define two cylindrical annular processing regions 618 , 620 .
  • a circumferential pumping channel 625 is formed in the chamber walls defining the cylindrical processing regions 618 , 620 for exhausting gases from the processing regions 618 , 620 and controlling the pressure within each region 618 , 620 .
  • a chamber liner or insert 627 preferably made of ceramic or the like, is disposed in each processing region 618 , 620 to define the lateral boundary of each processing region and to protect the chamber walls 612 , 614 from the corrosive processing environment and to maintain an electrically isolated plasma environment between the electrodes.
  • the liner 627 is supported in the chamber on a ledge 629 formed in the walls 612 , 614 of each processing region 618 , 620 .
  • the liner includes a plurality of exhaust ports 631 , or circumferential slots, disposed therethrough and in communication with the pumping channel 625 formed in the chamber walls.
  • the height of the ports relative to the face plate of the gas distribution system is controlled to provide an optimal gas flow pattern over the wafer during processing.
  • FIG. 4 shows a cross sectional view of the chamber illustrating the exhaust system of the present embodiment.
  • the pumping channels 625 of each processing region 618 , 620 are desirably connected to a common exhaust pump via a common exhaust channel 619 .
  • the exhaust channel 619 is connected to the pumping channel 625 of each region 618 , 620 by exhaust conduits 621 .
  • the exhaust channel 619 is connected to an exhaust pump via an exhaust line (not shown).
  • Each region is desirably pumped down to a selected pressure by the pump and the connected exhaust system allows equalization of the pressure within each region.
  • each of the processing regions 618 , 620 also desirably include a gas distribution assembly 608 disposed through the chamber lid 604 to deliver gases into the processing regions 618 , 620 , typically from the same gas source.
  • the gas distribution system 608 of each processing region includes a gas inlet passage 640 which delivers gas into a shower head assembly 642 .
  • the shower head assembly 642 is comprised of an annular base plate 648 having a blocker plate 644 disposed intermediate a face plate 646 .
  • An RF feedthrough provides a bias potential to the showerhead assembly 642 to facilitate generation of a local plasma between the face plate 646 of the showerhead assembly 642 (upper electrode) and the heater pedestal 628 (lower electrode).
  • a cooling channel 652 is formed in a base plate 648 of each gas distribution system 608 to cool the plate during operation.
  • An inlet 655 delivers a coolant fluid, such as water or the like, into the channels 652 which are connected to each other by coolant line 657 .
  • the cooling fluid exits the channel through a coolant outlet 659 .
  • the cooling fluid is circulated through the manifold.
  • the chamber body 602 defines a plurality of vertical gas passages for each reactant gas and cleaning gas suitable for the selected process to be delivered in the chamber through the gas distribution system.
  • Gas inlet connections 641 are disposed at the bottom of the chamber 106 to connect the gas passages formed in the chamber wall to the gas inlet lines 639 .
  • An o-ring is provided around each gas passage formed through the chamber wall on the upper surface of the chamber wall to provide sealing connection with the lid as shown in FIG. 4 .
  • the lid includes matching passages to deliver the gas from the lower portion of the chamber wall into a gas inlet manifold 670 positioned on top of the chamber lid as shown in FIG. 3 .
  • the reactant gases are delivered through a voltage gradient feed-through 672 and into a gas outlet manifold 674 which is connected to a gas distribution assembly.
  • the gas input manifold 670 channels process gases from the chamber gas feedthroughs into the constant voltage gradient gas feedthroughs, which are grounded.
  • Gas feed tubes (not shown) deliver or route the process gases through the voltage gradient gas feedthroughs 672 and into the outlet manifold 674 .
  • Resistive sleeves surround the gas feed tubes to cause a linear voltage drop across the feedthrough preventing a plasma in the chamber from moving up the gas feed tubes.
  • the gas feed tubes may be made of quartz and the sleeves may be made of a composite ceramic.
  • the gas feed tubes are disposed within an isolating block which contains coolant channels to control temperature and prevent heat radiation and also to prevent liquefaction of process gases.
  • the insulating block is typically made of DelrinTM.
  • the quartz feed tubes deliver gas into a gas output manifold 674 which channels the process gases to the blocker plate 644 and into the gas distribution plate 646 .
  • the gas input manifold 670 (see FIG. 3 ) also defines a passage which delivers cleaning gases from a chamber gas feedthrough into the remote plasma source. These gases bypass the voltage gradient feedthroughs and are fed into a remote plasma source where the gases are activated into various excited species. The excited species are then delivered to the gas distribution plate at a point just below the blocker plate through a conduit disposed in gas inlet passage 640 .
  • the remote plasma source and delivery of reactant cleaning gases will be described in detail below.
  • FIG. 2 shows a heater pedestal 628 which is movably disposed in each processing region 618 , 620 by a stem 626 which is connected to the underside of a support plate and extends through the bottom of the chamber body 602 where it is connected to a drive system 603 .
  • the stem 626 is typically a circular, tubular, aluminum member, having an upper end disposed in supporting contact with the underside of the heater pedestal 628 and a lower end closed off with a cover plate. The lower end of the stem is received in a cup shaped sleeve, which forms the connection of the stem to the drive system.
  • the stem 626 mechanically positions the heater pedestal 628 within the processing region and also forms an ambient passageway through which a plurality of heater plate connections can extend.
  • Each heater pedestal 628 may include heating elements to heat a wafer positioned thereon to a desired process temperature.
  • the heating elements may include for example a resistive heating element.
  • the heater pedestal may be heated by an outside heating element such as a lamp.
  • a pedestal used to advantage in the present embodiment is available from Applied Materials, Inc., of Santa Clara, Calif.
  • the pedestal may also support an electrostatic chuck, a vacuum chuck or other chucking device to secure a wafer thereon during processing.
  • a wafer positioning assembly includes a plurality of support pins 651 which move vertically with respect to the heater pedestal 628 and are received in bores 653 disposed vertically through the pedestal.
  • Each pin 651 includes a cylindrical shaft 659 terminating in a lower spherical portion 661 and an upper truncated conical head 663 formed as an outward extension of the shaft.
  • the bores 653 in the heater pedestal 628 include an upper, countersunk portion sized to receive the conical head 663 therein such that when the pin 651 is fully received into the heater pedestal 628 , the head does not extend above the surface of the heater pedestal.
  • the lift pins 651 move partially in conjunction with, and partially independent of, the heater pedestal 628 as the pedestal moves within the processing region.
  • the lift pins can extend above the pedestal 628 to allow the robot blade to remove the wafer from the processing region, but must also sink into the pedestal to locate the wafer on the upper surface of the pedestal for processing.
  • the wafer positioning assembly includes an annular pin support 655 which is configured to engage lower spherical portions 661 of the lift pins 651 and a drive member which positions the pin support 655 to selectively engage the lift pins 651 depending on the position of the heater pedestal 628 within the processing region.
  • the pin support 655 typically made from ceramic, extends around the stem 626 below the heater pedestal 628 to selectively engage the lower spherical portions of the support pins.
  • a drive assembly lifts and lowers the shaft 630 and connected pin support 655 to move the pins 651 upwardly and downwardly in each processing region 618 , 620 .
  • the pin drive member is desirably located on the bottom of the chamber 106 to control the movement of the pin support platform 655 with respect to the pedestal heater 628 .
  • the vacuum control system for the processing system 100 of the present embodiment may include a plurality of vacuum pumps in communication with various regions of the system, with each region having its own setpoint pressure. However, the transfer of wafers from one chamber or region to another chamber or region is performed by opening slit valves which allow the environments of the communicating regions to mix somewhat and the pressures to equilibrate.
  • FIGS. 5 and 6 show a perspective and cross sectional view of a remote clean module 800 of the present embodiment.
  • the remote clean module 800 is connected to the processing regions 618 , 620 of chamber 106 ( FIG. 2 ) through the inlet port 820 .
  • the remote clean module 800 supplies gas that is used to remove deposited material from the interior surfaces of the chamber after a sequence of process runs.
  • the remote clean module 800 includes a source of a precursor gas 804 , a remote activation chamber 806 which is located outside of the processing chamber 106 , a power source 808 for activating the precursor gas within the remote activation chamber, an electronically operated valve and flow control mechanism 810 , and a conduit or pipe 812 connecting the remote chamber to the processing chamber via a conduit 811 .
  • the valve and flow control mechanism 810 delivers gas from the source of precursor gas 804 into the remote activation chamber 806 at a user-selected flow rate.
  • the activation chamber 806 includes an aluminum enclosure 803 having a gas feed tube 813 disposed therethrough.
  • the power source 808 generates microwaves which are guided by a wave guide 805 into the enclosure 803 .
  • the tube 813 is transparent to microwaves so that the microwaves penetrate the tube and activate the precursor gas to form a reactive species which is then flowed through the conduit 812 into the gas distribution assembly and then into a processing chamber.
  • the upper electrode or shower head 642 is used to deliver the reactive gas into the processing regions of the chamber ( FIG. 2 ).
  • the remote chamber is a ceramic tube and the power source is a 2.54 GHz microwave generator with its output aimed at the ceramic tube.
  • a remote plasma source may be used which does not employ microwave to generate reactive species.
  • An example is a top-mounted ASTRONTM generator available from Applied Science and Technology, Inc. of Woburn, Mass., which utilizes a low-field toroidal (LFTTM) plasma to dissociate a process gas.
  • LFTTM low-field toroidal
  • a source of a minor carrier gas 814 that is connected to the remote activation chamber through another valve and flow control mechanism 816 .
  • the minor carrier gas aids in the transport of the activated species to the deposition chamber.
  • the gas can be any appropriate nonreactive gas that is compatible with the particular cleaning process with which it is being used.
  • the minor carrier gas may be argon, nitrogen, helium, hydrogen, or oxygen, etc.
  • the carrier gas may also assist in the cleaning process or help initiate and/or stabilize the plasma in the deposition chamber.
  • the filter 818 there is a filter 818 in the conduit or pipe through which the activated species passes before entering the deposition chamber.
  • the filter removes particulate matter that might have been formed during the activation of the reactive species.
  • the filter is made of ceramic material having a pore size of about 0.01 to about 0.03 microns. Of course, other materials can also be used such as, for example, Teflon.
  • the precursor is NF 3 .
  • NF 3 silicon
  • Si silicon
  • doped silicon silicon nitride
  • silicon oxide SiO 2
  • the cleaning rate for deposited film is about 2 microns/minute for silicon nitride and about 1 micron/minute for silicon, doped silicon, and silicon oxide. These cleaning rates are two to four times faster than the conventional cleaning process which employs only a local plasma with a power level of about 1 to about 2 kilowatts at 13.56 MHz RF.
  • any power source that is capable of activating the precursor gas can be used.
  • both the remote and local plasmas can employ DC, radio frequency (RF), and microwave (MW) based discharge techniques.
  • RF radio frequency
  • MW microwave
  • an RF power source it can be either capacitively or inductively coupled to the inside of the chamber.
  • the activation can also be performed by a thermally based, gas break-down technique, a high intensity light source, or an x-ray source, to name just a few.
  • the reactive gases may be selected from a wide range of options, including the commonly used halogens and halogen compounds.
  • the reactive gas may be chlorine, fluorine or compounds thereof, e.g., NF 3 , CF 4 , SF 6 , C 2 F 6 , CCl 4 , C 2 Cl 6 , C 3 F 8 , and C 4 F 10 .
  • the particular gas that is used depends on the deposited material which is being removed, as well as other performance and cost factors.
  • a fluorine compound gas is typically used to etch and/or remove the deposited tungsten.
  • the system controller operates under the control of a computer program stored on the hard disk drive of a computer.
  • the computer program dictates the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer heating and other parameters of a particular process.
  • the interface between a user and the system controller may be via a CRT monitor and lightpen.
  • two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians. Both monitors simultaneously display the same information but only one lightpen is enabled.
  • the lightpen detects light emitted by the CRT display with a light sensor in the tip of the pen.
  • the operator touches a designated area of the display screen and pushes the button on the pen.
  • the display screen generally confirms communication between the lightpen and the touched area by changing its appearance, i.e., highlight or color, or displaying a new menu or screen.
  • a variety of processes can be implemented using a computer program product that runs on, for example, the system controller.
  • the computer program code can be written in any known computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a known text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
  • FIG. 7 shows an illustrative block diagram of a hierarchical control structure of the computer program 1410 according to one embodiment.
  • a user enters a process set number and process chamber number into a process selector subroutine 1420 in response to menus or screens displayed on the CRT monitor by using the lightpen interface.
  • the process sets provide predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers.
  • the process selector subroutine 1420 identifies (i) the desired process chamber, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process.
  • the process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature and are provided to the user in the form of a recipe.
  • process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature and are provided to the user in the form of a recipe.
  • the parameters specified by the recipe are entered in any suitable manner, such as by utilizing the lightpen/CRT monitor interface.
  • Electronic signals provided by various instruments and devices for monitoring the process are provided to the computer through the analog input and digital input boards of the system controller. Any known method of monitoring the process chambers can be used, such as polling. Furthermore, electronic signals for operating various process controllers or devices are output through the analog output and digital output boards of the system controller. The quantity, type and installation of these monitoring and controlling devices may vary from one system to the next according to the particular end use of the system and the degree of process control desired. The specification or selection of particular devices, such as the optimal type of thermocouple for a particular application, is known by persons with skill in the art.
  • a process sequencer subroutine 1430 comprises program code for accepting the identified process chamber number and set of process parameters from the process selector subroutine 1420 , and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process chamber numbers, so the sequencer subroutine 1430 operates to schedule the selected processes in the desired sequence.
  • the process sequencer subroutine 1430 includes program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out.
  • sequencer subroutine 1430 can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining the scheduling priorities.
  • the sequencer subroutine 1430 determines which process chamber and process set combination is going to be executed next, the sequencer subroutine 1430 causes execution of the process set by passing the particular process set parameters to a chamber manager subroutine 1440 a - c which controls multiple processing tasks in a process chamber 106 according to the process set determined by the sequencer subroutine 1430 .
  • the chamber manager subroutine 1440 a comprises program code for controlling sputtering and CVD process operations in the process chamber 106 .
  • the chamber manager subroutine 1440 also controls execution of various chamber component subroutines which control operation of the chamber component necessary to carry out the selected process set.
  • chamber component subroutines are wafer positioning subroutine 1450 , process gas control subroutine 1460 , pressure control subroutine 1470 , heater control subroutine 1480 , and plasma control subroutine 1490 .
  • the chamber manager subroutine 1440 a selectively schedules or calls the process component subroutines in accordance with the particular process set being executed.
  • the chamber manager subroutine 1440 a schedules the process component subroutines similarly to how the sequencer subroutine 1430 schedules which process chamber 106 and process set is to be executed next.
  • the chamber manager subroutine 1440 a includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • the wafer positioning subroutine 1450 comprises program code for controlling chamber components that are used to load the wafer onto the pedestal 628 , and optionally to lift the wafer to a desired height in the chamber 106 to control the spacing between the wafer and the showerhead 642 .
  • the pedestal 628 is lowered and the lift pin assembly is raised to receive the wafer and, thereafter, the pedestal 628 is raised to the desired height in the chamber, for example to maintain the wafer at a first distance or spacing from the gas distribution manifold during the CVD process.
  • the wafer positioning subroutine 1450 controls movement of the lift assembly and pedestal 628 in response to process set parameters related to the support height that are transferred from the chamber manager subroutine 1440 a.
  • the process gas control subroutine 1460 has program code for controlling process gas composition and flow rates.
  • the process gas control subroutine 1460 controls the open/close position of the safety shut-off valves, and also ramps up/down the mass flow controllers to obtain a desired gas flow rate.
  • the process gas control subroutine 1460 is invoked by the chamber manager subroutine 1440 a , as are all chamber components subroutines, and receives from the chamber manager subroutine process parameters related to the desired gas flow rate.
  • the process gas control subroutine 1460 operates by opening a single control valve between the gas source and the chamber 106 gas supply lines, and repeatedly (i) measuring the mass flow rate, (ii) comparing the actual flow rate to the desired flow rate received from the chamber manager subroutine 1440 a , and (iii) adjusting the flow rate of the main gas supply line as necessary. Furthermore, the process gas control subroutine 1460 includes steps for monitoring the gas flow rate for an unsafe rate, and activating a safety shut-off valve when an unsafe condition is detected.
  • an inert gas such as argon is provided into the chamber 106 to stabilize the pressure in the chamber before reactive process gases are introduced into the chamber.
  • the process gas control subroutine 1460 is programmed to include steps for flowing the inert gas into the chamber 106 for an amount of time necessary to stabilize the pressure in the chamber, and then the steps described above would be carried out.
  • a process gas is to be vaporized from a liquid precursor, for example tetraethylorthosilane (TEOS)
  • TEOS tetraethylorthosilane
  • the process control subroutine 1460 would be written to include steps for bubbling a delivery gas such as helium through the liquid precursor in a bubbler assembly.
  • the process gas control subroutine 1460 regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature in order to obtain the desired process gas flow rates.
  • the desired process gas flow rates are transferred to the process gas control subroutine 1460 as process parameters.
  • the process gas control subroutine 1460 includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored data table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly. It is noted that different embodiments may not rely on bubbling a delivery gas, but may use injection valves to provide the process gas(es). In such embodiments, the process gas control subroutine 1460 includes steps for manipulating a different set appropriate parameters.
  • the pressure control subroutine 1470 comprises program code for controlling the pressure in the chamber 106 by regulating the size of the opening of the throttle valve in the exhaust system of the chamber.
  • the size of the opening of the throttle valve is varied to control the chamber pressure at a desired level in relation to the total process gas flow, the gas-containing volume of the process chamber, and the pumping set point pressure for the exhaust system.
  • the desired set point pressure level is received as a parameter from the chamber manager subroutine 1440 a .
  • the pressure control subroutine 1470 operates to measure the pressure in the chamber 106 using one or more known pressure manometers connected to the chamber, compare the measured value(s) to the set point pressure, obtain PID (proportional, integral, and differential) control parameters from a stored pressure table corresponding to the set point pressure, and adjust the throttle valve according to the PID values obtained from the pressure table.
  • the pressure control subroutine 1470 can be written to open or close the throttle valve to a particular opening size to regulate the chamber 106 to the desired pressure.
  • the heater control subroutine 1480 comprises program code for controlling the temperature of the lamp or heater module that is used to heat the wafer 502 .
  • the heater control subroutine 1480 is also invoked by the chamber manager subroutine 1440 a and receives a desired, or set point, temperature parameter.
  • the heater control subroutine 1480 determines the temperature by measuring voltage output of a thermocouple located in a pedestal 628 , compares the measured temperature to the set point temperature, and increases or decreases current applied to the heater to obtain the set point temperature.
  • the temperature is obtained from the measured voltage by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth order polynominal.
  • the heater control subroutine 1480 When radiant lamps are used to heat the pedestal 628 , the heater control subroutine 1480 gradually controls a ramp up/down of current applied to the lamp. The gradual ramp up/down increases the life and reliability of the lamp. Additionally, a built-in-fail-safe mode can be included to detect process safety compliance, and can shut down operation of the lamp or heater module if the process chamber 106 is not properly set up.
  • the plasma control subroutine 1490 comprises program code for setting the RF bias voltage power level applied to the process electrodes in the chamber 106 , and optionally, to set the level of the magnetic field generated in the chamber. Similar to the previously described chamber component subroutines, the plasma control subroutine 1490 is invoked by the chamber manager subroutine 1440 a.
  • FIG. 8 shows a close-up cross-sectional view of the processing chamber illustrating the cleaning gas flow between the faceplate 646 and the surface of the heater pedestal 628 .
  • the cleaning gas includes reactive species, more specifically fluorine radicals, that are generated remotely and introduced into the chamber 106 via the faceplate 646 during the cleaning portion of the process recipe.
  • the radicals flow into the chamber and out the exhaust ports 631 , there is some net fluorine flux to the substrate support or heater 628 .
  • the amount of fluorine that arrives at the substrate support 628 is proportional to the amount of AlF x buildup. Therefore, reducing the fluorine flux will reduce the AlF x buildup and damage to the substrate support 628 .
  • the radicals flow from the faceplate 646 to the substrate support 628 through two regimes, as shown in FIG. 8 .
  • the first regime 1502 past the faceplate 646 is inviscid flow where the fluorine is convected, and the effects of fluid viscosity is negligible.
  • the second regime 1504 the viscous forces are significant.
  • a boundary layer having a thickness ⁇ from the surface 1506 of the substrate support 628 is formed by this stagnation (in plane) flow.
  • the fluorine needs to diffuse through the boundary layer 1504 to reach and attack the substrate support surface 1506 .
  • the time for the fluorine to reach the substrate support surface 1506 is the sum of the time to flow from the faceplate 646 down the streamline in the first regime 1502 to the boundary layer and the time to diffuse across the boundary layer 1504 .
  • the boundary layer thickness is proportional to the square root of the spacing d between the faceplate 646 and the substrate support surface 1506 . Increasing the spacing d increases the boundary layer thickness ⁇ and hence decreases the fluorine flux to the substrate support surface 1506 .
  • the gas viscosity
  • p the gas density
  • a V/d
  • V the average downward velocity from the faceplate 646
  • d the spacing between the faceplate 646 and the substrate support surface 1506 .
  • the present method of reducing damage to the substrate support by the cleaning gas during a cleaning process of the processing chamber is done without a hardware change or process temperature change. Instead, by increasing the spacing between the faceplate and the substrate support surface during the cleaning process, the damage such as AlF x formation can be reduced. It is believed that this approach can be used to reduce damage caused by reactive species other than fluorine radicals during cleaning by decreasing the amount of flux of the reactive species to the substrate support surface. Furthermore, the reactive species may be produced by in situ plasma or generated remotely.

Abstract

Embodiments of the present invention provide a method of reducing damage to the substrate support by the cleaning gas during a cleaning process of the processing chamber, such as by reducing aluminum fluoride formation on the substrate support. In one embodiment, a method of cleaning a semiconductor process chamber which is used for processing a substrate disposed on a surface of a substrate support comprises introducing a cleaning gas into a process chamber through an inlet facing a surface of a substrate support. The inlet is spaced from the surface of the substrate support by a clean spacing. Reactive species are provided from the cleaning gas to clean the process chamber. The clean spacing is substantially greater than a process spacing between the inlet and the surface of the substrate support during processing of a substrate on the substrate support in the process chamber.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates generally to semiconductor processing and, more particularly, to an improved process for cleaning a semiconductor processing chamber by minimizing negative effects of the cleaning procedure.
  • One of the primary steps in the fabrication of modern semiconductor devices is the formation of a thin film on a semiconductor substrate by chemical reaction of gases. Such a deposition process is referred to as chemical vapor deposition (CVD). Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions can take place to produce the desired film. Plasma enhanced CVD (PECVD) processes promote excitation and/or dissociation of reactant gases by application of radio frequency (RF) energy to the reaction zone proximate to the substrate surface thereby creating a plasma of highly reactive species. The high reactivity of the released species reduces the energy required for a chemical reaction to take place, and thus lowers the required temperature for such CVD processes.
  • The substrate rests on a substrate support during processing in the chamber such as the formation of a layer on the substrate. The substrate support typically is a substrate heater which supports and heats the substrate during substrate processing. The substrate rests above the heater surface of the heater and heat is supplied to the bottom of the substrate. Some substrate heaters are resistively heated, for example, by electrical heating elements such as resistive coils disposed below the heater surface or embedded in a plate having the heater surface. The heat from the substrate heater is the primary source of energy in thermally driven processes such as thermal CVD for depositing layers including undoped silicate glass (USG), doped silicate glass (e.g., borophosphosilicate glass (BPSG)), and the like. In some PECVD processes such as the deposition of certain nitride films, the heater temperature can also be quite high (e.g., above 500° C.). The substrate support typically supports the substrate opposite a gas distribution faceplate through which a reactant gas is supplied to the chamber. The faceplate is part of the gas distribution member for supplying one or more gases to the chamber.
  • The chamber is cleaned periodically by flowing a clean gas flow through the chamber. The clean gas typically includes reactive radicals such as fluorine. For a substrate support made of AlN or the like, aluminum fluoride (AlFx) formation occurs on the surface of the substrate support or substrate heater during the cleaning process. Traditionally, it is understood that by reducing the AlN substrate heater temperature, the rate of AlFx formation can be reduced. At a heater temperature of around 530° C. or higher, the AlN heater will be more readily attacked by the fluorine radicals to form AlFx. The lift pin holes are attacked and as AlFx is formed, the lift pin holes become enlarged. The substrate support surface also becomes damaged as the Al in the AlN is used to form AlFx. The resulting AlFx is known to sublimate to colder and close surfaces on other parts of the chamber including the ceramic liners and the faceplate.
  • BRIEF SUMMARY OF THE INVENTION
  • Embodiments of the present invention provide a method of reducing damage to the substrate support by the cleaning gas during a cleaning process of the semiconductor processing chamber, such as by reducing aluminum fluoride formation on the substrate support. This is done without a hardware change or process temperature change. Instead, by increasing the spacing between the faceplate and the substrate support surface during the cleaning process, the damage such as AlFx formation can be reduced. This invention also reduces the amount of AlFx deposited on ceramic liners and faceplate, and prevents premature chamber failure.
  • One aspect of the present invention is directed to a method of cleaning a semiconductor process chamber which is used for processing a substrate disposed on a surface of a substrate support. The method comprises introducing a cleaning gas into a process chamber through an inlet facing a surface of a substrate support. The inlet is spaced from the surface of the substrate support by a clean spacing. Reactive species are provided from the cleaning gas to clean the process chamber. The clean spacing is substantially greater than a process spacing between the inlet and the surface of the substrate support during processing of a substrate on the substrate support in the process chamber.
  • In some embodiments, the clean spacing is at least about 4 times the process spacing, more desirably at least about 7 times the process spacing. The reactive species comprise fluorine radicals. The reactive species may be generated by remote plasma from the cleaning gas and introduced into the process chamber through the inlet. The temperature of the substrate support during cleaning is substantially identical to the temperature of the substrate support during processing of the substrate on the substrate support. The temperature of the substrate support during cleaning may be higher than about 500° C. The clean spacing is at least about 1.3 inches, and may be about 2.1 inches. The process chamber has a pressure of about 1.5-6 torr during cleaning of the process chamber.
  • In accordance with another aspect of the invention, a method of cleaning a semiconductor process chamber which is used for processing a substrate disposed on a surface of a substrate support comprises introducing a cleaning gas into a process chamber through an inlet facing a surface of a substrate support. The inlet is spaced from the surface of the substrate support by a clean spacing. Reactive species are produced from the cleaning gas to clean the process chamber. The clean spacing is at least about 1.3 inches.
  • In accordance with another aspect of the invention, a method of processing a substrate on a substrate support disposed in a process chamber comprises processing a substrate on a surface of a substrate support disposed in a process chamber by introducing a process gas into the process chamber through an inlet facing the surface of a substrate support. The inlet is spaced from the surface of the substrate support by a process spacing. The method further comprises removing the substrate from the process chamber; and introducing a cleaning gas into the process chamber through the inlet facing the surface of a substrate support. The inlet is spaced from the surface of the substrate support by a clean spacing. Reactive species are provided from the cleaning gas to clean the process chamber. The clean spacing is substantially greater than the process spacing.
  • In accordance with another aspect of the present invention, a substrate processing system for processing a substrate comprises a housing forming a chamber, the chamber including a substrate support having a surface for supporting a substrate to be processed in the chamber; a gas distribution system configured to introduce one or more gases into the chamber via an inlet; an adjustment mechanism coupled to the substrate support to adjust a position of the substrate support with respect to the inlet and change a spacing between the inlet and the surface of the substrate support; a controller, including a computer, configured to control the substrate processing system; and a memory coupled to the controller and including a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing system. The computer-readable program code includes a first set of computer instructions for controlling the adjustment mechanism to provide a process spacing between the inlet and the surface of the substrate support; a second set of computer instructions for controlling the gas distribution system to introduce a process gas into the process chamber through the inlet to process a substrate disposed on the surface of a substrate support; a third set of computer instructions for controlling the substrate processing system to remove the substrate from the process chamber; a fourth set of computer instructions for controlling the adjustment mechanism to provide a clean spacing between the inlet and the surface of the substrate support, the clean spacing being substantially greater than the process spacing; a fifth set of computer instructions for controlling the gas distribution system to introduce a cleaning gas into the process chamber through the inlet facing the surface of the substrate support; and a sixth set of computer instructions for controlling the substrate processing system to provide reactive species from the cleaning gas to clean the process chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a perspective view of one embodiment of a processing chamber of the present invention;
  • FIG. 2 is a cross sectional view of one embodiment of a processing chamber of the present invention;
  • FIG. 3 is an exploded view of the gas distribution assembly;
  • FIG. 4 is a top view of the processing chamber of FIG. 1 with the lid removed;
  • FIG. 5 is a perspective view of a remote plasma chamber mounted on a processing chamber;
  • FIG. 6 is a cross sectional view of a remote plasma chamber mounted on a processing chamber;
  • FIG. 7 is an illustrative block diagram of the hierarchical control structure of a computer program for process control; and
  • FIG. 8 is a close-up cross-sectional view of the processing chamber illustrating the gas flow between the faceplate and the surface of the heater pedestal.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 shows a perspective view of one embodiment of a tandem processing chamber 106 of the present invention. Chamber body 602 is mounted or otherwise connected to a transfer chamber and includes two processing regions in which individual wafers are concurrently processed. The chamber body 602 supports a lid 604 which is hingedly attached to the chamber body 602 and includes one or more gas distribution systems 608 disposed therethrough for delivering reactant and cleaning gases into multiple processing regions.
  • FIG. 2 shows a schematic cross-sectional view of the chamber 106 defining two processing regions 618, 620. Chamber body 602 includes sidewall 612, interior wall 614 and bottom wall 616 which define the two processing regions 618, 620. The bottom wall 616 in each processing region 618, 620 defines at least two passages 622, 624 through which a stem 626 of a pedestal heater 628 and a rod 630 of a wafer lift pin assembly are disposed, respectively. A pedestal lift assembly and the wafer lift will be described in detail below.
  • The sidewall 612 and the interior wall 614 define two cylindrical annular processing regions 618, 620. A circumferential pumping channel 625 is formed in the chamber walls defining the cylindrical processing regions 618, 620 for exhausting gases from the processing regions 618, 620 and controlling the pressure within each region 618, 620. A chamber liner or insert 627, preferably made of ceramic or the like, is disposed in each processing region 618, 620 to define the lateral boundary of each processing region and to protect the chamber walls 612, 614 from the corrosive processing environment and to maintain an electrically isolated plasma environment between the electrodes. The liner 627 is supported in the chamber on a ledge 629 formed in the walls 612, 614 of each processing region 618, 620. The liner includes a plurality of exhaust ports 631, or circumferential slots, disposed therethrough and in communication with the pumping channel 625 formed in the chamber walls. In a specific embodiment, there are about twenty four ports 631 disposed through each liner 627 which are spaced apart by about 15° and located about the periphery of the processing regions 618, 620. While twenty four ports are preferred, any number can be employed to achieve the desired pumping rate and uniformity. In addition to the number of ports, the height of the ports relative to the face plate of the gas distribution system is controlled to provide an optimal gas flow pattern over the wafer during processing.
  • FIG. 4 shows a cross sectional view of the chamber illustrating the exhaust system of the present embodiment. The pumping channels 625 of each processing region 618, 620 are desirably connected to a common exhaust pump via a common exhaust channel 619. The exhaust channel 619 is connected to the pumping channel 625 of each region 618, 620 by exhaust conduits 621. The exhaust channel 619 is connected to an exhaust pump via an exhaust line (not shown). Each region is desirably pumped down to a selected pressure by the pump and the connected exhaust system allows equalization of the pressure within each region.
  • Referring back to FIG. 2, each of the processing regions 618, 620 also desirably include a gas distribution assembly 608 disposed through the chamber lid 604 to deliver gases into the processing regions 618, 620, typically from the same gas source. The gas distribution system 608 of each processing region includes a gas inlet passage 640 which delivers gas into a shower head assembly 642. The shower head assembly 642 is comprised of an annular base plate 648 having a blocker plate 644 disposed intermediate a face plate 646. An RF feedthrough provides a bias potential to the showerhead assembly 642 to facilitate generation of a local plasma between the face plate 646 of the showerhead assembly 642 (upper electrode) and the heater pedestal 628 (lower electrode). A cooling channel 652 is formed in a base plate 648 of each gas distribution system 608 to cool the plate during operation. An inlet 655 delivers a coolant fluid, such as water or the like, into the channels 652 which are connected to each other by coolant line 657. The cooling fluid exits the channel through a coolant outlet 659. Alternatively, the cooling fluid is circulated through the manifold.
  • The chamber body 602 defines a plurality of vertical gas passages for each reactant gas and cleaning gas suitable for the selected process to be delivered in the chamber through the gas distribution system. Gas inlet connections 641 are disposed at the bottom of the chamber 106 to connect the gas passages formed in the chamber wall to the gas inlet lines 639. An o-ring is provided around each gas passage formed through the chamber wall on the upper surface of the chamber wall to provide sealing connection with the lid as shown in FIG. 4. The lid includes matching passages to deliver the gas from the lower portion of the chamber wall into a gas inlet manifold 670 positioned on top of the chamber lid as shown in FIG. 3. The reactant gases are delivered through a voltage gradient feed-through 672 and into a gas outlet manifold 674 which is connected to a gas distribution assembly.
  • The gas input manifold 670 channels process gases from the chamber gas feedthroughs into the constant voltage gradient gas feedthroughs, which are grounded. Gas feed tubes (not shown) deliver or route the process gases through the voltage gradient gas feedthroughs 672 and into the outlet manifold 674. Resistive sleeves surround the gas feed tubes to cause a linear voltage drop across the feedthrough preventing a plasma in the chamber from moving up the gas feed tubes. The gas feed tubes may be made of quartz and the sleeves may be made of a composite ceramic. The gas feed tubes are disposed within an isolating block which contains coolant channels to control temperature and prevent heat radiation and also to prevent liquefaction of process gases. The insulating block is typically made of Delrin™. The quartz feed tubes deliver gas into a gas output manifold 674 which channels the process gases to the blocker plate 644 and into the gas distribution plate 646.
  • The gas input manifold 670 (see FIG. 3) also defines a passage which delivers cleaning gases from a chamber gas feedthrough into the remote plasma source. These gases bypass the voltage gradient feedthroughs and are fed into a remote plasma source where the gases are activated into various excited species. The excited species are then delivered to the gas distribution plate at a point just below the blocker plate through a conduit disposed in gas inlet passage 640. The remote plasma source and delivery of reactant cleaning gases will be described in detail below.
  • FIG. 2 shows a heater pedestal 628 which is movably disposed in each processing region 618, 620 by a stem 626 which is connected to the underside of a support plate and extends through the bottom of the chamber body 602 where it is connected to a drive system 603. The stem 626 is typically a circular, tubular, aluminum member, having an upper end disposed in supporting contact with the underside of the heater pedestal 628 and a lower end closed off with a cover plate. The lower end of the stem is received in a cup shaped sleeve, which forms the connection of the stem to the drive system. The stem 626 mechanically positions the heater pedestal 628 within the processing region and also forms an ambient passageway through which a plurality of heater plate connections can extend. Each heater pedestal 628 may include heating elements to heat a wafer positioned thereon to a desired process temperature. The heating elements may include for example a resistive heating element. Alternatively, the heater pedestal may be heated by an outside heating element such as a lamp. A pedestal used to advantage in the present embodiment is available from Applied Materials, Inc., of Santa Clara, Calif. The pedestal may also support an electrostatic chuck, a vacuum chuck or other chucking device to secure a wafer thereon during processing.
  • The stem 626 moves upwardly and downwardly in the chamber to move the heater pedestal 628 to position a wafer thereon or remove a wafer therefrom for processing. A wafer positioning assembly includes a plurality of support pins 651 which move vertically with respect to the heater pedestal 628 and are received in bores 653 disposed vertically through the pedestal. Each pin 651 includes a cylindrical shaft 659 terminating in a lower spherical portion 661 and an upper truncated conical head 663 formed as an outward extension of the shaft. The bores 653 in the heater pedestal 628 include an upper, countersunk portion sized to receive the conical head 663 therein such that when the pin 651 is fully received into the heater pedestal 628, the head does not extend above the surface of the heater pedestal.
  • The lift pins 651 move partially in conjunction with, and partially independent of, the heater pedestal 628 as the pedestal moves within the processing region. The lift pins can extend above the pedestal 628 to allow the robot blade to remove the wafer from the processing region, but must also sink into the pedestal to locate the wafer on the upper surface of the pedestal for processing. To move the pins 651, the wafer positioning assembly includes an annular pin support 655 which is configured to engage lower spherical portions 661 of the lift pins 651 and a drive member which positions the pin support 655 to selectively engage the lift pins 651 depending on the position of the heater pedestal 628 within the processing region. The pin support 655, typically made from ceramic, extends around the stem 626 below the heater pedestal 628 to selectively engage the lower spherical portions of the support pins.
  • A drive assembly lifts and lowers the shaft 630 and connected pin support 655 to move the pins 651 upwardly and downwardly in each processing region 618, 620. The pin drive member is desirably located on the bottom of the chamber 106 to control the movement of the pin support platform 655 with respect to the pedestal heater 628.
  • The vacuum control system for the processing system 100 of the present embodiment may include a plurality of vacuum pumps in communication with various regions of the system, with each region having its own setpoint pressure. However, the transfer of wafers from one chamber or region to another chamber or region is performed by opening slit valves which allow the environments of the communicating regions to mix somewhat and the pressures to equilibrate.
  • FIGS. 5 and 6 show a perspective and cross sectional view of a remote clean module 800 of the present embodiment. The remote clean module 800 is connected to the processing regions 618, 620 of chamber 106 (FIG. 2) through the inlet port 820. The remote clean module 800 supplies gas that is used to remove deposited material from the interior surfaces of the chamber after a sequence of process runs.
  • The remote clean module 800 includes a source of a precursor gas 804, a remote activation chamber 806 which is located outside of the processing chamber 106, a power source 808 for activating the precursor gas within the remote activation chamber, an electronically operated valve and flow control mechanism 810, and a conduit or pipe 812 connecting the remote chamber to the processing chamber via a conduit 811. The valve and flow control mechanism 810 delivers gas from the source of precursor gas 804 into the remote activation chamber 806 at a user-selected flow rate. The activation chamber 806 includes an aluminum enclosure 803 having a gas feed tube 813 disposed therethrough. The power source 808 generates microwaves which are guided by a wave guide 805 into the enclosure 803. The tube 813 is transparent to microwaves so that the microwaves penetrate the tube and activate the precursor gas to form a reactive species which is then flowed through the conduit 812 into the gas distribution assembly and then into a processing chamber. In other words, the upper electrode or shower head 642 is used to deliver the reactive gas into the processing regions of the chamber (FIG. 2). In the described embodiment, the remote chamber is a ceramic tube and the power source is a 2.54 GHz microwave generator with its output aimed at the ceramic tube. In alternative embodiments, a remote plasma source may be used which does not employ microwave to generate reactive species. An example is a top-mounted ASTRON™ generator available from Applied Science and Technology, Inc. of Woburn, Mass., which utilizes a low-field toroidal (LFT™) plasma to dissociate a process gas.
  • Optionally, there may also be a source of a minor carrier gas 814 that is connected to the remote activation chamber through another valve and flow control mechanism 816. The minor carrier gas aids in the transport of the activated species to the deposition chamber. The gas can be any appropriate nonreactive gas that is compatible with the particular cleaning process with which it is being used. For example, the minor carrier gas may be argon, nitrogen, helium, hydrogen, or oxygen, etc. In addition to aiding in the transport of activated species to the deposition chamber, the carrier gas may also assist in the cleaning process or help initiate and/or stabilize the plasma in the deposition chamber.
  • In the described embodiment, there is a filter 818 in the conduit or pipe through which the activated species passes before entering the deposition chamber. The filter removes particulate matter that might have been formed during the activation of the reactive species. In the described embodiment, the filter is made of ceramic material having a pore size of about 0.01 to about 0.03 microns. Of course, other materials can also be used such as, for example, Teflon.
  • In the described embodiment, the precursor is NF3. By using NF3 as the feed gas, chambers that have been deposited with silicon (Si), doped silicon, silicon nitride (Si3N+4) and silicon oxide (SiO2) can be cleaned. The cleaning rate for deposited film is about 2 microns/minute for silicon nitride and about 1 micron/minute for silicon, doped silicon, and silicon oxide. These cleaning rates are two to four times faster than the conventional cleaning process which employs only a local plasma with a power level of about 1 to about 2 kilowatts at 13.56 MHz RF.
  • Though a microwave generator is used in the described embodiment to activate the precursor gas, any power source that is capable of activating the precursor gas can be used. For example, both the remote and local plasmas can employ DC, radio frequency (RF), and microwave (MW) based discharge techniques. In addition, if an RF power source is used, it can be either capacitively or inductively coupled to the inside of the chamber. The activation can also be performed by a thermally based, gas break-down technique, a high intensity light source, or an x-ray source, to name just a few.
  • In general, the reactive gases may be selected from a wide range of options, including the commonly used halogens and halogen compounds. For example, the reactive gas may be chlorine, fluorine or compounds thereof, e.g., NF3, CF4, SF6, C2F6, CCl4, C2Cl6, C3F8, and C4F10. Of course, the particular gas that is used depends on the deposited material which is being removed, as well as other performance and cost factors. For example, in a tungsten deposition system, a fluorine compound gas is typically used to etch and/or remove the deposited tungsten.
  • The system controller operates under the control of a computer program stored on the hard disk drive of a computer. The computer program dictates the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer heating and other parameters of a particular process. The interface between a user and the system controller may be via a CRT monitor and lightpen. In a specific embodiment two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians. Both monitors simultaneously display the same information but only one lightpen is enabled. The lightpen detects light emitted by the CRT display with a light sensor in the tip of the pen. To select a particular screen or function, the operator touches a designated area of the display screen and pushes the button on the pen. The display screen generally confirms communication between the lightpen and the touched area by changing its appearance, i.e., highlight or color, or displaying a new menu or screen.
  • A variety of processes can be implemented using a computer program product that runs on, for example, the system controller. The computer program code can be written in any known computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a known text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
  • FIG. 7 shows an illustrative block diagram of a hierarchical control structure of the computer program 1410 according to one embodiment. A user enters a process set number and process chamber number into a process selector subroutine 1420 in response to menus or screens displayed on the CRT monitor by using the lightpen interface. The process sets provide predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers. The process selector subroutine 1420 identifies (i) the desired process chamber, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature and are provided to the user in the form of a recipe. The parameters specified by the recipe are entered in any suitable manner, such as by utilizing the lightpen/CRT monitor interface.
  • Electronic signals provided by various instruments and devices for monitoring the process are provided to the computer through the analog input and digital input boards of the system controller. Any known method of monitoring the process chambers can be used, such as polling. Furthermore, electronic signals for operating various process controllers or devices are output through the analog output and digital output boards of the system controller. The quantity, type and installation of these monitoring and controlling devices may vary from one system to the next according to the particular end use of the system and the degree of process control desired. The specification or selection of particular devices, such as the optimal type of thermocouple for a particular application, is known by persons with skill in the art.
  • A process sequencer subroutine 1430 comprises program code for accepting the identified process chamber number and set of process parameters from the process selector subroutine 1420, and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process chamber numbers, so the sequencer subroutine 1430 operates to schedule the selected processes in the desired sequence. Preferably, the process sequencer subroutine 1430 includes program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. When scheduling which process is to be executed, the sequencer subroutine 1430 can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining the scheduling priorities.
  • Once the sequencer subroutine 1430 determines which process chamber and process set combination is going to be executed next, the sequencer subroutine 1430 causes execution of the process set by passing the particular process set parameters to a chamber manager subroutine 1440 a-c which controls multiple processing tasks in a process chamber 106 according to the process set determined by the sequencer subroutine 1430. For example, the chamber manager subroutine 1440 a comprises program code for controlling sputtering and CVD process operations in the process chamber 106. The chamber manager subroutine 1440 also controls execution of various chamber component subroutines which control operation of the chamber component necessary to carry out the selected process set. Examples of chamber component subroutines are wafer positioning subroutine 1450, process gas control subroutine 1460, pressure control subroutine 1470, heater control subroutine 1480, and plasma control subroutine 1490. Those having ordinary skill in the art will recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in the process chamber 106. In operation, the chamber manager subroutine 1440 a selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. The chamber manager subroutine 1440 a schedules the process component subroutines similarly to how the sequencer subroutine 1430 schedules which process chamber 106 and process set is to be executed next. Typically, the chamber manager subroutine 1440 a includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • Operation of particular chamber components subroutines will now be described with reference to FIG. 7. The wafer positioning subroutine 1450 comprises program code for controlling chamber components that are used to load the wafer onto the pedestal 628, and optionally to lift the wafer to a desired height in the chamber 106 to control the spacing between the wafer and the showerhead 642. When wafers are loaded into the chamber 106, the pedestal 628 is lowered and the lift pin assembly is raised to receive the wafer and, thereafter, the pedestal 628 is raised to the desired height in the chamber, for example to maintain the wafer at a first distance or spacing from the gas distribution manifold during the CVD process. In operation, the wafer positioning subroutine 1450 controls movement of the lift assembly and pedestal 628 in response to process set parameters related to the support height that are transferred from the chamber manager subroutine 1440 a.
  • The process gas control subroutine 1460 has program code for controlling process gas composition and flow rates. The process gas control subroutine 1460 controls the open/close position of the safety shut-off valves, and also ramps up/down the mass flow controllers to obtain a desired gas flow rate. The process gas control subroutine 1460 is invoked by the chamber manager subroutine 1440 a, as are all chamber components subroutines, and receives from the chamber manager subroutine process parameters related to the desired gas flow rate. Typically, the process gas control subroutine 1460 operates by opening a single control valve between the gas source and the chamber 106 gas supply lines, and repeatedly (i) measuring the mass flow rate, (ii) comparing the actual flow rate to the desired flow rate received from the chamber manager subroutine 1440 a, and (iii) adjusting the flow rate of the main gas supply line as necessary. Furthermore, the process gas control subroutine 1460 includes steps for monitoring the gas flow rate for an unsafe rate, and activating a safety shut-off valve when an unsafe condition is detected.
  • In some processes, an inert gas such as argon is provided into the chamber 106 to stabilize the pressure in the chamber before reactive process gases are introduced into the chamber. For these processes, the process gas control subroutine 1460 is programmed to include steps for flowing the inert gas into the chamber 106 for an amount of time necessary to stabilize the pressure in the chamber, and then the steps described above would be carried out. Additionally, when a process gas is to be vaporized from a liquid precursor, for example tetraethylorthosilane (TEOS), the process control subroutine 1460 would be written to include steps for bubbling a delivery gas such as helium through the liquid precursor in a bubbler assembly. For this type of process, the process gas control subroutine 1460 regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature in order to obtain the desired process gas flow rates. As discussed above, the desired process gas flow rates are transferred to the process gas control subroutine 1460 as process parameters. Furthermore, the process gas control subroutine 1460 includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored data table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly. It is noted that different embodiments may not rely on bubbling a delivery gas, but may use injection valves to provide the process gas(es). In such embodiments, the process gas control subroutine 1460 includes steps for manipulating a different set appropriate parameters.
  • The pressure control subroutine 1470 comprises program code for controlling the pressure in the chamber 106 by regulating the size of the opening of the throttle valve in the exhaust system of the chamber. The size of the opening of the throttle valve is varied to control the chamber pressure at a desired level in relation to the total process gas flow, the gas-containing volume of the process chamber, and the pumping set point pressure for the exhaust system. When the pressure control subroutine 1470 is invoked, the desired set point pressure level is received as a parameter from the chamber manager subroutine 1440 a. The pressure control subroutine 1470 operates to measure the pressure in the chamber 106 using one or more known pressure manometers connected to the chamber, compare the measured value(s) to the set point pressure, obtain PID (proportional, integral, and differential) control parameters from a stored pressure table corresponding to the set point pressure, and adjust the throttle valve according to the PID values obtained from the pressure table. Alternatively, the pressure control subroutine 1470 can be written to open or close the throttle valve to a particular opening size to regulate the chamber 106 to the desired pressure.
  • The heater control subroutine 1480 comprises program code for controlling the temperature of the lamp or heater module that is used to heat the wafer 502. The heater control subroutine 1480 is also invoked by the chamber manager subroutine 1440 a and receives a desired, or set point, temperature parameter. The heater control subroutine 1480 determines the temperature by measuring voltage output of a thermocouple located in a pedestal 628, compares the measured temperature to the set point temperature, and increases or decreases current applied to the heater to obtain the set point temperature. The temperature is obtained from the measured voltage by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth order polynominal. When radiant lamps are used to heat the pedestal 628, the heater control subroutine 1480 gradually controls a ramp up/down of current applied to the lamp. The gradual ramp up/down increases the life and reliability of the lamp. Additionally, a built-in-fail-safe mode can be included to detect process safety compliance, and can shut down operation of the lamp or heater module if the process chamber 106 is not properly set up.
  • The plasma control subroutine 1490 comprises program code for setting the RF bias voltage power level applied to the process electrodes in the chamber 106, and optionally, to set the level of the magnetic field generated in the chamber. Similar to the previously described chamber component subroutines, the plasma control subroutine 1490 is invoked by the chamber manager subroutine 1440 a.
  • FIG. 8 shows a close-up cross-sectional view of the processing chamber illustrating the cleaning gas flow between the faceplate 646 and the surface of the heater pedestal 628. In the exemplary embodiment shown, the cleaning gas includes reactive species, more specifically fluorine radicals, that are generated remotely and introduced into the chamber 106 via the faceplate 646 during the cleaning portion of the process recipe. As the radicals flow into the chamber and out the exhaust ports 631, there is some net fluorine flux to the substrate support or heater 628. The amount of fluorine that arrives at the substrate support 628 is proportional to the amount of AlFx buildup. Therefore, reducing the fluorine flux will reduce the AlFx buildup and damage to the substrate support 628.
  • The radicals flow from the faceplate 646 to the substrate support 628 through two regimes, as shown in FIG. 8. The first regime 1502 past the faceplate 646 is inviscid flow where the fluorine is convected, and the effects of fluid viscosity is negligible. In the second regime 1504, the viscous forces are significant. A boundary layer having a thickness δ from the surface 1506 of the substrate support 628 is formed by this stagnation (in plane) flow. The fluorine needs to diffuse through the boundary layer 1504 to reach and attack the substrate support surface 1506. The time for the fluorine to reach the substrate support surface 1506 is the sum of the time to flow from the faceplate 646 down the streamline in the first regime 1502 to the boundary layer and the time to diffuse across the boundary layer 1504. The boundary layer thickness is proportional to the square root of the spacing d between the faceplate 646 and the substrate support surface 1506. Increasing the spacing d increases the boundary layer thickness δ and hence decreases the fluorine flux to the substrate support surface 1506. This result is determined by calculating the boundary layer thickness δ for stagnation (in place) flow, which is: δ = μ ρ a = μ ρ ( V / d ) d
    where μ is the gas viscosity, p is the gas density, a=V/d, V is the average downward velocity from the faceplate 646, and d is the spacing between the faceplate 646 and the substrate support surface 1506.
  • In a specific example of a 200 mm HARP Producer chamber available from Applied Materials, Santa Clara, Calif., the standard spacing for cleaning was previously 0.6 inch. If the spacing is increased to a wide spacing of 1.3 inches, the boundary layer thickness increases by 1-√{square root over (()}1.3/0.6)=47%. If the spacing is further increased from 1.3 inches to an extreme spacing of 2.1 inches, the boundary layer thickness further increases by 1-√{square root over (()}2.1/1.3)=27% over the wide spacing, and by 1-√{square root over (()}2.1/0.6)=87% over the previous standard spacing.
  • Experimental results have demonstrated the effectiveness of reducing the AlFx buildup by increasing the clean spacing d between the faceplate 646 and the substrate support surface 1506. The experiments were conducted using NF3 as a cleaning gas and generating fluorine radicals by remote plasma. The chamber was used for depositing SiO2 on substrates at a process spacing between the faceplate 646 and the substrate support surface 1506 of about 200-300 mils (i.e., 0.2-0.3 inch). The deposition process did not employ plasma. For the cleaning process, the temperature of the AlN substrate heater 628 was about 540° C., and the pressure was about 1.5-6 torr. Tests were conducted for clean spacings δ of 0.6 inch, 1.3 inches, and 2.1 inches. At 1.3 inches, the clean spacing is about 4-6.5 times the process spacing of 0.2-0.3 inch; at 2.1 inches, the clean spacing is about 7-10.5 times the process spacing. The different amounts of AlFx buildup were observed from marathon test runs.
  • The tests involved generally low particle generation and high mean wafer between clean (MWBC). While previous methods using smaller clean spacings also showed generally good particle performance, they had a lower MWBC as compared to the present methods using greater clean spacings of at least about 1.3 inches. During the tests, AlFx and/or SiO2 particles were deposited on the chamber walls, and were not completely removed during the cleaning. The particles largely were attached to the walls and did not affect the particle count of the substrates being processed. After a while, however, large chunks of AlFx or SiO2 accumulated on the walls would fall off and cause a significant particle count problem for the substrates. This caused chamber faulting due to MWBC issues. The chamber would have to be opened and wiped down or wet cleaned. The lower MWBC when smaller clean spacings of less than about 13 inches are used is to be avoided using the methods of the present embodiment. The experimental results established that a higher MWBC was achieved by increasing the clean spacing.
  • The present method of reducing damage to the substrate support by the cleaning gas during a cleaning process of the processing chamber is done without a hardware change or process temperature change. Instead, by increasing the spacing between the faceplate and the substrate support surface during the cleaning process, the damage such as AlFx formation can be reduced. It is believed that this approach can be used to reduce damage caused by reactive species other than fluorine radicals during cleaning by decreasing the amount of flux of the reactive species to the substrate support surface. Furthermore, the reactive species may be produced by in situ plasma or generated remotely.
  • The above-described arrangements of apparatus and methods are merely illustrative of applications of the principles of this invention and many other embodiments and modifications may be made without departing from the spirit and scope of the invention as defined in the claims. For example, the present method may be used for cleaning a variety of chambers. The scope of the invention should, therefore, be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims (20)

1. A method of cleaning a semiconductor process chamber which is used for processing a substrate disposed on a surface of a substrate support, the method comprising:
introducing a cleaning gas into a process chamber through an inlet facing a surface of a substrate support, the inlet being spaced from the surface of the substrate support by a clean spacing; and
providing reactive species from the cleaning gas to clean the process chamber;
wherein the clean spacing is substantially greater than a process spacing between the inlet and the surface of the substrate support during processing of a substrate on the substrate support in the process chamber.
2. The method of claim 1 wherein the clean spacing is at least about 4 times the process spacing.
3. The method of claim 1 wherein the clean spacing is at least about 7 times the process spacing.
4. The method of claim 1 wherein the reactive species comprise fluorine radicals.
5. The method of claim 1 wherein the reactive species are generated by remote plasma from the cleaning gas and introduced into the process chamber through the inlet.
6. The method of claim 1 wherein a temperature of the substrate support during cleaning is substantially identical to a temperature of the substrate support during processing of the substrate on the substrate support.
7. The method of claim 6 wherein the temperature of the substrate support during cleaning is higher than about 500° C.
8. The method of claim 1 wherein the clean spacing is at least about 1.3 inches.
9. The method of claim 8 wherein the clean spacing is about 2.1 inches.
10. The method of claim 1 wherein the process chamber has a pressure of about 1.5-6 torr during cleaning of the process chamber.
11. A method of cleaning a semiconductor process chamber which is used for processing a substrate disposed on a surface of a substrate support, the method comprising:
introducing a cleaning gas into a process chamber through an inlet facing a surface of a substrate support, the inlet being spaced from the surface of the substrate support by a clean spacing; and
providing reactive species from the cleaning gas to clean the process chamber;
wherein the clean spacing is at least about 1.3 inches.
12. The method of claim 11 wherein the clean spacing is about 2.1 inches.
13. The method of claim 1 1 wherein the reactive species are generated by remote plasma from the cleaning gas and introduced into the process chamber through the inlet.
14. The method of claim 11 wherein the reactive species comprise fluorine radicals.
15. A method of processing a substrate on a substrate support disposed in a process chamber, the method comprising:
processing a substrate on a surface of a substrate support disposed in a process chamber by introducing a process gas into the process chamber through an inlet facing the surface of a substrate support, the inlet being spaced from the surface of the substrate support by a process spacing;
removing the substrate from the process chamber;
introducing a cleaning gas into the process chamber through the inlet facing the surface of a substrate support, the inlet being spaced from the surface of the substrate support by a clean spacing; and
providing reactive species from the cleaning gas to clean the process chamber;
wherein the clean spacing is substantially greater than the process spacing.
16. The method of claim 15 wherein the clean spacing is at least about 4 times the process spacing.
17. The method of claim 15 wherein the clean spacing is at least about 1.3 inches.
18. The method of claim 15 wherein the reactive species comprise fluorine radicals.
19. The method of claim 15 wherein processing the substrate comprises forming a dielectric layer on the substrate.
20. A substrate processing system for processing a substrate, the system comprising:
a housing forming a chamber, the chamber including a substrate support having a surface for supporting a substrate to be processed in the chamber;
a gas distribution system configured to introduce one or more gases into the chamber via an inlet;
an adjustment mechanism coupled to the substrate support to adjust a position of the substrate support with respect to the inlet and change a spacing between the inlet and the surface of the substrate support;
a controller, including a computer, configured to control the substrate processing system; and
a memory coupled to the controller and including a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing system, the computer-readable program code including:
a first set of computer instructions for controlling the adjustment mechanism to provide a process spacing between the inlet and the surface of the substrate support;
a second set of computer instructions for controlling the gas distribution system to introduce a process gas into the process chamber through the inlet to process a substrate disposed on the surface of a substrate support;
a third set of computer instructions for controlling the substrate processing system to remove the substrate from the process chamber;
a fourth set of computer instructions for controlling the adjustment mechanism to provide a clean spacing between the inlet and the surface of the substrate support, the clean spacing being substantially greater than the process spacing;
a fifth set of computer instructions for controlling the gas distribution system to introduce a cleaning gas into the process chamber through the inlet facing the surface of the substrate support; and
a sixth set of computer instructions for controlling the substrate processing system to provide reactive species from the cleaning gas to clean the process chamber.
US10/882,129 2004-06-29 2004-06-29 Reduction of reactive gas attack on substrate heater Abandoned US20060005856A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/882,129 US20060005856A1 (en) 2004-06-29 2004-06-29 Reduction of reactive gas attack on substrate heater

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/882,129 US20060005856A1 (en) 2004-06-29 2004-06-29 Reduction of reactive gas attack on substrate heater

Publications (1)

Publication Number Publication Date
US20060005856A1 true US20060005856A1 (en) 2006-01-12

Family

ID=35540052

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/882,129 Abandoned US20060005856A1 (en) 2004-06-29 2004-06-29 Reduction of reactive gas attack on substrate heater

Country Status (1)

Country Link
US (1) US20060005856A1 (en)

Cited By (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060086376A1 (en) * 2004-10-26 2006-04-27 Dimeo Frank Jr Novel methods for cleaning ion implanter components
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20090114156A1 (en) * 2007-10-11 2009-05-07 Nobutake Nodera Film formation apparatus for semiconductor process
US20100273332A1 (en) * 2009-04-24 2010-10-28 Lam Research Corporation Method and apparatus for high aspect ratio dielectric etch
CN101904235A (en) * 2010-07-22 2010-12-08 济南兆龙科技发展有限公司 Special method for applying 98% of Longxin dazomet microgranule
US20110305544A1 (en) * 2005-08-05 2011-12-15 Aihua Chen Method and apparatus for processing semiconductor work pieces
US20130316095A1 (en) * 2010-12-22 2013-11-28 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Retaining device for substrates and method for coating a substrate
US8603252B2 (en) 2006-04-26 2013-12-10 Advanced Technology Materials, Inc. Cleaning of semiconductor processing systems
WO2014164300A1 (en) * 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20150221479A1 (en) * 2014-01-31 2015-08-06 Applied Materials, Inc. Rps assisted rf plasma source for semiconductor processing
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9991095B2 (en) 2008-02-11 2018-06-05 Entegris, Inc. Ion source cleaning in semiconductor processing systems
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
WO2018226275A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma strip tool with uniformity control
WO2018226273A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma strip tool with multiple gas injection zones
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522333B2 (en) * 2016-11-29 2019-12-31 Hitachi High-Technologies Corporation Vacuum processing apparatus
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2020068804A1 (en) * 2018-09-24 2020-04-02 Lehigh University High pressure spatial chemical vapor deposition system and related process
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10892143B2 (en) 2016-10-21 2021-01-12 Applied Materials, Inc. Technique to prevent aluminum fluoride build up on the heater
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US20210313151A1 (en) * 2020-04-03 2021-10-07 Tokyo Electron Limited Plasma processing apparatus
US20210320027A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Systems and methods for substrate support temperature control
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US20010009177A1 (en) * 1998-07-13 2001-07-26 Laizhong Luo Systems and methods for two-sided etch of a semiconductor substrate
US20020115025A1 (en) * 1998-11-13 2002-08-22 Mitsubishi Denki Kabushiki Kaisha Apparatus for removing photoresist film
US20030143822A1 (en) * 2001-06-14 2003-07-31 Takaharu Kondo Silicon-based film formation process, silicon-based film, semiconductor device, and silicon-based film formation system
US20030200984A1 (en) * 2002-04-29 2003-10-30 Christof Streck Highly efficient remote clean process for process chambers in deposition tools
US20040050682A1 (en) * 2000-12-27 2004-03-18 George Paskalov Activated water apparatus and methods and products
US20050230242A1 (en) * 2004-04-14 2005-10-20 Darrin Leonhardt Large area metallization pretreatment and surface activation system
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US20010009177A1 (en) * 1998-07-13 2001-07-26 Laizhong Luo Systems and methods for two-sided etch of a semiconductor substrate
US20020115025A1 (en) * 1998-11-13 2002-08-22 Mitsubishi Denki Kabushiki Kaisha Apparatus for removing photoresist film
US20040050682A1 (en) * 2000-12-27 2004-03-18 George Paskalov Activated water apparatus and methods and products
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US20030143822A1 (en) * 2001-06-14 2003-07-31 Takaharu Kondo Silicon-based film formation process, silicon-based film, semiconductor device, and silicon-based film formation system
US20030200984A1 (en) * 2002-04-29 2003-10-30 Christof Streck Highly efficient remote clean process for process chambers in deposition tools
US20050230242A1 (en) * 2004-04-14 2005-10-20 Darrin Leonhardt Large area metallization pretreatment and surface activation system

Cited By (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7819981B2 (en) 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20060086376A1 (en) * 2004-10-26 2006-04-27 Dimeo Frank Jr Novel methods for cleaning ion implanter components
US20090095713A1 (en) * 2004-10-26 2009-04-16 Advanced Technology Materials, Inc. Novel methods for cleaning ion implanter components
US20110305544A1 (en) * 2005-08-05 2011-12-15 Aihua Chen Method and apparatus for processing semiconductor work pieces
US9947562B2 (en) * 2005-08-05 2018-04-17 Applied Materials, Inc. Method and apparatus for processing semiconductor work pieces
US20110070721A1 (en) * 2006-04-14 2011-03-24 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US8603252B2 (en) 2006-04-26 2013-12-10 Advanced Technology Materials, Inc. Cleaning of semiconductor processing systems
US20090114156A1 (en) * 2007-10-11 2009-05-07 Nobutake Nodera Film formation apparatus for semiconductor process
US9991095B2 (en) 2008-02-11 2018-06-05 Entegris, Inc. Ion source cleaning in semiconductor processing systems
US20100273332A1 (en) * 2009-04-24 2010-10-28 Lam Research Corporation Method and apparatus for high aspect ratio dielectric etch
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN101904235A (en) * 2010-07-22 2010-12-08 济南兆龙科技发展有限公司 Special method for applying 98% of Longxin dazomet microgranule
US20130316095A1 (en) * 2010-12-22 2013-11-28 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Retaining device for substrates and method for coating a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
WO2014164300A1 (en) * 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US20150221479A1 (en) * 2014-01-31 2015-08-06 Applied Materials, Inc. Rps assisted rf plasma source for semiconductor processing
US9741545B2 (en) 2014-01-31 2017-08-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US10056233B2 (en) 2014-01-31 2018-08-21 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10892143B2 (en) 2016-10-21 2021-01-12 Applied Materials, Inc. Technique to prevent aluminum fluoride build up on the heater
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10522333B2 (en) * 2016-11-29 2019-12-31 Hitachi High-Technologies Corporation Vacuum processing apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
WO2018226273A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma strip tool with multiple gas injection zones
WO2018226275A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma strip tool with uniformity control
DE112018002924B4 (en) 2017-06-09 2022-10-06 Beijing E-Town Semiconductor Technology, Co., Ltd. PLASMA STRIPPER TOOL WITH UNIFORM CONTROL
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020068804A1 (en) * 2018-09-24 2020-04-02 Lehigh University High pressure spatial chemical vapor deposition system and related process
US11885018B2 (en) 2018-09-24 2024-01-30 Lehigh University High pressure spatial chemical vapor deposition system and related process
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210313151A1 (en) * 2020-04-03 2021-10-07 Tokyo Electron Limited Plasma processing apparatus
US11756769B2 (en) * 2020-04-03 2023-09-12 Tokyo Electron Limited Plasma processing apparatus
US20210320027A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Systems and methods for substrate support temperature control

Similar Documents

Publication Publication Date Title
US20060005856A1 (en) Reduction of reactive gas attack on substrate heater
US6223685B1 (en) Film to tie up loose fluorine in the chamber after a clean process
US6814087B2 (en) Accelerated plasma clean
US6449521B1 (en) Decontamination of a plasma reactor using a plasma after a chamber clean
US6614181B1 (en) UV radiation source for densification of CVD carbon-doped silicon oxide films
EP0892083B1 (en) Method and apparatus for seasoning a substrate processing chamber
US6830624B2 (en) Blocker plate by-pass for remote plasma clean
US5849092A (en) Process for chlorine trifluoride chamber cleaning
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
US6566278B1 (en) Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
EP1227171B1 (en) Method for heating a wafer
US6190233B1 (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6329297B1 (en) Dilute remote plasma clean
US5990000A (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
JP4176864B2 (en) Method for depositing a low dielectric constant Si-O-F film using silicon tetrafluoride / oxygen chemistry
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US20050103265A1 (en) Gas distribution showerhead featuring exhaust apertures
EP1019956A1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6524969B2 (en) High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
EP1156511A1 (en) Remote plasma CVD apparatus
US6083569A (en) Discharging a wafer after a plasma process for dielectric deposition
US6204174B1 (en) Method for high rate deposition of tungsten
US6932092B2 (en) Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
EP1060286A1 (en) Method of forming phosphosilicate glass having a high wet-etch rate

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, DAVID;HARVEY, KEITH;INGLE, NITIN;AND OTHERS;REEL/FRAME:015547/0124

Effective date: 20040629

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION