US10629682B2 - Cell architecture based on multi-gate vertical field effect transistor - Google Patents

Cell architecture based on multi-gate vertical field effect transistor Download PDF

Info

Publication number
US10629682B2
US10629682B2 US16/257,890 US201916257890A US10629682B2 US 10629682 B2 US10629682 B2 US 10629682B2 US 201916257890 A US201916257890 A US 201916257890A US 10629682 B2 US10629682 B2 US 10629682B2
Authority
US
United States
Prior art keywords
gate
fin
contact structure
cell architecture
gate portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/257,890
Other versions
US20190386103A1 (en
Inventor
Jungho DO
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US16/257,890 priority Critical patent/US10629682B2/en
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DO, JUNGHO
Priority to KR1020190041213A priority patent/KR102582771B1/en
Priority to CN201910516722.5A priority patent/CN110610987A/en
Publication of US20190386103A1 publication Critical patent/US20190386103A1/en
Priority to US16/819,823 priority patent/US10861967B2/en
Application granted granted Critical
Publication of US10629682B2 publication Critical patent/US10629682B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/2454
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/732Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type

Definitions

  • VFETs vertical field effect transistors
  • GR ars to the ratio between gate and vertical metal layer pitches. GR affects pin accessibility, routability, and/or cell density characteristics.
  • planar or horizontal field effect transistors e.g., a planar field effect transistor, a horizontal fin field effect transistor (horizontal FinFET), or a horizontal nanosheet field effect transistor (HNS FET)
  • planar field effect transistors generally provide a gear ratio of 1:1.
  • horizontal FinFET horizontal fin field effect transistor
  • HNS FET horizontal nanosheet field effect transistor
  • a standard cell architecture based on such planar or horizontal field effect transistors use a vertical metal routing layer in addition to a horizontal metal routing layer.
  • manufacturing complexity and production cost for the standard cell architecture increases.
  • a cell architecture that has an improved GR meaning a GR of m:n, where m and n are natural numbers and n is greater than m
  • GR GR of m:n, where m and n are natural numbers and n is greater than m
  • VFETs vertical field effect transistors
  • Some example embodiments of the inventive concepts disclosed herein relate to a cell architecture based on multi-gate vertical field effect transistors (VFETs) including two or more fins.
  • VFETs vertical field effect transistors
  • Some example embodiments of the inventive concepts disclosed herein relate to a standard cell having a gear ratio of m:n, where m and n are natural numbers and n is greater than m.
  • a cell architecture includes a VFET including a first fin and a second fin protruding from a substrate, the first fin and the second fin spaced apart from each other in a first direction and elongated in a second direction crossing the first direction, a gate including a first gate portion on a sidewall of the first fin, a second gate portion on a sidewall of the second fin, and a third gate portion connecting the first gate portion with the second gate portion, and a top S/D including a first top S/D portion at a top of the first fin and a second top S/D portion at a top of the second fin, a gate contact structure spaced apart from the first fin and the second fin in the second direction when viewed in a plan view and connected to the third gate portion, a top S/D contact structure connected to one of the first top S/D portion or the second top S/D portion, at least one of the top S/D contact structure or the gate contact structure serving as a first conductive routing layer in
  • a cell architecture includes a multi-gate vertical field effect transistor including a first fin and a second fin protruding from a substrate, the first fin and the second fin spaced apart from each other in a first direction and elongated in a second direction crossing the first direction, a bottom S/D on the substrate, the bottom S/D surrounding the first fin and the second fin, a gate including a first gate portion on a sidewall of the first fin, a second gate portion on a sidewall of the second fin, and a third gate portion connecting the first gate portion with the second gate portion, the third gate portion overlapping an end region of the bottom S/D and including an extension area extending away from the first and second fins in the second direction when viewed in a plan view, a top S/D including a first top S/D portion at a top of the first fin and a second top S/D portion at a top of the second fin, the bottom S/D in the substrate, a gate contact structure connected to the third gate portion
  • a standard inverter cell architecture includes a PMOS vertical field effect transistor (PMOS FET) including a first fin and a second fin protruding from a substrate, the first fin and the second fin spaced apart from each other in a first direction and elongated in a second direction crossing the first direction, a first gate including a first gate portion on a sidewall of the first fin and a second gate portion on a sidewall of the second fin, a first top S/D including a first top S/D portion at a top of the first fin and a second top S/D portion at a top of the second fin, an NMOS vertical field effect transistor (NMOS VFET) including a third fin and a fourth fin protruding from the substrate, the third fin and the fourth fin spaced apart from each other in the first direction and elongated in the second direction in the second direction, a second gate including a third gate portion on a sidewall of the third fin and a fourth gate portion on a side
  • PMOS FET PMOS vertical
  • FIGS. 1A and 1B shows gate-metal layouts of standard cell architectures having gear ratios of 2:3 and 3:4, respectively, according to some example embodiments of the present inventive concepts
  • FIG. 2 illustrate a equivalent circuit of an inverter X 1 standard cell, according to an example embodiment of the present inventive concepts
  • FIG. 3 is a layout of the inverter X 1 standard cell of FIG. 2 having a gear ratio of 2:3, according to an example embodiment of the present inventive concepts;
  • FIG. 4 is a cross-section view of the inverter of FIG. 3 taken along line IV-IV′, according to an example embodiment of the present inventive concepts
  • FIG. 5 is a cross-section view of the inverter of FIG. 3 taken along line V-V′, according to an example embodiment of the present inventive concepts
  • FIGS. 6A-6G are layouts to explain a method of manufacturing the inverter X 1 standard cell of FIGS. 3-5 , according to an example embodiment of the present inventive concepts;
  • FIG. 7A is a first modified layout of the inverter X 1 standard cell of FIG. 3 , according to an example embodiment of the present inventive concepts
  • FIG. 7B is a cross-sectional view of the modified layout of FIG. 7A taken along line VIIB-VIIB′ according to an example embodiment of the present inventive concepts.
  • FIG. 8 is a second modified layout of the inverter X 1 standard cell of FIG. 3 , according to an example embodiment of the present inventive concepts
  • FIG. 9A is a third modified layout of the inverter X 1 standard cell of FIG. 3 , according to an example embodiment of the present inventive concepts
  • FIG. 9B is a cross-sectional view of the modified layout of FIG. 9A taken along line IXB-IXB′, according to an example embodiment of the present inventive concepts.
  • FIG. 10A is a fourth modified layout of the inverter X 1 standard cell of FIG. 3 , according to an example embodiment of the present inventive concepts.
  • FIG. 10B is a cross-sectional view of the modified layout of FIG. 10A taken along line XB-XB′, according to an example embodiment of the present inventive concepts.
  • the term “and/or” includes any and all combinations of one or more of the associated listed items. Expressions such as “at least one of” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list. Thus, for example, both “at least one of A, B, or C” and “A, B, and/or C” means either A, B, C or any combination thereof. (Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list.)
  • FIGS. 1A and 1B shows gate-metal layouts of standard cell architectures having gear ratios of 2:3 and 3:4, respectively, according to some example embodiments of the present inventive concepts.
  • 9 metal layer routing patterns MP are disposed to correspond to 6 gate patterns GP in a unit area.
  • the gate patterns GP may include a first gate portion GP 1 , a second gate portion GP 2 , a third gate portion GP 3 , and a fourth gate portion GP 4 , as illustrated in FIG. 3 , and may be collectively referred to as gate portions.
  • a gear ration between the GP to MP pitches is 2:3.
  • 6 metal layer routing patterns MP are disposed to correspond to 8 gate patterns GP in a unit area.
  • a gear ration between the GP to MP pitches is 3:4.
  • FIGS. 1A and 1B illustrate examples having 2:3 and 3:4 gear ratios, example embodiments of the present inventive concepts are not limited thereto. According to some example embodiments, gear ratios of 1:2 or other may be implemented.
  • FIG. 2 illustrate an equivalent circuit of an inverter X 1 standard cell, according to an example embodiment of the present inventive concepts.
  • the inverter 1 X standard cell includes an NMOS field effect transistor (NMOS FET) and a PMOS field effect transistor (PMOS FET). Both gates of the PMOS FET and NMOS FET are commonly connected to an input node Vin, one source/drain (S/D) region of the PMOS FET is connected to a power supply Vdd, the other S/D region of the PMOS FET and one S/D region of NMOS FET are connected to each other and are commonly connected to an output node Vout, the other S/D region of the NMOS FET is connected to ground.
  • the NMOS FET and the PMOS FET of the inverter X 1 standard cell are implemented by multi-gate vertical field effect transistors (VFETs), as described in detail herein below.
  • VFETs multi-gate vertical field effect transistors
  • FIG. 3 is a layout of the inverter X 1 standard cell of FIG. 2 having a gear ratio of 2:3, according to an example embodiment of the present inventive concepts.
  • the upper half corresponds to the PMOS FET of FIG. 2 and the lower half corresponds to the NMOS FET of FIG. 2 .
  • a plurality of fins e.g., a first fin F 1 , a second fin F 2 , a third fin F 3 , and a fourth fin F 4 .
  • the fins F 1 , F 2 , F 3 , and F 4 are structures protruding from a substrate SUB.
  • the fins F 1 , F 2 , F 3 , and F 4 may be provided by growing epitaxial structures at desired positions on the semiconductor substrate SUB.
  • the first fin F 1 and the second fin F 2 protrudes from the substrate SUB, are spaced apart from each other in a first direction D 1 , and are elongated in the second direction D 2 crossing (or alternatively, perpendicular to) the first direction D 1 .
  • the first fin F 1 and a second fin F 2 collectively function as a channel of the PMOS FET.
  • the third fin F 3 and the fourth fin F 4 protrudes from the substrate SUB, are spaced apart from each other in the first direction D 1 , and are elongated in the second direction D 2 .
  • the third fin F 3 and the fourth fin F 4 collectively function as a channel of the NMOS FET. As illustrated, the first fin F 1 and the third fin F 3 are spaced apart from each other in the second direction D 2 , and the second fin F 2 and the fourth fin F 4 are spaced apart from each other in the second direction D 2 .
  • a first bottom S/D region RX 1 and the second bottom S/D region RX 2 are provided on the semiconductor substrate SUB.
  • the bottom S/D regions RX 1 and RX 2 may be doped differently.
  • the bottom S/D region RX 1 in the PMOS FET area may be doped by a p-type dopants (e.g., Boron), and the bottom S/D region RX 2 in the NMOS FET area may be doped by an n-type dopants (e.g., Arsenic or Phosphorus).
  • the first bottom S/D region RX 1 (e.g., a layout pattern of the first bottom S/D region RX 1 ) encloses the first fin F 1 , the second fin F 2 , a substantial or most portion of the first gate portion GP 1 , and a substantial or most portion of the second gate portion GP 2 when viewed in a plan view.
  • the second bottom S/D region RX 2 (e.g., a layout pattern of the second bottom S/D region RX 2 ) encloses the third fin F 3 , the fourth fin F 4 , a substantial or most portion of the third gate portion GP 3 , and a substantial or most portion of the fourth gate portion GP 4 when viewed in a plan view.
  • the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 may be formed on sidewalls of the fins F 1 , F 2 , F 3 , and F 4 , respectively.
  • the gate patterns GP may be formed to surround sidewall of the fins F 1 , F 2 , F 3 , and F 4 , respectively.
  • the first gate pattern GP 1 , a second gate pattern GP 2 , a third gate pattern GP 3 , and a fourth gate patter GP 4 may be collectively referred to as gate patterns GP.
  • the gate patterns GP each include a gate insulating layer (not shown) and a work function metal layer (not shown) on the gate insulating layer (not shown).
  • the gate patterns GP may further include a capping metal layer (not shown) on the work function metal layer.
  • the gate connecting structure PB may be provided to connect the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 to each other.
  • the gate connecting structure PB and the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 may form an integral structure, which includes respective portions corresponding to the gate connecting structure PB and the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 .
  • a first top S/D region (not shown), a second top S/D region (not shown), a third top S/D region (not shown), a fourth top S/D region (not shown) may be provided on the first, second, third, and fourth fins F 1 , F 2 , F 3 , and F 4 , respectively.
  • a first top S/D contact structure CA 1 may be provided to cover both a portion of the first top S/D region on the first fin F 1 and a portion of the second top S/D region on the second fin F 2 , and to be connected to both the first top S/D region on the first fin F 1 and the second top S/D region on the second fin F 2 .
  • a second top S/D contact structure CA 2 may be provided to cover both a portion of the third top S/D region on the third fin F 3 and a portion of the fourth top S/D region on the fourth fin F 4 , and to be connected to both the third top S/D region on the third fin F 3 , and on the fourth top S/D region on the fourth fin F 3 .
  • the first top S/D contact structure CA 1 may be connected to the first top S/D region and the second top S/D region.
  • the second top S/D contact structure CA 2 may be connected to the third top S/D region and the fourth top S/D region.
  • the first top S/D contact structure CA 1 and the second top S/D contact structure CA 2 may be elongated in the first direction D 1 (e.g., a direction along which the first fin F 1 and the second fin F 2 (as well as the third fin F 3 and the fourth fin F 4 ) are arranged).
  • the first top S/D contact structure CA 1 and the second top S/D region CA 1 may be collectively referred to as top S/D contact structures CA.
  • first top S/D contact structure CA 1 and the second top S/D contact structure CA 2 may function as a horizontal conductive routing layer (or alternatively, a first conductive routing layer running in the first direction D 1 ) of the layout.
  • a gate contact structure CB may be provided on the gate connecting structure PB such that the gate contact structure CB is connected to the gate connecting structure PB.
  • the gate contact structure CB is provided on the gate connecting structure PB at an area between the first fin F 1 and the third fin in the second direction D 2 .
  • the gate contact structure CB may be spaced apart from the first, second, third, and fourth fin F 1 , F 2 , F 3 , and F 4 when viewed in a plan view, while being connected to the gate connecting structure PB.
  • a first bottom S/D contact structure CR 1 may be provided on the first bottom S/D region RX 1 to contact the first bottom S/D region RX 1 .
  • a second bottom S/D contact structure CA 2 may be provided on the second bottom S/D region RX 2 to contact the second bottom S/D region RX 2 .
  • the first bottom S/D contact structure CR 1 and the second bottom S/D contact structure CR 2 may be collectively referred to as bottom S/D contact structures CR.
  • All or some of a plurality of metal patterns MP may be connected to corresponding ones of the gate contact structure CB and the first and second top S/D contact structures CA 1 and CA 2 through corresponding ones of a plurality of vias V 0 , respectively.
  • the plurality of metal patterns MP may be elongated in the second direction D 2 (e.g., an elongation direction of the fins F 1 , F 2 , F 3 , and F 4 ).
  • the plurality of metal patterns MP may function as a vertical conductive routing layer (or alternatively, a second conductive routing layer running in the second direction D 2 ) of the layout.
  • an inverter X 1 standard cell including the PMOS FET and the NMOS FET each having a vertical channel implemented by two fins may be provided.
  • an inverter X 1 standard cell including multi-gate NMOS VFET and multi-gate PMOS VFET may be provided.
  • FIG. 3 illustrates an example in which each of the respective channels are provided to include two fins, example embodiments of the present inventive concepts are not limited thereto.
  • a vertical channel of a VFET may be implemented by three or more fins.
  • FIG. 4 is a cross-section view of the inverter of FIG. 3 taken along line IV-IV′, according to an example embodiment of the present inventive concepts.
  • FIG. 5 is a cross-section view of the inverter of FIG. 3 taken along line V-V′, according to an example embodiment of the present inventive concepts.
  • the bottom S/D regions RX are surrounded by (or are isolated from each other by), for example, shallow trench isolation regions STI on the substrate SUB.
  • the first gate pattern GP 1 surrounds a sidewall of the first fin F 1 .
  • the third gate pattern GP 3 surrounds a sidewall of the third fin F 3 .
  • Lower surfaces of the first gate pattern GP 1 , the third gate pattern GP 3 , and the gate connecting structure PB are insulated from the substrate SUB by a bottom spacer layer S 1 .
  • Upper surfaces of the first gate pattern GP 1 and the third gate pattern GP 3 are insulated by an upper spacer layer S 2 .
  • interlayer dielectric layers ILD 1 , ILD 2 , ILD 3 , and ILD 4 may be formed to provide proper insulation between conductive layers.
  • the interlayer dielectric layers ILD 1 , ILD 2 , ILD 3 , and ILD 4 may include a nitride material or an oxide material depending on desired etching and/or insulation characteristics.
  • FIGS. 6A-6G are layouts to explain a method of manufacturing the inverter X 1 standard cell of FIGS. 3-5 , according to an example embodiment of the present inventive concepts.
  • the plurality of fins (e.g., a first fin F 1 , a second fin F 2 , a third fin F 3 , and a fourth fin F 4 ) are formed on the substrate SUB.
  • the fins F 1 , F 2 , F 3 , and F 4 are structures protruding from the semiconductor substrate SUB.
  • the fins F 1 , F 2 , F 3 , and F 4 may be formed using an epitaxial growth process on the substrate SUB.
  • the first fin F 1 and the second fin F 2 may be formed to be spaced apart in the first direction D 1 . Further, the first fin F 1 and the third fin F 3 (as well as the second fin F 2 and the fourth fin F 4 ) may be formed to be spaced apart in the second direction D 2 crossing (or alternatively, perpendicular to) the first direction D 1 . Further, the fins F 1 , F 2 , F 3 , and F may be formed to be elongated in the second direction D 2 .
  • the substrate SUB may be a bulk silicon.
  • the substrate SUB may be a silicon substrate, or may include a material other than silicon, including but not limited to Ge, SiGe, SiC, GeP, GeN, InGaAs, GaAs, InSb, InAs, GaSb, and InP.
  • the semiconductor substrate SUB may be a portion of a bulk silicon wafer.
  • the substrate SUB may be a silicon-on-insulator (SOI).
  • SOI Silicon-On-Insulator
  • the substrate SUB may refer to a semiconductor layer epitaxially grown on a base substrate.
  • the first bottom S/D region RX 1 and the second bottom S/D region RX 2 are provided on the semiconductor substrate SUB.
  • the Bottom S/D regions RX 1 and RX 2 may be formed by etching the substrate SUB to a certain depth using the fins F 1 , F 2 , F 3 , F 4 and a hard mask pattern (not shown) thereon (together with an additional mask pattern covering an entire area of the substrate SUB except for areas at which the first and second bottom S/D regions RX 1 and RX 2 are to be defined) as an etch mask.
  • a semiconductor material layer may be epitaxially grown in recessed areas defined by the etching, and doped with different dopants to form the first bottom S/D region RX 1 for the PMOS FET and the second bottom S/D region RX 2 for the NMOS FET, respectively.
  • the first and second bottom S/D regions RX 1 and RX 2 may be doped differently by using an additional mask as an implant mask.
  • the first bottom S/D region RX 1 in the PMOS FET area may be doped by a p-type dopants (e.g., Boron), and the second bottom S/D region RX 2 in the NMOS FET area may be doped by an n-type dopants (e.g., Arsenic or Phosphorus).
  • a p-type dopants e.g., Boron
  • an n-type dopants e.g., Arsenic or Phosphorus
  • the gate patterns GP may be formed on sidewalls of the fins F 1 , F 2 , F 3 , and F 4 , respectively.
  • the gate patterns GP may be formed to surround sidewall of the fins F 1 , F 2 , F 3 , and F 4 , respectively.
  • the gate patterns GP each include a gate insulating layer (not shown) and a work function metal layer (not shown) on the gate insulating layer
  • the gate patterns GP may further include a capping metal layer (not shown) on the work function metal layer.
  • the gate insulating layer may include a high-k dielectric material.
  • the work function metal layer may include TiN.
  • the work function metal layer may include titanium nitride (TiN) or titanium carbide (TiC).
  • TiN titanium nitride
  • TiC titanium carbide
  • a work function of the work function metal layer for a VFET may be determined based on material characteristics as well as a thickness of the work function metal layer.
  • the work function metal layer may be implemented to have different thicknesses depending on locations.
  • the capping metal layer may be subsequently formed on the work function metal layer.
  • the capping metal layer may include at least one of TiC, TiAlC, or TiAl
  • the gate connecting structure PB is formed on the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 and connected thereto.
  • the gate connecting structure PB is a structure connecting the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 to each other.
  • the gate connecting structure PB and the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 may be an integral structure, which includes respective portions corresponding to the gate connecting structure PB and the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 .
  • the gate connecting structure PB and the first gate pattern GP 1 , the second gate pattern GP 2 , the third gate pattern GP 3 , and the fourth gate pattern GP 4 may include a same material.
  • the first top S/D region (not shown), the second top S/D region (not shown), the third top S/D region (not shown), the fourth top S/D region (not shown) may be provided on the first, second, third, and fourth fins F 1 , F 2 , F 3 , and F 4 , respectively
  • the first, second, third, and fourth top S/D regions may be formed by epitaxially growing p+ or n+ doped semiconductor layers on corresponding ones of the first, second, third, and fourth fins F 1 , F 2 , F 3 , and F 4 , respectively.
  • example embodiments of the present inventive concepts are not limited thereto.
  • the first, second, third, and fourth top S/D regions may be formed by implanting desired dopants onto the first, second, third, and fourth fins F 1 , F 2 , F 3 , and F 4 , respectively.
  • the first top S/D contact structure CA 1 is formed to cover both the first top S/D region on the first fin F 1 and the second top S/D region on the second fin F 2 , and to be electrically connected to both the first top S/D region on the first fin F 1 and the second top S/D region on the second fin F 2 .
  • the second top S/D contact structure CA 2 may be provided to cover both the third top S/D region on the third fin F 3 and the fourth top S/D region on the fourth fin F 4 , and to be electrically connected to both the third top S/D region on the third fin F 3 and the fourth top S/D region on the fourth fin F 4 .
  • the first top S/D contact structure CA 1 and the second top S/D contact structure CA 2 may include a conductive material such as metal.
  • the first top S/D contact structure CA 1 and the second top S/D contact structure CA 2 may function as a horizontal conductive routing layer of the layout.
  • the first top S/D contact structure CA 1 and the second top S/D contact structure CA 2 may be elongated in the first direction D 2 , and function as a first conductive routing layer running in the first direction D 1 (e.g., a direction along which the first fin F 1 and the second fin F 2 (or the third fin F 3 and the fourth fin F 4 are arranged).
  • the gate contact structure CB may be formed on the gate connecting structure PB such that the gate contact structure CB is electrically connected to the gate connecting structure PB. According to the example embodiment, the gate contact structure CB is formed on the gate connecting structure PB at an area between the first fin F 1 and the third fin in the second direction D 2 . The gate contact structure CB may be formed to be spaced apart from the first, second, third, and fourth fin F 1 , F 2 , F 3 , and F 4 when viewed in a plan view, while being connected to the gate connecting structure PB.
  • the gate contact structure CB may include a conductive material such as metal.
  • the first bottom S/D contact structure CR 1 may be formed on the first bottom S/D region RX 1 to be electrically connected to the first bottom S/D region RX 1 .
  • the second bottom S/D contact structure CA 2 may be formed on the second bottom S/D region RX 2 to be electrically connected to the second bottom S/D region RX 2 .
  • the first bottom S/D contact structure CR 1 and the second bottom S/D contact structure CR 2 may include a conductive material such as metal.
  • the first top S/D contact structure CA 1 , the second top S/D contact structure CA 2 , the gate contact structure CB, the first bottom S/D contact structure CR 1 , and the second bottom S/D contact structure CR 2 may include a same material.
  • the first top S/D contact structure CA 1 , the second top S/D contact structure CA 2 , the gate contact structure CB, the first bottom S/D contact structure CR 1 , and the second bottom S/D contact structure CR 2 may be simultaneously formed in a same process. As illustrated in FIGS.
  • top surfaces of the first top S/D contact structure CA 1 , the second top S/D contact structure CA 2 , the gate contact structure CB, the first bottom S/D contact structure CR 1 , and the second bottom S/D contact structure CR 2 may be formed at a substantially same level with respect to (or alternatively, from) a top surface of the substrate SUB.
  • the plurality of vias V 0 are formed on the first top S/D contact structure CA 1 , the second top S/D contact structure CA 2 , and the gate contact structure CB, respectively.
  • the plurality of metal patterns MP are formed to correspond to the plurality of vias V 0 .
  • at least some of the plurality of metal patterns MP may be formed to be elongated in a direction (e.g., the second direction D 2 ) along which the fins F 1 , F 2 , F 3 , and F 4 are elongated so that the at least some of the plurality of metal patterns MP are electrically connected to corresponding ones of the plurality of vias V 0 .
  • the at least some of the plurality of metal patterns MP may be connected to corresponding ones of the gate contact structure CB and the first and second top S/D contact structures CA 1 and CA 2 through the plurality of vias V 0 .
  • the plurality of metal patterns MP functions as a vertical conductive routing layer of the layout.
  • the plurality of metal patterns MP are elongated in the second direction D 2 and functions as a second conductive routing layer in the second direction D 2 (e.g., a direction along which the first, second, third, and fourth fins F 1 , F 2 , F 3 , and F 4 are elongated).
  • an inverter standard cell including the PMOS FET and the NMOS FET each having a vertical channel implemented by two fins may be provided.
  • an inverter standard cell including multi-gate NMOS VFET and multi-gate PMOS VFET may be provided.
  • an inverter standard cell having a gear ratio of 2:3 may be provided.
  • gear ratios e.g., 1:2 or 3:4 may be implemented.
  • a fin vertically protruding from a substrate functions as a channel and a structure surrounding a sidewall of the fin functions as a gate.
  • the top S/D contact structure connected to the top S/D of the VFET and the bottom S/D contact structure connected to the bottom S/D of the VFET are less affected by an area occupied by the gate (meaning more design freedom or layout tolerance) compared to conventional planar or horizontal field effect transistors.
  • the multi-gate VFET of the example embodiment two or more fins function as a channel of the VFET, and gate patterns surrounding respective fins functions as a gate and are connected to each other using a gate connecting pattern therebetween. Accordingly, the multi-gate VFET may provide a larger area for each of a gate contact structure, a top S/D contact structure, and/or a bottom S/D contact structure than a single-gate VFET or conventional planar or horizontal field effect transistors
  • a standard cell having a gear ratio of m:n (where m and n are natural numbers, and n is greater than m) can be implemented without using two crossing metal routing layers (e.g., a lower metal routing layer and an upper metal routing layer that crosses the lower vertical metal routing layer and is connected to the lower metal routing layer thorough a via).
  • a standard cell having a gear ratio of m:n (where m and n are natural numbers, and n is greater than m) can be implemented using a single metal routing layer.
  • FIG. 7A is a first modified layout of the inverter X 1 standard cell of FIG. 3 , according to an example embodiment of the present inventive concepts.
  • FIG. 7B is a cross-sectional view of the modified layout of FIG. 7A taken along line VIIB-VIIB′, according to an example embodiment of the present inventive concepts.
  • the gate connecting structure PB is provided at an area between the PMOS VFET and the NMOS VFET and connecting the first, second, third, and fourth gate portions GP 1 , GP 2 , GP 3 , and GP 4 to each other.
  • the gate connection layer PB has a shape enclosing end portions of each of the first, second, third, and fourth fins F 1 , F 2 , F 3 , and F 4 , which face each other in at least one of the first direction D 1 , the second direction D 2 , or a diagonal direction between the first direction D 1 and the second direction D 2 .
  • the standard cell layout may be modified such that the gate connecting structure PB includes an extended portion EP, which extends beyond a side of the bottom S/D in the first direction D 1 .
  • At least one side of the gate connecting structure PB may include an extension portion in the first direction D 1 .
  • the extension portion (or extension area) may lie beyond an imaginary line IL extending from a side of the first bottom S/D region RX 1 (or the second bottom S/D region RX 2 ) in the second direction D 2 .
  • the extension portion (or extension area) may lie beyond the imaginary line IL connecting a side of the first bottom S/D region RX 1 to a side of the third bottom S/D region RX 2 in the second direction D 2 .
  • a landing space for the gate contact structure CB to land on the gate connecting structure PB is enlarged due to the extended portion EP of the gate connecting structure PB.
  • the via V 0 can be securely connected to the gate contact structure CB, and thus a uniform metal pitch may be implemented.
  • FIG. 8 is a second modified layout of the inverter X 1 standard cell of FIG. 3 , according to an example embodiment of the present inventive concepts.
  • the gate connecting structure PB corresponding to the gate contact structure CB may be formed such that a side of the gate connection structure CB is recessed from (e.g., does not lie beyond) the imaginary line IL (which is a line connecting a side of the first bottom S/D region RX 1 to a side of the third bottom S/D region RX 2 in the second direction D 2 in the first direction D 1 when viewed in a plan view.
  • the imaginary line IL which is a line connecting a side of the first bottom S/D region RX 1 to a side of the third bottom S/D region RX 2 in the second direction D 2 in the first direction D 1 when viewed in a plan view.
  • a first lateral side of the gate connecting structure PB may lie inwardly with respect to the imaginary line IL in the first direction D 1 such that among two opposite sides of the gate connecting structure PB facing each other in the first direction, one side of the gate connecting structure PB may be laterally between two opposing sides of the first bottom S/D region RX 1 (or two opposing sides of the second bottom S/D region RX 2 ) in the first direction when viewed in a plan view.
  • FIG. 9A is a third modified layout of the inverter X 1 standard cell of FIG. 3 , according to an example embodiment of the present inventive concepts.
  • FIG. 9B is a cross-sectional view of the modified layout of FIG. 9A taken along line IXB-IXB′, according to an example embodiment of the present inventive concepts.
  • the standard cell layout may be modified such that the gate contact structure CB is extended in the first direction D 1 .
  • the gate contact structure CB as shown in FIG. 7A may be elongated in the first direction D 1 toward a center of the gate connecting structure PB.
  • the modified layout illustrated in FIG. 9A provides an increased landing area for the via V 0 , and thus helps implement a more uniform metal pitch. Accordingly, a semiconductor device employing such layout may exhibit improved reliability.
  • FIG. 10A is a fourth modified layout of the inverter X 1 standard cell of FIG. 3 , according to an example embodiment of the present inventive concepts.
  • FIG. 10B is a cross-sectional view of the modified layout of FIG. 10A taken along line XB-XB′, according to an example embodiment of the present inventive concepts.
  • the standard cell layout may be modified such that an additional top S/D contact structure CA′ is provided and merged with the gate contact structure CB illustrated in FIG. 9A .
  • the additional top S/D contact structure CA′ is formed simultaneously with the top S/D contact structures for the top S/D regions.
  • the additional top S/D contact structure CA′ may be formed to overlap the gate contact structure CB while not being connected to any of the top S/D regions.
  • the gate contact structure CB and the additional top S/D contact structure overlap each other and provides an expanded space (which is desired for implementing a uniform metal pitch) for the via V 0 to land on the underlying gate contact structure CB.
  • the modified layout illustrated in FIGS. 10A and 10B provides an increased landing area for the via V 0 , and thus helps implement a more uniform metal pitch. Accordingly, a semiconductor device employing such layout may exhibit improved reliability
  • a sufficient space for a via desired for implementing a uniform metal pitch can be provided.
  • a standard cell having a gear ratio of m:n (where m and n are natural numbers, and n is greater than m) can be implemented

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A cell architecture is provided. A cell architecture including a vertical field effect transistor (VFET) having at least two fins serving as a vertical channel, a gate including a first gate portion surrounding the first fin, a second gate portion surrounding the second fin, and a third gate portion providing connection therebetween, and a top source/drain (S/D) including a first top S/D portion on the first fin and a second top S/D portion on the second fin, a gate contact structure connected to the third gate portion, a top S/D contact structure connected to one of the first top S/D portion or the second top S/D portion and serving as a horizontal conductive routing layer; and metal patterns on the gate contact structure and the top S/D contact structure and connected thereto through vias, and serving as a vertical conductive routing layer may be provided.

Description

CROSS-REFERENCE TO RELATED APPLICATION
This non-provisional application claims priority from U.S. Provisional Patent Application No. 62/685,340 filed on Jun. 15, 2018 in the U.S. Patent and Trademark Office, and all the benefits accruing therefrom under 35 U.S.C. § 119, the contents of which are incorporated herein by reference in its entirety.
BACKGROUND 1. Field
Some example embodiments of the inventive concepts disclosed herein relate to cell architectures based on vertical field effect transistors (VFETs) including two or more fins, and/or manufacturing methods thereof.
2. Description of the Related Art
In the semiconductor industry, the term “gear ratio” (GR) refers to the ratio between gate and vertical metal layer pitches. GR affects pin accessibility, routability, and/or cell density characteristics.
Conventional planar or horizontal field effect transistors (e.g., a planar field effect transistor, a horizontal fin field effect transistor (horizontal FinFET), or a horizontal nanosheet field effect transistor (HNS FET)) generally provide a gear ratio of 1:1. To improve GR, a standard cell architecture based on such planar or horizontal field effect transistors use a vertical metal routing layer in addition to a horizontal metal routing layer. Thus, manufacturing complexity and production cost for the standard cell architecture increases.
A cell architecture that has an improved GR (meaning a GR of m:n, where m and n are natural numbers and n is greater than m) and can be implemented without using an additional metal routing layer is highly desired.
SUMMARY
Some example embodiments of the inventive concepts disclosed herein relate to a standard cell implanted based on one or more multi-gate vertical field effect transistors (VFETs).
Some example embodiments of the inventive concepts disclosed herein relate to a cell architecture based on multi-gate vertical field effect transistors (VFETs) including two or more fins.
Some example embodiments of the inventive concepts disclosed herein relate to a standard cell having a gear ratio of m:n, where m and n are natural numbers and n is greater than m.
According to an example embodiment of the inventive concepts, a cell architecture includes a VFET including a first fin and a second fin protruding from a substrate, the first fin and the second fin spaced apart from each other in a first direction and elongated in a second direction crossing the first direction, a gate including a first gate portion on a sidewall of the first fin, a second gate portion on a sidewall of the second fin, and a third gate portion connecting the first gate portion with the second gate portion, and a top S/D including a first top S/D portion at a top of the first fin and a second top S/D portion at a top of the second fin, a gate contact structure spaced apart from the first fin and the second fin in the second direction when viewed in a plan view and connected to the third gate portion, a top S/D contact structure connected to one of the first top S/D portion or the second top S/D portion, at least one of the top S/D contact structure or the gate contact structure serving as a first conductive routing layer in the first direction, and metal patterns on the gate contact structure and the top S/D contact structure, the metal patterns configured to be connected to at least one of the gate contact structure or the top S/D contact structure through vias, respectively, the metal patterns serving as a second conductive routing layer in the second direction
According to an example embodiment of the inventive concepts, a cell architecture includes a multi-gate vertical field effect transistor including a first fin and a second fin protruding from a substrate, the first fin and the second fin spaced apart from each other in a first direction and elongated in a second direction crossing the first direction, a bottom S/D on the substrate, the bottom S/D surrounding the first fin and the second fin, a gate including a first gate portion on a sidewall of the first fin, a second gate portion on a sidewall of the second fin, and a third gate portion connecting the first gate portion with the second gate portion, the third gate portion overlapping an end region of the bottom S/D and including an extension area extending away from the first and second fins in the second direction when viewed in a plan view, a top S/D including a first top S/D portion at a top of the first fin and a second top S/D portion at a top of the second fin, the bottom S/D in the substrate, a gate contact structure connected to the third gate portion at the extension area of the third gate portion, a top S/D contact structure connected to one of the first top S/D portion or the second top S/D portion, at least one of the gate contact structure or the top S/D contact structure serving as a first conductive routing layer in the first direction, and metal patterns on the gate contact structure and the top S/D contact structure, the metal patterns configured to be connected to at least one of the gate contact structure or the top S/D contact structure through vias, the metal patterns serving as a second conductive routing layer, in the second direction.
According to an example embodiment of the inventive concepts, a standard inverter cell architecture includes a PMOS vertical field effect transistor (PMOS FET) including a first fin and a second fin protruding from a substrate, the first fin and the second fin spaced apart from each other in a first direction and elongated in a second direction crossing the first direction, a first gate including a first gate portion on a sidewall of the first fin and a second gate portion on a sidewall of the second fin, a first top S/D including a first top S/D portion at a top of the first fin and a second top S/D portion at a top of the second fin, an NMOS vertical field effect transistor (NMOS VFET) including a third fin and a fourth fin protruding from the substrate, the third fin and the fourth fin spaced apart from each other in the first direction and elongated in the second direction in the second direction, a second gate including a third gate portion on a sidewall of the third fin and a fourth gate portion on a sidewall of the fourth fin, and a second top S/D including a third top S/D portion at a top of the third fin and a fourth top S/D portion at a top of the fourth fin, a gate connecting structure at an area between the PMOS VFET and the NMOS VFET and connecting the first, second, third, and fourth gate portions to each other, the gate connecting structure having a shape enclosing four ends of the first, second, third, and fourth fins, which face each other in at least one of the first direction, the second direction, or a third direction diagonal with respect to the first direction and the second direction, top S/D contact structures connected to the second top S/D contact portion and the fourth top S/D contact portion, respectively, a gate contact structure connected to the gate connecting structure and laterally away from the top S/D contact structures in the first direction, at least one of the top S/D contact structures or the gate contact structure serving as a first conductive routing layer in the first direction, and metal patterns configured to be connected to at least one of the gate contact structure, the second top S/D portion, or the fourth top S/D portion through vias, the metal patterns serving as a second conductive routing layer in the second direction.
BRIEF DESCRIPTION OF THE DRAWINGS
The above and other objects, features and effects of inventive concepts will become more apparent to those of ordinary skill in the art by describing in detail example embodiments thereof with reference to the accompanying drawings, in which:
FIGS. 1A and 1B shows gate-metal layouts of standard cell architectures having gear ratios of 2:3 and 3:4, respectively, according to some example embodiments of the present inventive concepts;
FIG. 2 illustrate a equivalent circuit of an inverter X1 standard cell, according to an example embodiment of the present inventive concepts;
FIG. 3 is a layout of the inverter X1 standard cell of FIG. 2 having a gear ratio of 2:3, according to an example embodiment of the present inventive concepts;
FIG. 4 is a cross-section view of the inverter of FIG. 3 taken along line IV-IV′, according to an example embodiment of the present inventive concepts;
FIG. 5 is a cross-section view of the inverter of FIG. 3 taken along line V-V′, according to an example embodiment of the present inventive concepts;
FIGS. 6A-6G are layouts to explain a method of manufacturing the inverter X1 standard cell of FIGS. 3-5, according to an example embodiment of the present inventive concepts;
FIG. 7A is a first modified layout of the inverter X1 standard cell of FIG. 3, according to an example embodiment of the present inventive concepts;
FIG. 7B is a cross-sectional view of the modified layout of FIG. 7A taken along line VIIB-VIIB′ according to an example embodiment of the present inventive concepts.
FIG. 8 is a second modified layout of the inverter X1 standard cell of FIG. 3, according to an example embodiment of the present inventive concepts;
FIG. 9A is a third modified layout of the inverter X1 standard cell of FIG. 3, according to an example embodiment of the present inventive concepts;
FIG. 9B is a cross-sectional view of the modified layout of FIG. 9A taken along line IXB-IXB′, according to an example embodiment of the present inventive concepts.
FIG. 10A is a fourth modified layout of the inverter X1 standard cell of FIG. 3, according to an example embodiment of the present inventive concepts; and
FIG. 10B is a cross-sectional view of the modified layout of FIG. 10A taken along line XB-XB′, according to an example embodiment of the present inventive concepts.
DETAILED DESCRIPTION
Various example embodiments will be described more fully hereinafter with reference to the accompanying drawings, in which some example embodiments are shown. The present inventive concepts may, however, be embodied in many different forms and should not be construed as limited to the example embodiments set forth herein. Rather, these example embodiments are merely provided so that this disclosure will be thorough and complete, and will fully convey the scope of example embodiments to those skilled in the art. In the drawings, the sizes and relative sizes of the various layers and regions may have been exaggerated for clarity.
It will be understood that when an element or layer is referred to as being “on,” “connected to” or “coupled to” another element or layer, it can be directly on, connected or coupled to the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to” or “directly coupled to” another element or layer, there are no intervening elements or layers present.
As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. Expressions such as “at least one of” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list. Thus, for example, both “at least one of A, B, or C” and “A, B, and/or C” means either A, B, C or any combination thereof. (Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list.)
Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, such as those defined in commonly-used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
Hereinafter, some example embodiments of the present inventive concepts will be explained with reference to the accompanying drawings.
FIGS. 1A and 1B shows gate-metal layouts of standard cell architectures having gear ratios of 2:3 and 3:4, respectively, according to some example embodiments of the present inventive concepts.
Referring to FIG. 1A, 9 metal layer routing patterns MP are disposed to correspond to 6 gate patterns GP in a unit area. The gate patterns GP may include a first gate portion GP1, a second gate portion GP2, a third gate portion GP3, and a fourth gate portion GP4, as illustrated in FIG. 3, and may be collectively referred to as gate portions. Thus, a gear ration between the GP to MP pitches is 2:3. Referring to FIG. 1B, 6 metal layer routing patterns MP are disposed to correspond to 8 gate patterns GP in a unit area. Thus, a gear ration between the GP to MP pitches is 3:4. Although FIGS. 1A and 1B illustrate examples having 2:3 and 3:4 gear ratios, example embodiments of the present inventive concepts are not limited thereto. According to some example embodiments, gear ratios of 1:2 or other may be implemented.
FIG. 2 illustrate an equivalent circuit of an inverter X1 standard cell, according to an example embodiment of the present inventive concepts.
Referring to FIG. 2, the inverter 1X standard cell includes an NMOS field effect transistor (NMOS FET) and a PMOS field effect transistor (PMOS FET). Both gates of the PMOS FET and NMOS FET are commonly connected to an input node Vin, one source/drain (S/D) region of the PMOS FET is connected to a power supply Vdd, the other S/D region of the PMOS FET and one S/D region of NMOS FET are connected to each other and are commonly connected to an output node Vout, the other S/D region of the NMOS FET is connected to ground. According to some example embodiments of the present inventive concepts, the NMOS FET and the PMOS FET of the inverter X1 standard cell are implemented by multi-gate vertical field effect transistors (VFETs), as described in detail herein below.
FIG. 3 is a layout of the inverter X1 standard cell of FIG. 2 having a gear ratio of 2:3, according to an example embodiment of the present inventive concepts. In this layout, the upper half corresponds to the PMOS FET of FIG. 2 and the lower half corresponds to the NMOS FET of FIG. 2.
Referring to FIG. 3, a plurality of fins (e.g., a first fin F1, a second fin F2, a third fin F3, and a fourth fin F4) are provided. The fins F1, F2, F3, and F4 are structures protruding from a substrate SUB. In some example embodiments, the fins F1, F2, F3, and F4 may be provided by growing epitaxial structures at desired positions on the semiconductor substrate SUB.
The first fin F1 and the second fin F2 protrudes from the substrate SUB, are spaced apart from each other in a first direction D1, and are elongated in the second direction D2 crossing (or alternatively, perpendicular to) the first direction D1. The first fin F1 and a second fin F2 collectively function as a channel of the PMOS FET.
The third fin F3 and the fourth fin F4 protrudes from the substrate SUB, are spaced apart from each other in the first direction D1, and are elongated in the second direction D2. The third fin F3 and the fourth fin F4 collectively function as a channel of the NMOS FET. As illustrated, the first fin F1 and the third fin F3 are spaced apart from each other in the second direction D2, and the second fin F2 and the fourth fin F4 are spaced apart from each other in the second direction D2.
A first bottom S/D region RX1 and the second bottom S/D region RX2 (collectively, referred to as first bottom S/D regions RX) are provided on the semiconductor substrate SUB. The bottom S/D regions RX1 and RX2 may be doped differently. For example, the bottom S/D region RX1 in the PMOS FET area may be doped by a p-type dopants (e.g., Boron), and the bottom S/D region RX2 in the NMOS FET area may be doped by an n-type dopants (e.g., Arsenic or Phosphorus).
The first bottom S/D region RX1 (e.g., a layout pattern of the first bottom S/D region RX1) encloses the first fin F1, the second fin F2, a substantial or most portion of the first gate portion GP1, and a substantial or most portion of the second gate portion GP2 when viewed in a plan view. The second bottom S/D region RX2 (e.g., a layout pattern of the second bottom S/D region RX2) encloses the third fin F3, the fourth fin F4, a substantial or most portion of the third gate portion GP3, and a substantial or most portion of the fourth gate portion GP4 when viewed in a plan view.
The first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4 may be formed on sidewalls of the fins F1, F2, F3, and F4, respectively. For example, the gate patterns GP may be formed to surround sidewall of the fins F1, F2, F3, and F4, respectively. The first gate pattern GP1, a second gate pattern GP2, a third gate pattern GP3, and a fourth gate patter GP4 may be collectively referred to as gate patterns GP.
The gate patterns GP each include a gate insulating layer (not shown) and a work function metal layer (not shown) on the gate insulating layer (not shown). In some example embodiments, the gate patterns GP may further include a capping metal layer (not shown) on the work function metal layer.
The gate connecting structure PB may be provided to connect the first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4 to each other. The gate connecting structure PB and the first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4 may form an integral structure, which includes respective portions corresponding to the gate connecting structure PB and the first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4.
Although not shown in this layout, a first top S/D region (not shown), a second top S/D region (not shown), a third top S/D region (not shown), a fourth top S/D region (not shown) may be provided on the first, second, third, and fourth fins F1, F2, F3, and F4, respectively.
A first top S/D contact structure CA1 may be provided to cover both a portion of the first top S/D region on the first fin F1 and a portion of the second top S/D region on the second fin F2, and to be connected to both the first top S/D region on the first fin F1 and the second top S/D region on the second fin F2. A second top S/D contact structure CA2 may be provided to cover both a portion of the third top S/D region on the third fin F3 and a portion of the fourth top S/D region on the fourth fin F4, and to be connected to both the third top S/D region on the third fin F3, and on the fourth top S/D region on the fourth fin F3.
The first top S/D contact structure CA1 may be connected to the first top S/D region and the second top S/D region. The second top S/D contact structure CA2 may be connected to the third top S/D region and the fourth top S/D region. The first top S/D contact structure CA1 and the second top S/D contact structure CA2 may be elongated in the first direction D1 (e.g., a direction along which the first fin F1 and the second fin F2 (as well as the third fin F3 and the fourth fin F4) are arranged). The first top S/D contact structure CA1 and the second top S/D region CA1 may be collectively referred to as top S/D contact structures CA.
Accordingly, the first top S/D contact structure CA1 and the second top S/D contact structure CA2 may function as a horizontal conductive routing layer (or alternatively, a first conductive routing layer running in the first direction D1) of the layout.
A gate contact structure CB may be provided on the gate connecting structure PB such that the gate contact structure CB is connected to the gate connecting structure PB. Referring to the example embodiment illustrated in FIG. 3, the gate contact structure CB is provided on the gate connecting structure PB at an area between the first fin F1 and the third fin in the second direction D2. The gate contact structure CB may be spaced apart from the first, second, third, and fourth fin F1, F2, F3, and F4 when viewed in a plan view, while being connected to the gate connecting structure PB.
A first bottom S/D contact structure CR1 may be provided on the first bottom S/D region RX1 to contact the first bottom S/D region RX1. A second bottom S/D contact structure CA2 may be provided on the second bottom S/D region RX2 to contact the second bottom S/D region RX2. The first bottom S/D contact structure CR1 and the second bottom S/D contact structure CR2 may be collectively referred to as bottom S/D contact structures CR.
All or some of a plurality of metal patterns MP may be connected to corresponding ones of the gate contact structure CB and the first and second top S/D contact structures CA1 and CA2 through corresponding ones of a plurality of vias V0, respectively. The plurality of metal patterns MP may be elongated in the second direction D2 (e.g., an elongation direction of the fins F1, F2, F3, and F4). Thus, the plurality of metal patterns MP may function as a vertical conductive routing layer (or alternatively, a second conductive routing layer running in the second direction D2) of the layout.
Accordingly, an inverter X1 standard cell including the PMOS FET and the NMOS FET each having a vertical channel implemented by two fins may be provided. In other words, an inverter X1 standard cell including multi-gate NMOS VFET and multi-gate PMOS VFET may be provided. Although FIG. 3 illustrates an example in which each of the respective channels are provided to include two fins, example embodiments of the present inventive concepts are not limited thereto. According to some example embodiments, a vertical channel of a VFET may be implemented by three or more fins.
FIG. 4 is a cross-section view of the inverter of FIG. 3 taken along line IV-IV′, according to an example embodiment of the present inventive concepts. FIG. 5 is a cross-section view of the inverter of FIG. 3 taken along line V-V′, according to an example embodiment of the present inventive concepts.
Referring to FIGS. 4 and 5, the bottom S/D regions RX are surrounded by (or are isolated from each other by), for example, shallow trench isolation regions STI on the substrate SUB. The first gate pattern GP1 surrounds a sidewall of the first fin F1. The third gate pattern GP3 surrounds a sidewall of the third fin F3. Lower surfaces of the first gate pattern GP1, the third gate pattern GP3, and the gate connecting structure PB are insulated from the substrate SUB by a bottom spacer layer S1. Upper surfaces of the first gate pattern GP1 and the third gate pattern GP3 are insulated by an upper spacer layer S2. Further, interlayer dielectric layers ILD1, ILD2, ILD3, and ILD4 (collectively, referred to as ILD) may be formed to provide proper insulation between conductive layers. The interlayer dielectric layers ILD1, ILD2, ILD3, and ILD4 may include a nitride material or an oxide material depending on desired etching and/or insulation characteristics.
FIGS. 6A-6G are layouts to explain a method of manufacturing the inverter X1 standard cell of FIGS. 3-5, according to an example embodiment of the present inventive concepts.
Referring to FIG. 6A, the plurality of fins (e.g., a first fin F1, a second fin F2, a third fin F3, and a fourth fin F4) are formed on the substrate SUB. The fins F1, F2, F3, and F4 are structures protruding from the semiconductor substrate SUB. In some example embodiments, the fins F1, F2, F3, and F4 may be formed using an epitaxial growth process on the substrate SUB.
The first fin F1 and the second fin F2 (as well as the third fin F3 and the fourth fin F4) may be formed to be spaced apart in the first direction D1. Further, the first fin F1 and the third fin F3 (as well as the second fin F2 and the fourth fin F4) may be formed to be spaced apart in the second direction D2 crossing (or alternatively, perpendicular to) the first direction D1. Further, the fins F1, F2, F3, and F may be formed to be elongated in the second direction D2.
The substrate SUB may be a bulk silicon. For example, the substrate SUB may be a silicon substrate, or may include a material other than silicon, including but not limited to Ge, SiGe, SiC, GeP, GeN, InGaAs, GaAs, InSb, InAs, GaSb, and InP. The semiconductor substrate SUB may be a portion of a bulk silicon wafer. The substrate SUB may be a silicon-on-insulator (SOI). The substrate SUB may be a silicon portion of a Silicon-On-Insulator (SOI) wafer. In some example embodiments, the substrate SUB may refer to a semiconductor layer epitaxially grown on a base substrate.
Referring to FIG. 6B, the first bottom S/D region RX1 and the second bottom S/D region RX2 are provided on the semiconductor substrate SUB. According to some example embodiments, the Bottom S/D regions RX1 and RX2 may be formed by etching the substrate SUB to a certain depth using the fins F1, F2, F3, F4 and a hard mask pattern (not shown) thereon (together with an additional mask pattern covering an entire area of the substrate SUB except for areas at which the first and second bottom S/D regions RX1 and RX2 are to be defined) as an etch mask. Then, a semiconductor material layer may be epitaxially grown in recessed areas defined by the etching, and doped with different dopants to form the first bottom S/D region RX1 for the PMOS FET and the second bottom S/D region RX2 for the NMOS FET, respectively.
The first and second bottom S/D regions RX1 and RX2 may be doped differently by using an additional mask as an implant mask. For example, the first bottom S/D region RX1 in the PMOS FET area may be doped by a p-type dopants (e.g., Boron), and the second bottom S/D region RX2 in the NMOS FET area may be doped by an n-type dopants (e.g., Arsenic or Phosphorus).
Referring to FIG. 6C, the gate patterns GP (e.g., a first gate pattern GP1, a second gate pattern GP2, a third gate pattern GP3, and a fourth gate pattern GP4) may be formed on sidewalls of the fins F1, F2, F3, and F4, respectively. For example, the gate patterns GP may be formed to surround sidewall of the fins F1, F2, F3, and F4, respectively. The gate patterns GP each include a gate insulating layer (not shown) and a work function metal layer (not shown) on the gate insulating layer In some example embodiments, the gate patterns GP may further include a capping metal layer (not shown) on the work function metal layer.
The gate insulating layer may include a high-k dielectric material.
The work function metal layer may include TiN. In some example embodiments, the work function metal layer may include titanium nitride (TiN) or titanium carbide (TiC). A work function of the work function metal layer for a VFET may be determined based on material characteristics as well as a thickness of the work function metal layer. In some example embodiments, the work function metal layer may be implemented to have different thicknesses depending on locations.
The capping metal layer may be subsequently formed on the work function metal layer. The capping metal layer may include at least one of TiC, TiAlC, or TiAl
Referring to FIG. 6D, the gate connecting structure PB is formed on the first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4 and connected thereto. The gate connecting structure PB is a structure connecting the first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4 to each other. The gate connecting structure PB and the first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4 may be an integral structure, which includes respective portions corresponding to the gate connecting structure PB and the first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4. The gate connecting structure PB and the first gate pattern GP1, the second gate pattern GP2, the third gate pattern GP3, and the fourth gate pattern GP4 may include a same material.
Although not specifically illustrated in the drawings, the first top S/D region (not shown), the second top S/D region (not shown), the third top S/D region (not shown), the fourth top S/D region (not shown) may be provided on the first, second, third, and fourth fins F1, F2, F3, and F4, respectively
The first, second, third, and fourth top S/D regions may be formed by epitaxially growing p+ or n+ doped semiconductor layers on corresponding ones of the first, second, third, and fourth fins F1, F2, F3, and F4, respectively. However, example embodiments of the present inventive concepts are not limited thereto. According to some example embodiments of the present inventive concepts, the first, second, third, and fourth top S/D regions may be formed by implanting desired dopants onto the first, second, third, and fourth fins F1, F2, F3, and F4, respectively.
Referring to FIG. 6E, the first top S/D contact structure CA1 is formed to cover both the first top S/D region on the first fin F1 and the second top S/D region on the second fin F2, and to be electrically connected to both the first top S/D region on the first fin F1 and the second top S/D region on the second fin F2. The second top S/D contact structure CA2 may be provided to cover both the third top S/D region on the third fin F3 and the fourth top S/D region on the fourth fin F4, and to be electrically connected to both the third top S/D region on the third fin F3 and the fourth top S/D region on the fourth fin F4. The first top S/D contact structure CA1 and the second top S/D contact structure CA2 may include a conductive material such as metal.
The first top S/D contact structure CA1 and the second top S/D contact structure CA2 may function as a horizontal conductive routing layer of the layout. The first top S/D contact structure CA1 and the second top S/D contact structure CA2 may be elongated in the first direction D2, and function as a first conductive routing layer running in the first direction D1 (e.g., a direction along which the first fin F1 and the second fin F2 (or the third fin F3 and the fourth fin F4 are arranged).
The gate contact structure CB may be formed on the gate connecting structure PB such that the gate contact structure CB is electrically connected to the gate connecting structure PB. According to the example embodiment, the gate contact structure CB is formed on the gate connecting structure PB at an area between the first fin F1 and the third fin in the second direction D2. The gate contact structure CB may be formed to be spaced apart from the first, second, third, and fourth fin F1, F2, F3, and F4 when viewed in a plan view, while being connected to the gate connecting structure PB. The gate contact structure CB may include a conductive material such as metal.
The first bottom S/D contact structure CR1 may be formed on the first bottom S/D region RX1 to be electrically connected to the first bottom S/D region RX1. The second bottom S/D contact structure CA2 may be formed on the second bottom S/D region RX2 to be electrically connected to the second bottom S/D region RX2. The first bottom S/D contact structure CR1 and the second bottom S/D contact structure CR2 may include a conductive material such as metal.
The first top S/D contact structure CA1, the second top S/D contact structure CA2, the gate contact structure CB, the first bottom S/D contact structure CR1, and the second bottom S/D contact structure CR2 may include a same material. The first top S/D contact structure CA1, the second top S/D contact structure CA2, the gate contact structure CB, the first bottom S/D contact structure CR1, and the second bottom S/D contact structure CR2 may be simultaneously formed in a same process. As illustrated in FIGS. 4 and 5, top surfaces of the first top S/D contact structure CA1, the second top S/D contact structure CA2, the gate contact structure CB, the first bottom S/D contact structure CR1, and the second bottom S/D contact structure CR2 may be formed at a substantially same level with respect to (or alternatively, from) a top surface of the substrate SUB.
Referring to FIG. 6F, the plurality of vias V0 are formed on the first top S/D contact structure CA1, the second top S/D contact structure CA2, and the gate contact structure CB, respectively.
Referring FIG. 6G, the plurality of metal patterns MP are formed to correspond to the plurality of vias V0. Although not illustrated in FIG. 6G, at least some of the plurality of metal patterns MP may be formed to be elongated in a direction (e.g., the second direction D2) along which the fins F1, F2, F3, and F4 are elongated so that the at least some of the plurality of metal patterns MP are electrically connected to corresponding ones of the plurality of vias V0. Accordingly, the at least some of the plurality of metal patterns MP may be connected to corresponding ones of the gate contact structure CB and the first and second top S/D contact structures CA1 and CA2 through the plurality of vias V0. Thus, the plurality of metal patterns MP functions as a vertical conductive routing layer of the layout. The plurality of metal patterns MP are elongated in the second direction D2 and functions as a second conductive routing layer in the second direction D2 (e.g., a direction along which the first, second, third, and fourth fins F1, F2, F3, and F4 are elongated).
According to the example embodiment, an inverter standard cell including the PMOS FET and the NMOS FET each having a vertical channel implemented by two fins may be provided. In other words, an inverter standard cell including multi-gate NMOS VFET and multi-gate PMOS VFET may be provided.
According to the example embodiment, an inverter standard cell having a gear ratio of 2:3 may be provided. In some example embodiments, gear ratios (e.g., 1:2 or 3:4) may be implemented.
In a VFET, a fin vertically protruding from a substrate functions as a channel and a structure surrounding a sidewall of the fin functions as a gate. Thus, the top S/D contact structure connected to the top S/D of the VFET and the bottom S/D contact structure connected to the bottom S/D of the VFET are less affected by an area occupied by the gate (meaning more design freedom or layout tolerance) compared to conventional planar or horizontal field effect transistors.
According the multi-gate VFET of the example embodiment, two or more fins function as a channel of the VFET, and gate patterns surrounding respective fins functions as a gate and are connected to each other using a gate connecting pattern therebetween. Accordingly, the multi-gate VFET may provide a larger area for each of a gate contact structure, a top S/D contact structure, and/or a bottom S/D contact structure than a single-gate VFET or conventional planar or horizontal field effect transistors
Thus, according to the example embodiment, a standard cell having a gear ratio of m:n (where m and n are natural numbers, and n is greater than m) can be implemented without using two crossing metal routing layers (e.g., a lower metal routing layer and an upper metal routing layer that crosses the lower vertical metal routing layer and is connected to the lower metal routing layer thorough a via). Thus, a standard cell having a gear ratio of m:n (where m and n are natural numbers, and n is greater than m) can be implemented using a single metal routing layer.
FIG. 7A is a first modified layout of the inverter X1 standard cell of FIG. 3, according to an example embodiment of the present inventive concepts. FIG. 7B is a cross-sectional view of the modified layout of FIG. 7A taken along line VIIB-VIIB′, according to an example embodiment of the present inventive concepts.
Referring to FIG. 7A, the gate connecting structure PB is provided at an area between the PMOS VFET and the NMOS VFET and connecting the first, second, third, and fourth gate portions GP1, GP2, GP3, and GP4 to each other. The gate connection layer PB has a shape enclosing end portions of each of the first, second, third, and fourth fins F1, F2, F3, and F4, which face each other in at least one of the first direction D1, the second direction D2, or a diagonal direction between the first direction D1 and the second direction D2.
As illustrated in FIG. 7A, to secure a sufficient space (which is desired for implementing a uniform metal pitch) for a via V0 connecting to an underlying gate contact structure CB, the standard cell layout may be modified such that the gate connecting structure PB includes an extended portion EP, which extends beyond a side of the bottom S/D in the first direction D1.
In some example embodiments, at least one side of the gate connecting structure PB may include an extension portion in the first direction D1. The extension portion (or extension area) may lie beyond an imaginary line IL extending from a side of the first bottom S/D region RX1 (or the second bottom S/D region RX2) in the second direction D2. The extension portion (or extension area) may lie beyond the imaginary line IL connecting a side of the first bottom S/D region RX1 to a side of the third bottom S/D region RX2 in the second direction D2.
Referring to FIG. 7B, a landing space for the gate contact structure CB to land on the gate connecting structure PB is enlarged due to the extended portion EP of the gate connecting structure PB. Thus, the via V0 can be securely connected to the gate contact structure CB, and thus a uniform metal pitch may be implemented.
FIG. 8 is a second modified layout of the inverter X1 standard cell of FIG. 3, according to an example embodiment of the present inventive concepts.
Referring to FIG. 8, in the case that a margin between the gate contact structure CB and a neighboring gate connecting structure PB is tight, to avoid an undesired bridge between the gate contact structure CB and a neighboring gate connecting structure PB (not shown), the gate connecting structure PB corresponding to the gate contact structure CB may be formed such that a side of the gate connection structure CB is recessed from (e.g., does not lie beyond) the imaginary line IL (which is a line connecting a side of the first bottom S/D region RX1 to a side of the third bottom S/D region RX2 in the second direction D2 in the first direction D1 when viewed in a plan view. For example, a first lateral side of the gate connecting structure PB may lie inwardly with respect to the imaginary line IL in the first direction D1 such that among two opposite sides of the gate connecting structure PB facing each other in the first direction, one side of the gate connecting structure PB may be laterally between two opposing sides of the first bottom S/D region RX1 (or two opposing sides of the second bottom S/D region RX2) in the first direction when viewed in a plan view.
FIG. 9A is a third modified layout of the inverter X1 standard cell of FIG. 3, according to an example embodiment of the present inventive concepts. FIG. 9B is a cross-sectional view of the modified layout of FIG. 9A taken along line IXB-IXB′, according to an example embodiment of the present inventive concepts.
Referring to FIG. 9A, to secure a sufficient space for a via V0 to be connected to an underlying gate contact structure CB, the standard cell layout may be modified such that the gate contact structure CB is extended in the first direction D1. Thus, the gate contact structure CB as shown in FIG. 7A may be elongated in the first direction D1 toward a center of the gate connecting structure PB.
As shown in FIG. 9B, the modified layout illustrated in FIG. 9A provides an increased landing area for the via V0, and thus helps implement a more uniform metal pitch. Accordingly, a semiconductor device employing such layout may exhibit improved reliability.
FIG. 10A is a fourth modified layout of the inverter X1 standard cell of FIG. 3, according to an example embodiment of the present inventive concepts. FIG. 10B is a cross-sectional view of the modified layout of FIG. 10A taken along line XB-XB′, according to an example embodiment of the present inventive concepts.
Referring to FIG. 10A, to secure a sufficient space for a via V0 to be connected to an underlying gate contact structure CB, the standard cell layout may be modified such that an additional top S/D contact structure CA′ is provided and merged with the gate contact structure CB illustrated in FIG. 9A. The additional top S/D contact structure CA′ is formed simultaneously with the top S/D contact structures for the top S/D regions. The additional top S/D contact structure CA′ may be formed to overlap the gate contact structure CB while not being connected to any of the top S/D regions.
As illustrated in FIG. 10B, the gate contact structure CB and the additional top S/D contact structure overlap each other and provides an expanded space (which is desired for implementing a uniform metal pitch) for the via V0 to land on the underlying gate contact structure CB. The modified layout illustrated in FIGS. 10A and 10B provides an increased landing area for the via V0, and thus helps implement a more uniform metal pitch. Accordingly, a semiconductor device employing such layout may exhibit improved reliability
According to the modified layouts described above, a sufficient space for a via desired for implementing a uniform metal pitch can be provided. Thus, a standard cell having a gear ratio of m:n (where m and n are natural numbers, and n is greater than m) can be implemented
It should be understood that example embodiments described herein should be considered in a descriptive sense only and not for purposes of limitation. While some example embodiments have been particularly shown and described, it will be understood by one of ordinary skill in the art that variations in form and detail may be made therein without departing from the spirit and scope of the claims.

Claims (20)

What is claimed is:
1. A cell architecture comprising:
a vertical field effect transistor (VFET) including,
a first fin and a second fin protruding from a substrate, the first fin and the second fin spaced apart from each other in a first direction and elongated in a second direction crossing the first direction,
a gate including a first gate portion on a sidewall of the first fin, a second gate portion on a sidewall of the second fin, and a third gate portion connecting the first gate portion with the second gate portion, and
a top S/D including a first top S/D portion at a top of the first fin and a second top S/D portion at a top of the second fin;
a gate contact structure spaced apart from the first fin and the second fin in the second direction when viewed in a plan view and connected to the third gate portion;
a top S/D contact structure connected to one of the first top S/D portion or the second top S/D portion, at least one of the top S/D contact structure or the gate contact structure serving as a first conductive routing layer in the first direction; and
metal patterns on the gate contact structure and the top S/D contact structure, the metal patterns configured to be connected to at least one of the gate contact structure or the top S/D contact structure through vias, respectively, the metal patterns serving as a second conductive routing layer in the second direction.
2. The cell architecture of claim 1, wherein the first gate portion and the second gate portion are spaced apart by a first pitch in the first direction, and the metal patterns are arranged by a second pitch different from the first pitch in the first direction.
3. The cell architecture of claim 2, wherein a ratio between the first pitch and the second pitch is m:n, where m and n are natural numbers, and n is greater than m.
4. The cell architecture of claim 1, further comprising:
a bottom S/D of the VFET enclosing at least a portion of the first fin, the second fin, the first gate portion, and the second gate portion, when viewed in a plan view.
5. The cell architecture of claim 4, wherein the third gate portion includes an extension area, which extends beyond a side of the bottom S/D in the first direction.
6. The cell architecture of claim 5, wherein when viewed in a plan view, the gate contact structure is elongated away from the side of the bottom S/D in the first direction toward a center of the third gate portion.
7. The cell architecture of claim 4, wherein when viewed in a plan view, at least one side of the third gate portion includes an extension area in the first direction that lies beyond an imaginary line extending from a side of the bottom S/D in the second direction.
8. The cell architecture of claim 7, wherein when viewed in a plan view, the gate contact structure is elongated away from the imaginary line in the first direction toward a center of the third gate portion.
9. The cell architecture of claim 4, wherein when viewed in a plan view, a side of the third gate portion is laterally between both ends of the bottom S/D in the first direction.
10. The cell architecture of claim 4, wherein when viewed in a plan view, the gate contact structure is elongated away from a nearby side of the bottom S/D in the first direction.
11. The cell architecture of claim 1, further comprising:
an additional top S/D contact structure overlapping the gate contact structure and not connected to any of the first top S/D portion or the second top S/D portion.
12. A cell architecture comprising:
a multi-gate vertical field effect transistor including,
a first fin and a second fin protruding from a substrate, the first fin and the second fin spaced apart from each other in a first direction and elongated in a second direction crossing the first direction,
a bottom S/D on the substrate, the bottom S/D surrounding the first fin and the second fin,
a gate including a first gate portion on a sidewall of the first fin, a second gate portion on a sidewall of the second fin, and a third gate portion connecting the first gate portion with the second gate portion, the third gate portion overlapping an end region of the bottom S/D and including an extension area extending away from the first and second fins in the second direction when viewed in a plan view,
a top S/D including a first top S/D portion at a top of the first fin and a second top S/D portion at a top of the second fin, the bottom S/D in the substrate;
a gate contact structure connected to the third gate portion at the extension area of the third gate portion;
a top S/D contact structure connected to one of the first top S/D portion or the second top S/D portion, at least one of the gate contact structure or the top S/D contact structure serving as a first conductive routing layer in the first direction; and
metal patterns on the gate contact structure and the top S/D contact structure, the metal patterns configured to be connected to at least one of the gate contact structure or the top S/D contact structure through vias, the metal patterns serving as a second conductive routing layer in the second direction.
13. The cell architecture of claim 12, wherein the first gate portion and the second gate portion are spaced apart by a first pitch in the first direction, and the metal patterns are arranged by a second pitch different from the first pitch in the first direction.
14. The cell architecture of claim 13, wherein a ratio between the first pitch and the second pitch is m:n, where m and n are natural numbers and n is greater than m.
15. The cell architecture of claim 12, wherein when viewed in a plan view, the third gate portion includes an extension area, which extends beyond a side of the bottom S/D in the first direction.
16. The cell architecture of claim 15, wherein when viewed in a plan view, the gate contact structure is elongated away from the side of the bottom S/D in the first direction toward a center of the third gate portion.
17. The cell architecture of claim 12, wherein when viewed in a plan view, at least one side of the third gate portion includes an extension area in the first direction that lies beyond an imaginary line extending from a side of the bottom S/D in the second direction.
18. The cell architecture of claim 17, wherein when viewed in a plan view, the gate contact structure is elongated away from a side of the bottom S/D in the first direction toward a center of the third gate portion.
19. The cell architecture of claim 12, wherein when viewed in a plan view, the gate contact structure is elongated away from a nearby side of the bottom S/D in the first direction.
20. The cell architecture of claim 12, further comprising:
an additional top S/D contact structure overlapping the gate contact structure and not connected to any of the first top S/D portion or the second top S/D portion.
US16/257,890 2018-06-15 2019-01-25 Cell architecture based on multi-gate vertical field effect transistor Active US10629682B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US16/257,890 US10629682B2 (en) 2018-06-15 2019-01-25 Cell architecture based on multi-gate vertical field effect transistor
KR1020190041213A KR102582771B1 (en) 2018-06-15 2019-04-09 CELL ARCHITECTURE BASED ON MULTI-GATE Vertical FIELD effect transistor
CN201910516722.5A CN110610987A (en) 2018-06-15 2019-06-14 Unit architecture based on multi-gate vertical field effect transistor
US16/819,823 US10861967B2 (en) 2018-06-15 2020-03-16 Cell architecture based on multi-gate vertical field effect transistor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862685340P 2018-06-15 2018-06-15
US16/257,890 US10629682B2 (en) 2018-06-15 2019-01-25 Cell architecture based on multi-gate vertical field effect transistor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/819,823 Continuation US10861967B2 (en) 2018-06-15 2020-03-16 Cell architecture based on multi-gate vertical field effect transistor

Publications (2)

Publication Number Publication Date
US20190386103A1 US20190386103A1 (en) 2019-12-19
US10629682B2 true US10629682B2 (en) 2020-04-21

Family

ID=68840318

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/257,890 Active US10629682B2 (en) 2018-06-15 2019-01-25 Cell architecture based on multi-gate vertical field effect transistor
US16/819,823 Active US10861967B2 (en) 2018-06-15 2020-03-16 Cell architecture based on multi-gate vertical field effect transistor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/819,823 Active US10861967B2 (en) 2018-06-15 2020-03-16 Cell architecture based on multi-gate vertical field effect transistor

Country Status (3)

Country Link
US (2) US10629682B2 (en)
KR (1) KR102582771B1 (en)
CN (1) CN110610987A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264486B2 (en) * 2020-01-16 2022-03-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020031149A (en) * 2018-08-23 2020-02-27 キオクシア株式会社 Semiconductor memory and method for manufacturing semiconductor memory
CN113517274A (en) * 2020-07-24 2021-10-19 台湾积体电路制造股份有限公司 Semiconductor device and method of forming the same
US20230125886A1 (en) * 2021-10-21 2023-04-27 Globalfoundries U.S. Inc. Contact-over-active-gate transistor structures with contacts landed on enlarged gate portions

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160336324A1 (en) 2015-05-15 2016-11-17 Qualcomm Incorporated Tunnel field effect transistor and method of making the same
US9893207B1 (en) 2017-03-17 2018-02-13 International Business Machines Corporation Programmable read only memory (ROM) integrated in tight pitch vertical transistor structures
US9935106B2 (en) 2016-04-01 2018-04-03 Globalfoundries Inc. Multi-finger devices in mutliple-gate-contacted-pitch, integrated structures
US20180097107A1 (en) 2016-06-30 2018-04-05 International Business Machines Corporation Gate cut on a vertical field effect transistor with a defined-width inorganic mask
US9954529B2 (en) 2016-02-18 2018-04-24 International Business Machines Corporation Ultra dense vertical transport FET circuits
US9953973B1 (en) 2017-03-15 2018-04-24 International Business Machines Corporation Diode connected vertical transistor

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9397094B2 (en) * 2014-09-25 2016-07-19 International Business Machines Corporation Semiconductor structure with an L-shaped bottom plate
US9312383B1 (en) * 2015-08-12 2016-04-12 International Business Machines Corporation Self-aligned contacts for vertical field effect transistors
US10032906B2 (en) * 2016-04-29 2018-07-24 Samsung Electronics Co., Ltd. Vertical field effect transistor and method of fabricating the same
US9905645B2 (en) * 2016-05-24 2018-02-27 Samsung Electronics Co., Ltd. Vertical field effect transistor having an elongated channel
US9960272B1 (en) * 2017-05-16 2018-05-01 International Business Machines Corporation Bottom contact resistance reduction on VFET

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160336324A1 (en) 2015-05-15 2016-11-17 Qualcomm Incorporated Tunnel field effect transistor and method of making the same
US9954529B2 (en) 2016-02-18 2018-04-24 International Business Machines Corporation Ultra dense vertical transport FET circuits
US9935106B2 (en) 2016-04-01 2018-04-03 Globalfoundries Inc. Multi-finger devices in mutliple-gate-contacted-pitch, integrated structures
US20180097107A1 (en) 2016-06-30 2018-04-05 International Business Machines Corporation Gate cut on a vertical field effect transistor with a defined-width inorganic mask
US9953973B1 (en) 2017-03-15 2018-04-24 International Business Machines Corporation Diode connected vertical transistor
US9893207B1 (en) 2017-03-17 2018-02-13 International Business Machines Corporation Programmable read only memory (ROM) integrated in tight pitch vertical transistor structures

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Yongchan Ban, et al. "Improving Performance, Power, and Area by Optimizing Gear Ratio of Gate-Metal Pitches in Sub-10nm Node CMOS Designs," Symposium on VLSI Technology Digest of Technical Papers, pp. 137-138 (2018).

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264486B2 (en) * 2020-01-16 2022-03-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure

Also Published As

Publication number Publication date
US20190386103A1 (en) 2019-12-19
US20200219974A1 (en) 2020-07-09
CN110610987A (en) 2019-12-24
KR102582771B1 (en) 2023-09-25
KR20190142197A (en) 2019-12-26
US10861967B2 (en) 2020-12-08

Similar Documents

Publication Publication Date Title
US10861967B2 (en) Cell architecture based on multi-gate vertical field effect transistor
US10269928B2 (en) Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels
US20230402460A1 (en) Semiconductor device
US8390095B2 (en) Reducing high-frequency signal loss in substrates
US8647947B2 (en) Semiconductor device including a MOS transistor and production method therefor
US8217429B2 (en) Semiconductor device
US9064799B2 (en) Method of forming edge devices for improved performance
US9196545B2 (en) SiGe SRAM butted contact resistance improvement
KR20080071513A (en) Fin interconnects for multigate fet circuit blocks
US11626516B2 (en) Integrated circuitry including vertical channel structure and layout method of the same
US11799004B2 (en) Semiconductor device
US11145678B2 (en) Method for manufacturing semiconductor device
WO2014181819A1 (en) Semiconductor device
KR100855558B1 (en) Semiconductor integrated circuit device and method of fabricating the same
KR101887275B1 (en) Semiconductor device and layout design thereof
US20210184038A1 (en) Semiconductor devices
US9123562B2 (en) Layout method to minimize context effects and die area
US20190296054A1 (en) Semiconductor and method of manufacturing the same
KR20160004097A (en) Fin structure and fabrication method thereof, and fin transistor and fabrication method thereof using the same
US20220037316A1 (en) Semiconductor device and method for fabricating the same
US20220384598A1 (en) Buried pad for use with gate-all-around device
JP2016048721A (en) Semiconductor device and manufacturing method of the same

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:DO, JUNGHO;REEL/FRAME:048162/0154

Effective date: 20181031

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4