US10319584B2 - Compositions and processes for depositing carbon-doped silicon-containing films - Google Patents

Compositions and processes for depositing carbon-doped silicon-containing films Download PDF

Info

Publication number
US10319584B2
US10319584B2 US15/233,018 US201615233018A US10319584B2 US 10319584 B2 US10319584 B2 US 10319584B2 US 201615233018 A US201615233018 A US 201615233018A US 10319584 B2 US10319584 B2 US 10319584B2
Authority
US
United States
Prior art keywords
group
linear
branched
precursor
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/233,018
Other versions
US20160351389A1 (en
Inventor
Manchao Xiao
Xinjian Lei
Ronald Martin Pearlstein
Haripin Chandra
Eugene Jospeh Karwacki, JR.
Bing Han
Mark Leonard O'Neill
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US15/233,018 priority Critical patent/US10319584B2/en
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Assigned to CITIBANK, N.A., AS COLLATERAL AGENT reassignment CITIBANK, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: VERSUM MATERIALS US, LLC
Publication of US20160351389A1 publication Critical patent/US20160351389A1/en
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KARWACKI, EUGENE JOSEPH, JR, O'NEILL, MARK LEONARD, PEARLSTEIN, RONALD MARTIN, CHANDRA, Haripin, HAN, BING, LEI, XINJIAN, XIAO, MANCHAO
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Priority to US16/398,209 priority patent/US20190287798A1/en
Publication of US10319584B2 publication Critical patent/US10319584B2/en
Application granted granted Critical
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: CITIBANK, N.A., AS AGENT
Priority to US17/507,771 priority patent/US11725111B2/en
Priority to US18/337,945 priority patent/US20230348736A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • organoaminosilane precursors that can be used for the deposition of silicon containing films, including but not limited to, silicon oxide films, silicon nitride films, or silicon oxynitride films which further comprise carbon (referred to collectively herein as carbon-doped silicon-containing films) are described herein.
  • silicon oxide films silicon nitride films
  • silicon oxynitride films which further comprise carbon
  • described herein is the use of the organoaminosilane precursor(s) for depositing silicon-containing in the fabrication of devices, such as, but not limited to, integrated circuit devices.
  • the organoaminosilane precursor(s) may be used for a variety of deposition processes, including but not limited to, atomic layer deposition (“ALD”), chemical vapor deposition (“CVD”), plasma enhanced chemical vapor deposition (“PECVD”), low pressure chemical vapor deposition (“LPCVD”), and atmospheric pressure chemical vapor deposition.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • atmospheric pressure chemical vapor deposition atmospheric pressure chemical vapor deposition
  • precursors for carbon-doped silicon-containing films can be used as precursors for carbon-doped silicon-containing films.
  • these compounds suitable for use as precursors include silanes, chlorosilanes, polysilazanes, aminosilanes, and azidosilanes.
  • Inert carrier gas or diluents such as, but not limited, helium, hydrogen, nitrogen, etc., are also used to deliver the precursors to the reaction chamber.
  • Some important characteristics of a carbon-doped silicon-containing film are wet etch resistance and hydrophobicity. Generally speaking, the introduction of carbon to a silicon-containing film helps decrease the wet etch rate and increases the hydrophobicity. Additional advantages of adding carbon to a silicon containing film is to lower the dielectric constant or provide improvements to other electrical or physical attributes of the film.
  • Japanese Publ. No. JP 2010/275602 describes a material for chemical vapor deposition for depositing a silicon-containing thin film that is represented by the formula HSiMe(R 1 )(NR 2 R 3 ) (R 1 ⁇ NR 4 R 5 , C1-5 alkyl; R 2 , R 4 ⁇ H, C1-5 alkyl; R 3 , R 5 ⁇ C1-5 alkyl).
  • the silicon-containing thin film is formed by temperatures ranging from 300-500° C.
  • US Publ. No. 2008/0124946A1 describes a process for depositing a carbon containing silicon oxide film, or a carbon containing silicon nitride film having enhanced etch resistance.
  • US Publ. No. 2006/0228903 describes a process for fabricating a carbon doped silicon nitride layer using a first precursor which provides a source of silicon and a second precursor which adds carbon to the film.
  • first precursor described in the '903 publication include halogenated silanes and disilanes, aminosilanes, cyclodisilazanes, linear and branched silizanes, azidosilanes, substituted versions of 1,2,4,5-tetraaza-3,6-disilacyclohexane, and silyl hydrazines.
  • alkyl silanes that have the general formula SiR 4 where R is any ligand including but not limited to hydrogen, alkyl and aryl (all R groups are independent), alkyl polysilanes, halogenated alkyl silanes, carbon bridged silane precursors; and silyl ethanes/ethylene precursors.
  • US Publ. No. 2005/0287747A1 describes a process for forming a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film that includes adding at least one non-silicon precursor (such as a germanium precursor, a carbon precursor, etc.) to improve the deposition rate and/or makes possible tuning of properties of the film, such as tuning of the stress of the film.
  • a non-silicon precursor such as a germanium precursor, a carbon precursor, etc.
  • Precursors and processes that are used in depositing carbon-doped silicon oxide films generally deposit the films at temperatures greater than 550° C.
  • the trend of miniaturization of semiconductor devices and low thermal budget requires lower process temperatures and higher deposition rates.
  • novel precursors or combinations of precursors that may allow for more effective control of the carbon content contained in the carbon-doped silicon containing film.
  • compositions of precursors for the deposition of carbon-doped silicon-containing films which provide films that exhibit one or more of the following attributes: lower relative etch rates, greater hydrophobicity, higher deposition rates, higher density, compared to films deposited using the individual precursors alone.
  • the carbon-doped silicon-containing can have a carbon content of 2 ⁇ 10 19 carbon atom/cc or less of carbon as measured by measured by dynamic Secondary Ions Mass Spectrometry (SIMS).
  • SIMS dynamic Secondary Ions Mass Spectrometry
  • the carbon-doped silicon-containing films can have a carbon content that ranges from about 2 ⁇ 10 19 carbon atom/cc to 2 ⁇ 10 22 carbon atom/cc as measured by dynamic SIMS.
  • a layer comprising silicon, carbon and oxygen is deposited onto a substrate using the precursor composition described herein and an oxidizing agent in a deposition chamber under conditions for generating a carbon-doped silicon oxide layer on the substrate.
  • a layer comprising silicon, carbon, and nitrogen is deposited onto a substrate using the precursor composition described herein and an nitrogen containing precursor in a deposition chamber under conditions for generating a carbon-doped silicon nitride layer on the substrate.
  • the deposition method for depositing the carbon-doped silicon-containing film using the precursor composition described herein is selected from the group consisting of cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD).
  • CCVD cyclic chemical vapor deposition
  • ALD atomic layer deposition
  • PEALD plasma enhanced ALD
  • PECCVD plasma enhanced CCVD
  • composition for depositing a carbon-doped silicon containing film comprising:
  • a second precursor comprising an organoaminosilane having a formula Si(NR 1 R 2 )H 3 wherein R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring.
  • composition for depositing a carbon-doped silicon containing film comprising:
  • a first precursor comprising at least one selected from the group consisting of:
  • the composition further comprises a second precursor comprising an organoaminosilane having a formula Si(NR 1 R 2 )H 3 wherein R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring.
  • R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3
  • the composition further comprises a second precursor comprising an organoaminosilane having a formula Si(NR 1 R 2 )H 3 wherein R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring.
  • R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2
  • a composition for depositing a carbon-doped silicon containing film comprising: a first precursor comprising: an organoaminosilane having a formula of R 8 N(SiR 9 (NR 10 R 11 )H) 2 wherein R 8 and R 9 are each independently selected from the group consisting of hydrogen, C 1 to C 10 linear or branched alkyl, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group; and R 10 and R 11 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C
  • the composition further comprises a second precursor comprising an organoaminosilane having a formula Si(NR 1 R 2 )H 3 wherein R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring.
  • R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2
  • a method of forming a carbon-doped silicon oxide film via an atomic layer deposition process comprising the steps of:
  • introducing into the reactor a first precursor comprising at least one compound selected from the group consisting of:
  • R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring;
  • the precursor in step (b) comprises an organoaminoalkylsilane described herein as (i). More particularly, the precursor in step (b) comprises the organaoaminoalkylsilane 2,6-dimethylpiperidinomethylsilane.
  • a method of forming a carbon-doped silicon nitride film via an atomic layer deposition process comprising the steps of:
  • introducing into the reactor a first precursor comprising at least one compound selected from the group consisting of:
  • R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring
  • the precursor in step (b) comprises an organoaminoalkylsilane described herein as (i). More particularly, the precursor in step (b) comprises the organaoaminoalkylsilane 2,6-dimethylpiperidinomethylsilane.
  • a method of forming a carbon-doped silicon oxide film via an atomic layer deposition process comprising the steps of:
  • introducing into the reactor a first precursor comprising at least one compound selected from the group consisting of:
  • R 12 , R 13 , and R 14 are each independently selected from the group consisting of H, a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 13 and R 14 can form a cyclic ring or an alkyl-substituted cyclic ring;
  • the precursor in step (b) comprises an organoaminoalkylsilane described herein as (i). More particularly, the precursor in step (b) comprises the organaoaminoalkylsilane 2,6-dimethylpiperidinomethylsilane.
  • a method of forming a carbon-doped silicon nitride film via an atomic layer deposition process comprising the steps of:
  • introducing into the reactor a first precursor comprising at least one compound selected from the group consisting of:
  • R 12 , R 13 , and R 14 are each independently selected from the group consisting of H, a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 13 and R 14 can form a cyclic ring or an alkyl-substituted cyclic ring;
  • the precursor in step (b) comprises an organoaminoalkylsilane described herein as (i). More particularly, the precursor in step (b) comprises the organaoaminoalkylsilane 2,6-dimethylpiperidinomethylsilane.
  • FIG. 1 provides the mass spectroscopy (MS) spectrum of 2,6-dimethylpiperidinomethylsilane described in Example 1.
  • FIG. 2 provides the thermal gravimetric analysis (TGA) and differential scanning calorimetry (DCS) analysis of 2,6-dimethylpiperidinomethylsilane.
  • FIG. 3 provides an IR spectra comparison of films deposited using 2,6-dimethylpiperidinosilane and 2,6-dimethylpiperidinomethylsilane at a temperature of 100° C.
  • FIG. 4 provides an IR spectra comparison of films deposited using 2,6-dimethylpiperidinomethylsilane at different temperatures (e.g., 100° C., 150° C., and 300° C.).
  • compositions comprising one or more precursors and processes for depositing a carbon-doped silicon-containing film via atomic layer deposition (ALD), cyclic chemical vapor deposition (CCVD) or plasma enhanced ALD (PEALD) or plasma enhanced CCVD (PECCVD) using the precursor compositions.
  • ALD atomic layer deposition
  • CCVD cyclic chemical vapor deposition
  • PEALD plasma enhanced ALD
  • PECCVD plasma enhanced CCVD
  • compositions described herein are comprised of, consist essentially of, or consist of, a first precursor comprising at least one compound selected from the group of compounds having the following formulas: (i) R 5 Si(NR 3 R 4 ) x H 3-x ; (ii) R 6 Si(OR 7 ) x H 3-x ; (iii) an organoaminosilane having a formula of R 8 N(SiR 9 (NR 10 R 11 )H) 2 ; and combinations of (i), (ii), and (iii) wherein R 3 , R 4 , and R 7 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group; R
  • the composition further comprises a second precursor comprising an organoaminosilane having a formula Si(NR 1 R 2 )H 3 wherein R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring.
  • R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3
  • the precursors in the composition described herein are typically high purity volatile liquid precursor chemical that are vaporized and delivered to a deposition chamber or reactor as a gas to deposit a silicon containing film via CVD or ALD processes for semiconductor or other devices.
  • the selection of precursor materials for deposition depends upon the desired resultant dielectric material or film.
  • a precursor material may be chosen for its content of chemical elements, its stoichiometric ratios of the chemical elements, and/or the resultant silicon containing film or coating that are formed under CVD.
  • the precursor material used in the compositions may also be chosen for various other characteristics such as cost, relatively low toxicity, handling characteristics, ability to maintain liquid phase at room temperature, volatility, molecular weight, and/or other considerations.
  • the precursors in the composition described herein can be delivered to the reactor system by any number of means, preferably using a pressurizable stainless steel vessel fitted with the proper valves and fittings, to allow the delivery of liquid phase precursor to the deposition chamber or reactor.
  • the precursors in the compositions described herein exhibits a balance of reactivity and stability that makes them ideally suitable as CVD or ALD precursors.
  • certain precursors may have boiling points that are too high to be vaporized and delivered to the reactor to be deposited as a film on a substrate.
  • Precursors having higher relative boiling points require that the delivery container and lines need to be heated at or above the boiling point of the precursor to prevent condensation or particles from forming in the container, lines, or both.
  • other organosilane precursors may form silane (SiH 4 ) as they degrade.
  • Silane is pyrophoric at room temperature or it can spontaneously combust which presents safety and handling issues.
  • the formation of silane and other by-products decreases the purity level of the precursor and changes as small as 1 to 2% in chemical purity may be considered unacceptable for reliable semiconductor manufacture.
  • the precursors in the compositions described herein comprise less than 2% by weight, or less than 1% by weight, or less than 0.5% by weight of by-product (such as the corresponding bis-silane byproduct) after being stored for a 6 months or greater, or one year or greater time period which is indicative of being shelf stable.
  • the organoaminosilane precursor described herein may be able to deposit high density materials at relatively low deposition temperatures, e.g., 500° C. or less, or 400° C. or less, 300° C. or less, 200° C. or less, 100° C. or less, or 50° C. or less.
  • the composition described herein can deposit the carbon-doped silicon containing film at a deposition temperature of about 250° C. or less, 200° C. or less, 100° C. or less, or 50° C. or less.
  • compositions described herein are used to deposit carbon-doped silicon-containing film that exhibit a higher wet etch resistance and a lower hydrophobicity compared to silicon-containing films that do not contain carbon.
  • introduction of carbon to a silicon-containing film particularly in lower alkyl forms (e.g., Me, Et, Pr, groups), helps decrease the wet etch rate and increases the hydrophobicity.
  • Selective etching is particularly important in semiconductor patterning process. Additional advantages of adding carbon to a silicon containing film is to lower the dielectric constant or other electrical or physical attributes of the film.
  • the strength of the Si—C bond formed from the lower alkyl substituents on silicon, particularly the silicon-methyl bond, is sufficient for it to remain at least partially intact during film formation according to the processes described in this invention.
  • the residual organic carbon in the silicon-containing film imparts reduced dielectric constant and enhances hydrophobicity and also reduces the etch rate using dilute aqueous hydrofluoric acid.
  • compositions described herein contain at least one precursors comprising an organic group, a nitrogen atom and a silicon atom.
  • the first precursor is comprised of at least one compound selected from the compounds having the following formulas: (i) R 5 Si(NR 3 R 4 ) x H 3-x , (ii) R 6 Si(OR 7 ) x H 3-x , (iii) R 8 N(SiR 9 (NR 10 R 11 )H) 2 and combinations thereof.
  • the precursors described herein alone or in combination are delivered via a liquid injection apparatus.
  • the carbon content in the resulting films can be adjusted by one or more of the following: the amount of carbon contained in the precursor, the type of carbon contained in the precursor, deposition conditions, in certain embodiments, the number of cycles of the first precursor relative to the number of cycles of the second precursor in a cyclic CVD or ALD process, in certain embodiments, the ratio of first precursor to second precursor in the composition, or combinations thereof.
  • R 5 is selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or
  • R 3 and R 4 can be combined to form a cyclic group.
  • the cyclic group may be a carbocyclic or heterocyclic group.
  • the cyclic group can be saturated or, alternatively, unsaturated.
  • R 3 and R 4 are not combined to form a cyclic group.
  • the composition for depositing a carbon-doped silicon containing film comprises a first precursor(s) comprising an organoaminosilane having a formula of R 8 N(SiR 9 (NR 10 R 11 )H) 2 wherein R 8 and R 9 are each independently selected from the group consisting of hydrogen, C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group; R 10 and R 11 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3 to C 10 alky
  • R 10 and R 11 can be combined to form a cyclic group.
  • the cyclic group may be a carbocyclic or heterocyclic group.
  • the cyclic group can be saturated or, alternatively, unsaturated.
  • R 10 and R 11 are not combined to form a cyclic group.
  • the first precursor comprises an organoaminosilane with a formula of R 8 N(SiR 9 LH) 2 wherein R 8 and R 9 are independently selected from the group consisting of hydrogen, C 1 to C 10 linear or branched alkyl, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group; and L is a halide selected from the group consisting of Cl, Br, I.
  • the composition for depositing a carbon-doped silicon containing film further comprises a second precursor comprising an organoaminosilane having a formula Si(NR 1 R 2 )H 3 wherein R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring.
  • R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 al
  • R 1 and R 2 can be linked together to form a ring.
  • the ring comprises a heterocyclic ring.
  • the ring, or alternatively, heterocyclic ring may be saturated or unsaturated.
  • R 1 and R 2 are not linked together to form a ring.
  • R 12 , R 13 , and R 14 are each independently selected from the group consisting of H, a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched
  • R 13 and R 14 can be linked together to form a ring.
  • the ring comprises a heterocyclic ring.
  • the ring, or alternatively, heterocyclic ring may be saturated or unsaturated.
  • R 13 and R 14 are not linked together to form a ring.
  • alkyl denotes a linear or branched functional group having from 1 to 10, or 3 to 10, or 1 to 6 carbon atoms.
  • Exemplary linear alkyl groups include, but are not limited to, methyl, ethyl, propyl, butyl, pentyl, and hexyl groups.
  • Exemplary branched alkyl groups include, but are not limited to, isopropyl, isobutyl, sec-butyl, tert-butyl, iso-pentyl, tert-pentyl, isohexyl, and neohexyl.
  • the alkyl group may have one or more functional groups such as, but not limited to, an alkyl group, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto.
  • the alkyl group may be saturated or, alternatively, unsaturated.
  • cyclic alkyl denotes a cyclic group having from 3 to 10 or 5 to 10 atoms.
  • exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.
  • the cyclic alkyl group may have one or more C 1 to C 10 linear, branched substituents, or substituents containing oxygen or nitrogen atoms.
  • the cyclic alkyl group may have one or more linear or branched alkyls or alkoxy groups as substituents, such as, for example, a methylcyclohexyl group or a methoxycyclohexyl group
  • aryl denotes an aromatic cyclic functional group having from 5 to 10 carbon atoms or from 6 to 10 carbon atoms.
  • exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.
  • alkenyl group denotes a group which has one or more carbon-carbon double bonds and has from 2 to 20 or from 2 to 10 or from 2 to 6 carbon atoms.
  • alkynyl group denotes a group which has one or more carbon-carbon triple bonds and has from 2 to 20 or from 2 to 10 or from 2 to 6 carbon atoms.
  • the term “unsaturated” as used herein means that the functional group, substituent, ring or bridge has one or more carbon double or triple bonds.
  • An example of an unsaturated ring can be, without limitation, an aromatic ring such as a phenyl ring.
  • the term “saturated” means that the functional group, substituent, ring or bridge does not have one or more double or triple bonds.
  • the term “carbocyclic or heterocyclic ring” denotes a carbocyclic or heterocyclic ring.
  • exemplary cyclic or alkyl substituted cyclic ring groups include, but not limited to, cyclohexyl, cyclopentyl, pyrrolidino, piperidino, morpholino, 2,5-dimethylpyrrolidino, 2,6-dimethylpiperidino, or other alkyl-substituted derivatives.
  • one or more of the alkyl group, alkenyl group, alkynyl group, aryl group, and/or aromatic group in the foregoing formulas may be substituted or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom.
  • substituents include, but are not limited to, oxygen, sulfur, halide atoms (e.g., F, Cl, I, or Br), nitrogen, and phosphorous.
  • one or more of the alkyl group, alkenyl group, alkynyl group, alkoxyalkyl group, alkoxy group, alkylaminoalkyl group, aromatic and/or aryl group in the foregoing formulas may be unsubstituted.
  • methyl-substituted compounds which can be used as the first precursor in the compositions described herein include, without limitation, bis(dimethylamino)methylsilane, diethylaminomethylsilane, t-butylaminomethylsilane, and isopropylaminomethylsilane.
  • the first precursor, second precursor, or both having the foregoing formulas has one or more substituents comprising oxygen atoms. In these embodiments, the need for an oxygen source during the deposition process may be avoided. In other embodiments, the first precursor, second precursor, or both having the foregoing formulas have one or more substituents comprising oxygen atoms also uses an oxygen source.
  • the organoaminoalkylsilane having the formula R 5 Si(NR 3 R 4 ) x H 3-x can be prepared by reacting an alkyl amine, R 3 R 4 NH, with a halosilane or an aminosilane in an organic solvent or solvent mixture with removal of hydrogen halide, or amine.
  • the hydrogen halide may be conveniently removed by precipitation upon adding a tertiary amine and forming the corresponding amine hydrochloride salt.
  • the organoaminoalkylsilane having the formula R 5 Si(NR 3 R 4 ) x H 3-x can be prepared by reacting an alkyl amine, R 3 R 4 NH, with a halosilane or an aminosilane in an organic solvent or solvent mixture with removal of hydrogen halide or amine.
  • the hydrogen halide may be conveniently removed by precipitation upon adding a tertiary amine and forming the corresponding amine hydrochloride salt.
  • the composition described herein comprises a first precursor having the formula R 8 N(SiR 9 (NR 10 R 11 )H) 2 wherein R 8 , R 9 , R 10 and R 11 are substituent described herein.
  • R 9 is hydrogen
  • the compound can be prepared, for example, in a method described in the following Equation 3 and 4 below and wherein R 8 , R 9 , R 10 and R 11 are substituent described herein:
  • the organoaminosilanes can be prepared, for example, in a method described in following Equation 5 below and wherein R 8 and R 9 are substituent described herein:
  • the first precursor the second precursor have similar boiling points (b.p.) or the difference between the b.p. of the first precursor and the b.p. of the second precursor is 40° C. or less, 30° C. or less, or 20° C. or less, or 10° C.
  • the difference between the boiling of the first and second precursors ranges from any one or more of the following end-points: 0, 10, 20, 30, or 40° C. Examples of suitable ranges of b.p. difference include without limitation, 0 to 40° C., 20° to 30° C., or 10° to 30° C.
  • the first and the second precursors can be delivered via direct liquid injection, vapor draw or bubbling while still keeping the same liquid ratio in the gas phase.
  • the amount of first precursor in the composition ranges from 0.5% by weight to 99.5% or from 10% by weight to 75% with the balance being the second precursor or any additional precursors added thereto.
  • the amount of second precursor in the composition by weight percentage ranges from 0.5% by weight to 99.5% or from 10% by weight to 75% with the balance being the first precursor(s) or any additional precursors.
  • the composition comprises 100% of the first precursor.
  • One embodiment of the present invention is related to a precursor formulation consisting of an organoaminosilane with a formula of Si(NR 1 R 2 )H 3 and an organoaminoalkylsilane with a formula of R 5 Si(NR 3 R 4 ) x H 3-x
  • R 1-4 are selected from the group consisting of C 1 to C 10 linear or branched alkyl, alkyl containing other elements such as oxygen or nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon
  • R 5 is selected from the group consisting of C 1 to C 10 linear or branched alkyl, alkyl containing oxygen or nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, Cl, Br, and I
  • R 1 and R 2 can form a cyclic or alkyl substituted cyclic ring
  • R 3 and R 4 can also form a cyclic or alkyl substituted cyclic ring
  • x 1, 2, 3.
  • the exemplary compositions may be provided in a stainless steel vessel, such as without limitation, a pressurizable vessel for storage and delivery to the reactor.
  • the vessel is fitted with the proper valves and fittings to allow the delivery of the first and second precursor to the reactor for a CVD or an ALD process.
  • such vessels can also have means for mixing the first and optional second precursors, if present, or can be premixed.
  • the first and optional second precursors can be maintained in separate vessels or in a single vessel having separation means for maintaining the precursors in the composition separate during storage.
  • Precursor 1 ( i Pr 2 N)R 5 SiH 2 wherein R 5 is selected ( i Pr 2 N)SiH 3 from the group consisting of Me (methyl), Et (ethyl), n Pr (normal propyl), i Pr (iso-propyl), n Bu (normal butyl), i Bu (iso-butyl), s Bu (secondary butyl), t Bu (tertiary butyl), isomers of pentyl, vinyl, phenyl, and alkyl substituted phenyl 2.
  • the method used to form the silicon-containing silicon containing films or coatings are deposition processes.
  • suitable deposition processes for the method disclosed herein include, but are not limited to, cyclic CVD (CCVD), MOCVD (Metal Organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition (“PECVD”), high density PECVD, photon assisted CVD, plasma-photon assisted (“PPECVD”), cryogenic chemical vapor deposition, chemical assisted vapor deposition, hot-filament chemical vapor deposition, CVD of a liquid polymer precursor, deposition from supercritical fluids, and low energy CVD (LECVD).
  • CCVD cyclic CVD
  • MOCVD Metal Organic CVD
  • PECVD plasma enhanced chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PECVD plasma-photon assisted
  • cryogenic chemical vapor deposition chemical assisted vapor deposition
  • hot-filament chemical vapor deposition hot-filament chemical vapor deposition
  • the metal containing films are deposited via atomic layer deposition (ALD), plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process.
  • ALD atomic layer deposition
  • PEALD plasma enhanced ALD
  • PECCVD plasma enhanced cyclic CVD
  • chemical vapor deposition processes refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposition.
  • atomic layer deposition process refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposit films of materials onto substrates of varying compositions.
  • the precursors, reagents and sources used herein may be sometimes described as “gaseous”, it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation.
  • the vaporized precursors can pass through a plasma generator.
  • the silicon containing film is deposited using an ALD process.
  • the silicon containing film is deposited using a CCVD process.
  • the silicon containing film is deposited using a thermal CVD process.
  • reactor as used herein, includes without limitation, reaction chamber or deposition chamber.
  • the method disclosed herein avoids pre-reaction of the precursors by using ALD or CCVD methods that separate the precursor(s) prior to and/or during the introduction to the reactor.
  • deposition techniques such as ALD or CCVD processes are used to deposit the carbon-doped silicon containing film.
  • the film is deposited via an ALD process by exposing the substrate surface alternatively to the one or more the first precursor, oxygen source if an oxide film, nitrogen-containing source if a nitride film, second precursor, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent, and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.
  • the compositions described herein may be able to deposit films at relatively low deposition temperatures, e.g., of 500° C. or less, or 400° C. or less, 300° C. or less, 200° C. or less, 100° C. or less, or 50° C. or less or room temperature.
  • the substrate (deposition) temperature ranges from any one or more of the following end-points: 0, 25, 50, 100, 200, 300, 400, or 500° C.
  • the deposition temperature is below 200° C. which allows carbon to be incorporated into the resulting films, providing films such as carbon doped silicon oxide with low etching rate.
  • the one or more silicon-containing precursors may be introduced into the reactor at a predetermined molar volume, or from about 0.1 to about 1000 micromoles.
  • the silicon-containing and/or organoaminosilane precursor may be introduced into the reactor for a predetermined time period. In certain embodiments, the time period ranges from about 0.001 to about 500 seconds.
  • the silicon containing films deposited using the methods described herein is formed in the presence of oxygen using an oxygen source, reagent or precursor comprising oxygen.
  • An oxygen source may be introduced into the reactor in the form of at least one oxygen source and/or may be present incidentally in the other precursors used in the deposition process.
  • Suitable oxygen source gases may include, for example, water (H 2 O) (e.g., deionized water, purifier water, and/or distilled water), water plasma, oxygen (O 2 ), peroxide (O 3 ), oxygen plasma, ozone (O 3 ), NO, NO 2 , carbon monoxide (CO), carbon dioxide (CO 2 ) and combinations thereof.
  • the oxygen source comprises an oxygen source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (sccm) or from about 1 to about 1000 sccm.
  • the oxygen source can be introduced for a time that ranges from about 0.1 to about 100 seconds.
  • the oxygen source comprises water having a temperature of 10° C. or greater.
  • the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the oxygen source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds.
  • the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
  • the oxygen source or reagent is provided in a molecular amount less than a 1:1 ratio to the silicon precursor, so that at least some carbon is retained in the as deposited silicon containing film.
  • the silicon containing films comprise silicon and nitrogen.
  • the silicon containing films deposited using the methods described herein are formed in the presence of nitrogen-containing source.
  • a nitrogen-containing source may be introduced into the reactor in the form of at least one nitrogen source and/or may be present incidentally in the other precursors used in the deposition process.
  • Suitable nitrogen-containing source gases may include, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, and mixture thereof.
  • the nitrogen-containing source comprises an ammonia plasma or hydrogen/nitrogen plasma source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (sccm) or from about 1 to about 1000 sccm.
  • the nitrogen-containing source can be introduced for a time that ranges from about 0.1 to about 100 seconds.
  • the precursor pulse can have a pulse duration that is greater than 0.01 seconds
  • the nitrogen-containing source can have a pulse duration that is less than 0.01 seconds
  • the water pulse duration can have a pulse duration that is less than 0.01 seconds.
  • the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
  • the deposition methods disclosed herein may involve one or more purge gases.
  • the purge gas which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors.
  • Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N 2 ), helium (He), neon, hydrogen (H 2 ), and mixtures thereof.
  • a purge gas such as Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 sccm for about 0.1 to 1000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor.
  • the respective step of supplying the precursor(s), oxygen source, the nitrogen-containing source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting silicon containing film.
  • Energy is applied to the at least one of the precursor, nitrogen-containing oxygen-containing source, reducing agent, other precursors or combination thereof to induce reaction and to form the silicon containing film or coating on the substrate.
  • energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, and combinations thereof.
  • a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface.
  • the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
  • the organoaminosilane precursors and/or other silicon-containing precursors may be delivered to the reaction chamber such as a CVD or ALD reactor in a variety of ways.
  • a liquid delivery system may be utilized.
  • a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, Minn., to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor.
  • the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same.
  • the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
  • a vessel for depositing a silicon containing film comprising the composition comprising, consisting essentially of, or consisting of, the first and optionally second precursors are described herein.
  • the vessel comprises at least one pressurizable vessel (preferably of stainless steel) fitted with the proper valves and fittings to allow the delivery of the first and second precursor to the reactor for a CVD or an ALD process.
  • the first and optionally second precursors are provided in a pressurizable vessel comprised of stainless steel and the purity of the precursor is 98% by weight or greater or 99.5% or greater which is suitable for the majority of semiconductor applications.
  • such vessels can also have means for mixing the first and optional second precursors, if present, or can be premixed.
  • the first and optional second precursors can be maintained in separate vessels or in a single vessel having separation means for maintaining the precursors in the composition separate during storage.
  • the purity level of the precursor(s) in the composition is sufficiently high enough to be acceptable for reliable semiconductor manufacturing.
  • the precursors described herein comprise less than 2% by weight, or less than 1% by weight, or less than 0.5% by weight of one or more of the following impurities: free amines, halides, and higher molecular weight species.
  • Higher purity levels of the precursors described herein can be obtained through one or more of the following processes: purification, adsorption, and/or distillation.
  • the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container or containers (depending upon whether the first and optionally second precursors (in certain embodiments) are delivered separately or together) is kept at one or more temperatures for bubbling.
  • a solution comprising the first and optionally second precursor (depending upon whether the first and, if present optionally second, precursors are delivered separately or together) is injected into a vaporizer kept at one or more temperatures for direct liquid injection.
  • a flow of argon and/or other gas may be employed as a carrier gas to help deliver the vapor of the precursors to the reaction chamber during the precursor pulsing.
  • the reaction chamber process pressure is about 1 Torr.
  • the substrate such as a silicon oxide substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon-containing precursor initially to allow the complex to chemically adsorb onto the surface of the substrate.
  • a purge gas such as argon purges away unabsorbed excess complex from the process chamber.
  • a nitrogen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber.
  • the process cycle can be repeated to achieve the desired film thickness.
  • the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the precursors and the nitrogen-containing source gases may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon containing film.
  • the method to deposit the carbon-doped silicon-containing film is an ALD or cyclic CVD method and the composition comprises a first and second precursor.
  • the order of the first and second precursor can be delivered in any one or more of the following manners wherein A refers to the delivery of the first precursor and B refers to the delivery of the second precursor: ABABABAB . . . wherein the first and second precursors are alternated until the desired number of cycles are completed; AAAAABBBBB . . . wherein the first precursor is introduced for the first half of the process cycles and the second precursor is introduced for the second half of the process cycles; and combinations thereof.
  • the number of process cycles of the first precursor relative to the second precursor can be optimized to allow for a gradient of carbon within the carbon-containing silicon film.
  • the method disclosed herein forms the carbon doped silicon oxide films using a precursor composition and an oxygen source.
  • the method comprises the following steps:
  • Step 1 Contacting vapors generated from a composition comprising an first precursor comprising an organoalkoxyalkylsilane, and optionally a second precursor comprising an organoaminosilane, with a substrate to chemically sorb the precursors on the heated substrate; Step 2. Purging away any unsorbed precursors; Step 3. Introducing an oxygen source on the heated substrate to react with the sorbed precursors; and, Step 4. Purging away any unreacted oxygen source.
  • the steps 1 through 4 are repeated until a desired thickness is achieved.
  • the method comprises the following steps:
  • Step 1 Contacting vapors generated from a first precursor with a substrate to chemically sorb the precursor on the heated substrate, the first precursor which is at least one compound selected from the compounds having the following formulas: R 5 Si(NR 3 R 4 ) x H 3-x (a) R 6 Si(OR 7 ) x H 3-x (b) R 8 N(SiR 9 (NR 10 R 11 )H) 2 (c) wherein R 3 , R 4 , and R 7 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group; R 5 and R 6 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group
  • Step 3. Introducing an oxygen source on the heated substrate to react with the sorbed silicon precursor; Step 4. Purging away any unreacted oxygen source; Step 5.
  • the second precursor compound has the formula Si(NR 1 R 2 )H 3 wherein R 1 and R 2 are each independently selected from the group consisting of a C 1 to C 10 linear or branched alkyl group, a C 3 to C 10 cyclic alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 5 to C 10 aromatic group, and a C 3 to C 10 saturated or unsaturated heterocyclic group and wherein R 1 and R 2 can form a cyclic ring or an alkyl-substituted cyclic ring; Step 6. Purging away any unsorbed precursors; Step 7.
  • the carbon-doped silicon containing films described herein have a dielectric constant of 6 or less.
  • the films can a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below.
  • films having other dielectric constants e.g., higher or lower can be formed depending upon the desired end-use of the film.
  • the method described herein may be used to deposit a carbon-doped silicon-containing film on at least a portion of a substrate.
  • suitable substrates include but are not limited to, silicon, SiO 2 , Si 3 N 4 , OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN and transparent amorphous oxide semiconductor (TAOS) or metal oxide materials include a-IGZO (amorphous gallium indium zinc oxide), zinc oxide.
  • the films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.
  • the deposited films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), and liquid crystal displays (LCD).
  • MEMS microelectromechanical systems
  • TFT thin film transistor
  • LCD liquid crystal displays
  • 2,6-dimethylpiperidino(chloro)silane was prepared by dissolving 0.052 Nm 3 of dichlorosilane in 4.36 L of hexanes in a 6 L stirred reactor at ⁇ 20° C. under a nitrogen atmosphere. To this solution was added 244 g of triethylamine and then 260 g of cis-2,6-dimethylpiperidine was added slowly with continuous agitation while maintaining the temperature at ⁇ 20° C. Once the addition was complete, the mixture was allowed to warm to 20° C. and stirred for 16 h. A voluminous white precipitate formed, which was removed by filtration. The precipitate was rinsed with hexane.
  • the filtrate combined with the rinses contained 2,6-dimethylpiperidino(chloro)silane, which was isolated by stripping at reduced pressure to remove the hexanes. Further purification was obtained by simple distillation of the residue at 100° C. under reduced pressure. The identity of 2,6-dimethylpiperidino(chloro)silane was determined by mass spectrometry which showed peaks at 177 (M+), 162 (M-CH 3 ) which are consistent with the molecular weight (177.75) of 2,6-dimethylpiperidino(chloro)silane.
  • Atomic layers depositions of silicon-containing films were conducted using the following precursors: 2,6-dimethylpiperidinosilane and 2,6-dimethylpiperidinomethylsilane.
  • the depositions were performed on a laboratory scale ALD processing tool. All gases (e.g., purge and reactant gas or precursor and oxygen source) were preheated to 100° C. prior to entering the deposition zone. Gases and precursor flow rates were controlled with ALD diaphragm valves having high speed actuation.
  • the substrates used in the deposition were 12 inch length silicon strips having thermocouples attached on a sample holder to confirm the substrate temperature. Depositions were performed using ozone as the oxygen source gas and the process parameters of the depositions are provided in Table II.
  • the resultant silicon-containing films were characterized for deposition rate and refractive index. Thickness and refractive indices of the films was measured using a FilmTek 2000SE ellipsometer by fitting the reflection data from the film to a pre-set physical model (e.g., the Lorentz Oscillator model).
  • Method (a) describes the formation of silicon-containing films using 2,6-dimethylpiperidinosilane at three different substrate temperatures: 300° C., 150° C. and 100° C. using the following process steps:
  • Step 3 Introducing ozone to react with the sorbed 2,6-dimethylpiperidinosilane
  • Step 4 Purging away any unreacted ozone
  • Method (a) were repeated 500 times.
  • the deposited films do not show any significant C—H signatures at 2800-2960 cm ⁇ 1 or Si—CH 3 peak at ⁇ 1250 cm ⁇ 1 , as confirmed with FTIR.
  • Method (b) describes the formation of silicon-containing films using 2,6-dimethylpiperidinomethylsilane at three different substrate temperatures: 300° C., 150° C. and 100° C. using the following process steps:
  • Step 3 Introducing ozone to react with the sorbed 2,6-dimethylpiperidinomethylsilane
  • Step 4 Purging away any unreacted ozone
  • Method (c) describes the formation of silicon-containing films using alternating doses of the first precursor 2,6-dimethylpiperidinomethylsilane and the second precursor 2,6-dimethylpiperidinosilane at a substrate temperature of 100° C.;
  • Step 3 Introducing ozone to react with the sorbed 2,6-dimethylpiperidinosilane
  • Step 4 Purging away any unreacted ozone
  • Step 6 Purging away any unsorbed 2,6-dimethylpiperidinomethylsilane
  • Step 7 Introducing ozone to react with the sorbed 2,6-dimethylpiperidinomethylsilane
  • Step 8 Purging away any unreacted ozone
  • the wet etch rates for silicon-containing films using 2,6-dimethylpiperidinosilane showed no improvement regardless of deposition temperatures which is consistent with no carbon incorporation into the films.
  • silicon-containing films deposited at 300° C. using 2,6-dimethylpiperidinomethylsilane shows very similar IR signature as the films from 2,6-dimethylpiperidinosilane, i.e. no C—H signatures at 2800-2960 cm ⁇ 1 and Si—CH 3 signature at ⁇ 1250 cm ⁇ 1 , although it was hoped that the Si—CH 3 group in 2,6-dimethylpiperidinomethylsilane would be incorporated into the resulting silicon-containing films.
  • the wet etch rate is directly correlated with the amount of carbon incorporated into the films, i.e. the higher the carbon content, the lower the wet etch rate.
  • the carbon content in the films deposited at 300° C. using either 2,6-dimethylpiperidinosilane or 2,6-dimethylpiperidinomethylsilane deposited were very similar at 2 ⁇ 10 19 atoms/cc, indicating that the ozone effectively oxidized the Si—CH 3 group in 2,6-dimethylpiperidinomethylsilane.
  • the amount of carbon in the films can also be adjusted by several other methods such as decreasing ozone pulse time, decreasing ozone concentration, alternating layers of carbon doped silicon containing film as well as co-depositing carbon doped silicon containing layer with non-carbon doped silicon containing films.
  • FIG. 3 shows the IR spectra comparison between 2,6-dimethylpiperidinosilane and 2,6-dimethylpiperidinomethylsilane deposited at 100° C.
  • FIG. 5 provides a comparison among 2,6-dimethylpiperidinomethylsilane films deposited at different temperatures. This example demonstrates that the carbon content of the silicon-containing can be tuned via varying deposition temperature or using two different organoaminosilanes.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Described herein are compositions for depositing a carbon-doped silicon containing film wherein the composition comprises a first precursor comprising at least one compound selected from the group consisting of: an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3; an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3; an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2; an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof; and optionally a second precursor comprising a compound having the formula: Si(NR1R2)H3. Also described herein are methods for depositing a carbon-doped silicon-containing film using the composition wherein the method is one selected from the following: cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD).

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a divisional application of U.S. application Ser. No. 14/122,825, filed Jun. 4, 2014. This application also claims the benefit of U.S. Application No. 61/493,031, filed on Jun. 3, 2011. The disclosure of application Ser. No. 14/122,825 and 61/493,031 are hereby incorporated by reference.
BACKGROUND OF THE INVENTION
Precursor(s), particularly organoaminosilane precursors, that can be used for the deposition of silicon containing films, including but not limited to, silicon oxide films, silicon nitride films, or silicon oxynitride films which further comprise carbon (referred to collectively herein as carbon-doped silicon-containing films) are described herein. In yet another aspect, described herein is the use of the organoaminosilane precursor(s) for depositing silicon-containing in the fabrication of devices, such as, but not limited to, integrated circuit devices. In these or other aspects, the organoaminosilane precursor(s) may be used for a variety of deposition processes, including but not limited to, atomic layer deposition (“ALD”), chemical vapor deposition (“CVD”), plasma enhanced chemical vapor deposition (“PECVD”), low pressure chemical vapor deposition (“LPCVD”), and atmospheric pressure chemical vapor deposition.
Several classes of compounds can be used as precursors for carbon-doped silicon-containing films. Examples of these compounds suitable for use as precursors include silanes, chlorosilanes, polysilazanes, aminosilanes, and azidosilanes. Inert carrier gas or diluents such as, but not limited, helium, hydrogen, nitrogen, etc., are also used to deliver the precursors to the reaction chamber.
Some important characteristics of a carbon-doped silicon-containing film are wet etch resistance and hydrophobicity. Generally speaking, the introduction of carbon to a silicon-containing film helps decrease the wet etch rate and increases the hydrophobicity. Additional advantages of adding carbon to a silicon containing film is to lower the dielectric constant or provide improvements to other electrical or physical attributes of the film.
Further examples of precursors and processes for depositing carbon-doped silicon-containing films are provided in the following references. Applicants' patents, U.S. Pat. Nos. 7,875,556; 7,875,312; and U.S. Pat. No. 7,932,413, described classes of aminosilanes which are used for the deposition of dielectric films, such as, for example, silicon oxide and silicon carbonitride films in a chemical vapor deposition or atomic layer deposition process.
Japanese Publ. No. JP 2010/275602 describes a material for chemical vapor deposition for depositing a silicon-containing thin film that is represented by the formula HSiMe(R1)(NR2R3) (R1═NR4R5, C1-5 alkyl; R2, R4═H, C1-5 alkyl; R3, R5═C1-5 alkyl). The silicon-containing thin film is formed by temperatures ranging from 300-500° C.
US Publ. No. 2008/0124946A1 describes a process for depositing a carbon containing silicon oxide film, or a carbon containing silicon nitride film having enhanced etch resistance. The process comprises using a structure precursors containing silicon, a dopant precursor containing carbon, and mixing the dopant precursors with the structure precursor to obtain a mixture having a mixing ratio of Rm (% weight of the dopant precursor added to the structure precursor) between 2% and 85%; and a flow rate of Fm; providing a chemical modifier having a flow rate of Fc; having a flow ratio R2 defined as R2=Fm/Fc between 25% and 75%; and producing the carbon containing silicon containing film or the carbon containing silicon oxide film having enhanced etch resistance wherein the etch resistance is increased with increasing incorporation of the carbon.
US Publ. No. 2006/0228903 describes a process for fabricating a carbon doped silicon nitride layer using a first precursor which provides a source of silicon and a second precursor which adds carbon to the film. Examples of first precursor described in the '903 publication include halogenated silanes and disilanes, aminosilanes, cyclodisilazanes, linear and branched silizanes, azidosilanes, substituted versions of 1,2,4,5-tetraaza-3,6-disilacyclohexane, and silyl hydrazines. Examples of the second precursor described in the '903 publication are alkyl silanes that have the general formula SiR4 where R is any ligand including but not limited to hydrogen, alkyl and aryl (all R groups are independent), alkyl polysilanes, halogenated alkyl silanes, carbon bridged silane precursors; and silyl ethanes/ethylene precursors.
US Publ. No. 2005/0287747A1 describes a process for forming a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film that includes adding at least one non-silicon precursor (such as a germanium precursor, a carbon precursor, etc.) to improve the deposition rate and/or makes possible tuning of properties of the film, such as tuning of the stress of the film.
U.S. Pat. No. 5,744,196A discloses the process comprises (a) heating a substrate upon which SiO2 is to be deposited to approximately 150-500 Deg in a vacuum maintained at approximately 50-750 m torr; (b) introducing into the vacuum an organosilane-containing feed and an O-containing feed, the organosilane contg.-feed consisting essentially of >=1 compds. having the general formula R1Si(H2)Cx(R4)2Si(H2)R2, where R1, R2═C1-6 alkyl, alkenyl, alkynyl, or aryl, or R1 and R2 are combined to form an alkyl chain Cx(R3)2; R3═H, CxH2x+1; x=1-6; R4═H, CyH2y+1; and y=1-6; and (c) maintaining the temperature and vacuum, thereby causing a thin film of SiO2 to deposit on the substrate.
Precursors and processes that are used in depositing carbon-doped silicon oxide films generally deposit the films at temperatures greater than 550° C. The trend of miniaturization of semiconductor devices and low thermal budget requires lower process temperatures and higher deposition rates. Further, there is a need in the art to provide novel precursors or combinations of precursors that may allow for more effective control of the carbon content contained in the carbon-doped silicon containing film. Accordingly, there is a continuing need in the art to provide compositions of precursors for the deposition of carbon-doped silicon-containing films which provide films that exhibit one or more of the following attributes: lower relative etch rates, greater hydrophobicity, higher deposition rates, higher density, compared to films deposited using the individual precursors alone.
BRIEF SUMMARY OF THE INVENTION
Described herein are precursor compositions and methods using same for forming films comprising carbon-doped silicon (referred to herein as silicon containing films), such as, but not limited to, carbon-doped stoichiometric or non-stoichiometric silicon oxide, carbon-doped stoichiometric or non-stoichiometric silicon nitride, silicon oxynitride, silicon oxycarbide, silicon carbonitride, and combinations thereof onto at least a portion of a substrate. In certain embodiments, the carbon-doped silicon-containing can have a carbon content of 2×1019 carbon atom/cc or less of carbon as measured by measured by dynamic Secondary Ions Mass Spectrometry (SIMS). In alternative embodiments, the carbon-doped silicon-containing films can have a carbon content that ranges from about 2×1019 carbon atom/cc to 2×1022 carbon atom/cc as measured by dynamic SIMS.
Also described herein are the methods to form carbon-doped silicon containing films or coatings on an object to be processed, such as, for example, a semiconductor wafer. In one embodiment of the method described herein, a layer comprising silicon, carbon and oxygen is deposited onto a substrate using the precursor composition described herein and an oxidizing agent in a deposition chamber under conditions for generating a carbon-doped silicon oxide layer on the substrate. In another embodiment of the method described herein, a layer comprising silicon, carbon, and nitrogen is deposited onto a substrate using the precursor composition described herein and an nitrogen containing precursor in a deposition chamber under conditions for generating a carbon-doped silicon nitride layer on the substrate. In certain embodiments, the deposition method for depositing the carbon-doped silicon-containing film using the precursor composition described herein is selected from the group consisting of cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD).
In one aspect, there is provided a composition for depositing a carbon-doped silicon containing film comprising:
(a) a first precursor comprising at least one selected from the group consisting of:
    • (i) an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3;
    • (ii) an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3;
    • (iii) an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2;
    • (iv) an organoaminosilane having a formula of R8N(SiR9LH)2; and combinations thereof;
      wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 and R6 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group, and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group, and L=Cl, Br, or I; wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring; and
(b) optionally a second precursor comprising an organoaminosilane having a formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring.
In a further aspect, there is provided a composition for depositing a carbon-doped silicon containing film comprising:
a first precursor comprising at least one selected from the group consisting of:
    • an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3;
    • an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3;
    • an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2;
    • an organoaminosilane having a formula of R8N(SiR9LH)2; and combinations thereof;
      wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 and R6 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group, and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group, and L=Cl, Br, or I; wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring; and
optionally a second precursor comprising an organoaminosilane having a formula of R12Si(NR13R14)xH3-x wherein x=0, 1, 2, 3, and 4, wherein R12, R13, and R14 are each independently selected from the group consisting of H, a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R13 and R14 can form a cyclic ring or an alkyl-substituted cyclic ring.
In another aspect, there is provided a composition for depositing a carbon-doped silicon containing film comprising: a first precursor comprising an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3 wherein R3 and R4 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 is selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group, and a halide atom, and wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring. In this or other embodiments, the composition further comprises a second precursor comprising an organoaminosilane having a formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring.
In a further aspect, there is provided a composition for depositing a carbon-doped silicon containing film comprising: a first precursor comprising: an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3 and wherein R7 is independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R6 is independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group, and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group. In this or other embodiments, the composition further comprises a second precursor comprising an organoaminosilane having a formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring.
In yet another aspect, there is provided a composition for depositing a carbon-doped silicon containing film comprising: a first precursor comprising: an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2 wherein R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring. In this or other embodiments, the composition further comprises a second precursor comprising an organoaminosilane having a formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring.
In another aspect, there is provided a method of forming a carbon-doped silicon oxide film via an atomic layer deposition process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor a first precursor comprising at least one compound selected from the group consisting of:
    • (i) an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3;
    • (ii) an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3;
    • (iii) an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2;
    • (iv) an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof;
      wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 and R6 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; L=Cl, Br, or I and wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring;
c. purging the reactor with a purge gas;
d. introducing an oxygen source into the reactor;
e. introducing into the reactor a second precursor having the following formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring;
f. purging the reactor with a purge gas;
g. introducing an oxygen source into the reactor;
h. purging the reactor with a purge gas; and
i. repeating the steps b through h until a desired thickness of the film is obtained. In one particular embodiment of the method described herein, the precursor in step (b) comprises an organoaminoalkylsilane described herein as (i). More particularly, the precursor in step (b) comprises the organaoaminoalkylsilane 2,6-dimethylpiperidinomethylsilane.
In another aspect, there is provided a method of forming a carbon-doped silicon nitride film via an atomic layer deposition process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor a first precursor comprising at least one compound selected from the group consisting of:
    • (i) an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3;
    • (ii) an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3;
    • (iii) an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2;
    • (iv) an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof;
      wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 and R6 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; L=Cl, Br, or I and wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring;
c. purging the reactor with a purge gas;
d. introducing a nitrogen source into the reactor;
e. introducing into the reactor a second precursor having the following formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring
f. purging the reactor with a purge gas;
g. introducing a nitrogen source into the reactor;
h. purging the reactor with a purge gas; and
i. repeating the steps b through h until a desired thickness of the film is obtained. In one particular embodiment of the method described herein, the precursor in step (b) comprises an organoaminoalkylsilane described herein as (i). More particularly, the precursor in step (b) comprises the organaoaminoalkylsilane 2,6-dimethylpiperidinomethylsilane.
In another aspect, there is provided a method of forming a carbon-doped silicon oxide film via an atomic layer deposition process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor a first precursor comprising at least one compound selected from the group consisting of:
    • (v) an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3;
    • (vi) an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3;
    • (vii) an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2;
    • (viii) an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof;
      wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 and R6 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; L=Cl, Br, or I and wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring;
c. purging the reactor with a purge gas;
d. introducing an oxygen source into the reactor;
e. introducing into the reactor a second precursor having a formula of R12Si(NR13R14)xH3-x wherein x=0, 1, 2, 3, and 4, wherein R12, R13, and R14 are each independently selected from the group consisting of H, a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R13 and R14 can form a cyclic ring or an alkyl-substituted cyclic ring;
f. purging the reactor with a purge gas;
g. introducing an oxygen source into the reactor;
h. purging the reactor with a purge gas; and
i. repeating the steps b through h until a desired thickness of the film is obtained. In one particular embodiment of the method described herein, the precursor in step (b) comprises an organoaminoalkylsilane described herein as (i). More particularly, the precursor in step (b) comprises the organaoaminoalkylsilane 2,6-dimethylpiperidinomethylsilane.
In another aspect, there is provided a method of forming a carbon-doped silicon nitride film via an atomic layer deposition process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor a first precursor comprising at least one compound selected from the group consisting of:
    • (v) an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3;
    • (vi) an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3;
    • (vii) an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2;
    • (viii) an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof;
      wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 and R6 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; L=Cl, Br, or I and wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring;
c. purging the reactor with a purge gas;
d. introducing a nitrogen source into the reactor;
e. introducing into the reactor a second precursor having a formula of R12Si(NR13R14)xH3-x wherein x=0, 1, 2, 3, and 4, wherein R12, R13, and R14 are each independently selected from the group consisting of H, a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R13 and R14 can form a cyclic ring or an alkyl-substituted cyclic ring;
f. purging the reactor with a purge gas;
g. introducing a nitrogen source into the reactor;
h. purging the reactor with a purge gas; and
i. repeating the steps b through h until a desired thickness of the film is obtained. In one particular embodiment of the method described herein, the precursor in step (b) comprises an organoaminoalkylsilane described herein as (i). More particularly, the precursor in step (b) comprises the organaoaminoalkylsilane 2,6-dimethylpiperidinomethylsilane.
BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
FIG. 1 provides the mass spectroscopy (MS) spectrum of 2,6-dimethylpiperidinomethylsilane described in Example 1.
FIG. 2 provides the thermal gravimetric analysis (TGA) and differential scanning calorimetry (DCS) analysis of 2,6-dimethylpiperidinomethylsilane.
FIG. 3 provides an IR spectra comparison of films deposited using 2,6-dimethylpiperidinosilane and 2,6-dimethylpiperidinomethylsilane at a temperature of 100° C.
FIG. 4 provides an IR spectra comparison of films deposited using 2,6-dimethylpiperidinomethylsilane at different temperatures (e.g., 100° C., 150° C., and 300° C.).
DETAILED DESCRIPTION OF THE INVENTION
Described herein are compositions comprising one or more precursors and processes for depositing a carbon-doped silicon-containing film via atomic layer deposition (ALD), cyclic chemical vapor deposition (CCVD) or plasma enhanced ALD (PEALD) or plasma enhanced CCVD (PECCVD) using the precursor compositions. The compositions described herein are comprised of, consist essentially of, or consist of, a first precursor comprising at least one compound selected from the group of compounds having the following formulas: (i) R5Si(NR3R4)xH3-x; (ii) R6Si(OR7)xH3-x; (iii) an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2; and combinations of (i), (ii), and (iii) wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 and R6 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and x=1, 2, or 3, and wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring. In certain embodiments, the composition further comprises a second precursor comprising an organoaminosilane having a formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring.
The precursors in the composition described herein are typically high purity volatile liquid precursor chemical that are vaporized and delivered to a deposition chamber or reactor as a gas to deposit a silicon containing film via CVD or ALD processes for semiconductor or other devices. The selection of precursor materials for deposition depends upon the desired resultant dielectric material or film. For example, a precursor material may be chosen for its content of chemical elements, its stoichiometric ratios of the chemical elements, and/or the resultant silicon containing film or coating that are formed under CVD. The precursor material used in the compositions may also be chosen for various other characteristics such as cost, relatively low toxicity, handling characteristics, ability to maintain liquid phase at room temperature, volatility, molecular weight, and/or other considerations. In certain embodiments, the precursors in the composition described herein can be delivered to the reactor system by any number of means, preferably using a pressurizable stainless steel vessel fitted with the proper valves and fittings, to allow the delivery of liquid phase precursor to the deposition chamber or reactor.
The precursors in the compositions described herein exhibits a balance of reactivity and stability that makes them ideally suitable as CVD or ALD precursors. With regard to reactivity, certain precursors may have boiling points that are too high to be vaporized and delivered to the reactor to be deposited as a film on a substrate. Precursors having higher relative boiling points require that the delivery container and lines need to be heated at or above the boiling point of the precursor to prevent condensation or particles from forming in the container, lines, or both. With regard to stability, other organosilane precursors may form silane (SiH4) as they degrade. Silane is pyrophoric at room temperature or it can spontaneously combust which presents safety and handling issues. Moreover, the formation of silane and other by-products decreases the purity level of the precursor and changes as small as 1 to 2% in chemical purity may be considered unacceptable for reliable semiconductor manufacture. In certain embodiments, the precursors in the compositions described herein comprise less than 2% by weight, or less than 1% by weight, or less than 0.5% by weight of by-product (such as the corresponding bis-silane byproduct) after being stored for a 6 months or greater, or one year or greater time period which is indicative of being shelf stable. In addition to the foregoing advantages, in certain embodiments, such as for depositing a silicon oxide or silicon nitride film using an ALD or PEALD deposition method, the organoaminosilane precursor described herein may be able to deposit high density materials at relatively low deposition temperatures, e.g., 500° C. or less, or 400° C. or less, 300° C. or less, 200° C. or less, 100° C. or less, or 50° C. or less. In certain embodiments, the composition described herein can deposit the carbon-doped silicon containing film at a deposition temperature of about 250° C. or less, 200° C. or less, 100° C. or less, or 50° C. or less.
The compositions described herein are used to deposit carbon-doped silicon-containing film that exhibit a higher wet etch resistance and a lower hydrophobicity compared to silicon-containing films that do not contain carbon. Not being bound by theory, the introduction of carbon to a silicon-containing film, particularly in lower alkyl forms (e.g., Me, Et, Pr, groups), helps decrease the wet etch rate and increases the hydrophobicity. Selective etching is particularly important in semiconductor patterning process. Additional advantages of adding carbon to a silicon containing film is to lower the dielectric constant or other electrical or physical attributes of the film. It is believed that the strength of the Si—C bond formed from the lower alkyl substituents on silicon, particularly the silicon-methyl bond, is sufficient for it to remain at least partially intact during film formation according to the processes described in this invention. The residual organic carbon in the silicon-containing film imparts reduced dielectric constant and enhances hydrophobicity and also reduces the etch rate using dilute aqueous hydrofluoric acid.
As previously discussed, the compositions described herein contain at least one precursors comprising an organic group, a nitrogen atom and a silicon atom. The first precursor is comprised of at least one compound selected from the compounds having the following formulas: (i) R5Si(NR3R4)xH3-x, (ii) R6Si(OR7)xH3-x, (iii) R8N(SiR9(NR10R11)H)2 and combinations thereof. In certain embodiments, the precursors described herein alone or in combination, are delivered via a liquid injection apparatus. The carbon content in the resulting films can be adjusted by one or more of the following: the amount of carbon contained in the precursor, the type of carbon contained in the precursor, deposition conditions, in certain embodiments, the number of cycles of the first precursor relative to the number of cycles of the second precursor in a cyclic CVD or ALD process, in certain embodiments, the ratio of first precursor to second precursor in the composition, or combinations thereof.
In one embodiment, the composition for depositing a carbon-doped silicon containing film comprises a first precursor(s) comprising an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3 and wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 is selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and a halide atom; and wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic. In certain embodiments of the organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x, R3 and R4 can be combined to form a cyclic group. In these embodiments, the cyclic group may be a carbocyclic or heterocyclic group. The cyclic group can be saturated or, alternatively, unsaturated. In other embodiments of the oragnoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x, R3 and R4 are not combined to form a cyclic group.
In another embodiment, the composition for depositing a carbon-doped silicon containing film comprises a first precursor(s) comprising an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3 and wherein R7 is selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R6 is selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group, and a halide atom.
In a further embodiment, the composition for depositing a carbon-doped silicon containing film comprises a first precursor(s) comprising an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2 wherein R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring. In certain embodiments of the organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2, R10 and R11 can be combined to form a cyclic group. In these embodiments, the cyclic group may be a carbocyclic or heterocyclic group. The cyclic group can be saturated or, alternatively, unsaturated. In other embodiments of the organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2, R10 and R11 are not combined to form a cyclic group.
In another embodiment, the first precursor comprises an organoaminosilane with a formula of R8N(SiR9LH)2 wherein R8 and R9 are independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and L is a halide selected from the group consisting of Cl, Br, I.
In certain embodiments, the composition for depositing a carbon-doped silicon containing film further comprises a second precursor comprising an organoaminosilane having a formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring. In certain embodiments of the organoaminosilane having formula Si(NR1R2)H3, R1 and R2 can be linked together to form a ring. In these or other embodiments, the ring comprises a heterocyclic ring. The ring, or alternatively, heterocyclic ring, may be saturated or unsaturated. In alternative embodiments of the organoaminosilane having formula Si(NR1R2)H3, R1 and R2 are not linked together to form a ring.
In an alternative embodiment, the optional second precursor can comprise an organoaminoalkylsilane having a formula of R12Si(NR13R14)xH3-x wherein x=0, 1, 2, 3, and 4, wherein R12, R13, and R14 are each independently selected from the group consisting of H, a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group. In certain embodiments of having formula, R13 and R14 can be linked together to form a ring. In these or other embodiments, the ring comprises a heterocyclic ring. The ring, or alternatively, heterocyclic ring, may be saturated or unsaturated. In alternative embodiments of the organoaminosilane having formula, R13 and R14 are not linked together to form a ring.
In the foregoing formulas for the first and second precursors and throughout the description, the term “alkyl” denotes a linear or branched functional group having from 1 to 10, or 3 to 10, or 1 to 6 carbon atoms. Exemplary linear alkyl groups include, but are not limited to, methyl, ethyl, propyl, butyl, pentyl, and hexyl groups. Exemplary branched alkyl groups include, but are not limited to, isopropyl, isobutyl, sec-butyl, tert-butyl, iso-pentyl, tert-pentyl, isohexyl, and neohexyl. In certain embodiments, the alkyl group may have one or more functional groups such as, but not limited to, an alkyl group, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto. The alkyl group may be saturated or, alternatively, unsaturated.
In the foregoing formulas and throughout the description, the term “cyclic alkyl” denotes a cyclic group having from 3 to 10 or 5 to 10 atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups. In certain embodiments, the cyclic alkyl group may have one or more C1 to C10 linear, branched substituents, or substituents containing oxygen or nitrogen atoms. In this or other embodiments, the cyclic alkyl group may have one or more linear or branched alkyls or alkoxy groups as substituents, such as, for example, a methylcyclohexyl group or a methoxycyclohexyl group
In the foregoing formulas and throughout the description, the term “aryl” denotes an aromatic cyclic functional group having from 5 to 10 carbon atoms or from 6 to 10 carbon atoms. Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.
In the foregoing formulas and throughout the description, the term “alkenyl group” denotes a group which has one or more carbon-carbon double bonds and has from 2 to 20 or from 2 to 10 or from 2 to 6 carbon atoms.
In the foregoing formulas and throughout the description, the term “alkynyl group” denotes a group which has one or more carbon-carbon triple bonds and has from 2 to 20 or from 2 to 10 or from 2 to 6 carbon atoms.
In the foregoing formulas and through the description, the term “unsaturated” as used herein means that the functional group, substituent, ring or bridge has one or more carbon double or triple bonds. An example of an unsaturated ring can be, without limitation, an aromatic ring such as a phenyl ring. The term “saturated” means that the functional group, substituent, ring or bridge does not have one or more double or triple bonds.
In certain embodiments, the term “carbocyclic or heterocyclic ring” denotes a carbocyclic or heterocyclic ring. Exemplary cyclic or alkyl substituted cyclic ring groups include, but not limited to, cyclohexyl, cyclopentyl, pyrrolidino, piperidino, morpholino, 2,5-dimethylpyrrolidino, 2,6-dimethylpiperidino, or other alkyl-substituted derivatives.
In certain embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, aryl group, and/or aromatic group in the foregoing formulas may be substituted or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom. Exemplary substituents include, but are not limited to, oxygen, sulfur, halide atoms (e.g., F, Cl, I, or Br), nitrogen, and phosphorous. In other embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, alkoxyalkyl group, alkoxy group, alkylaminoalkyl group, aromatic and/or aryl group in the foregoing formulas may be unsubstituted.
Some specific examples of methyl-substituted compounds which can be used as the first precursor in the compositions described herein include, without limitation, bis(dimethylamino)methylsilane, diethylaminomethylsilane, t-butylaminomethylsilane, and isopropylaminomethylsilane.
In certain embodiments, the first precursor, second precursor, or both having the foregoing formulas has one or more substituents comprising oxygen atoms. In these embodiments, the need for an oxygen source during the deposition process may be avoided. In other embodiments, the first precursor, second precursor, or both having the foregoing formulas have one or more substituents comprising oxygen atoms also uses an oxygen source.
In certain embodiments, the composition described herein comprises a first precursor or organoaminoalkylsilane having the formula R5Si(NR3R4)xH3-x wherein x=1, 2, 3 and R3, R4, and R5 are the substituents described herein. The organoaminoalkylsilane having the formula R5Si(NR3R4)xH3-x can be prepared by reacting an alkyl amine, R3R4NH, with a halosilane or an aminosilane in an organic solvent or solvent mixture with removal of hydrogen halide, or amine. The hydrogen halide may be conveniently removed by precipitation upon adding a tertiary amine and forming the corresponding amine hydrochloride salt. In one embodiment, an organoaminoalkylsilane having the formula R5Si(NR3R4)xH3-x wherein x=1 and R5═Cl can be prepared, for example, in the reaction represented by Equation (1) below and R3, Ware the substituents described herein:
In certain embodiments, the composition described herein comprises a first precursor or organoaminoalkylsilane having the formula R5Si(NR3R4)xH3-x wherein x=1, 2, 3 and R3, R4, and R5 are the substituents described herein. The organoaminoalkylsilane having the formula R5Si(NR3R4)xH3-x can be prepared by reacting an alkyl amine, R3R4NH, with a halosilane or an aminosilane in an organic solvent or solvent mixture with removal of hydrogen halide or amine. The hydrogen halide may be conveniently removed by precipitation upon adding a tertiary amine and forming the corresponding amine hydrochloride salt. In one embodiment, an organoaminoalkylsilane having the formula R5Si(NR3R4)xH3-x wherein x=1 and R5═Cl can be prepared, for example, in the reaction represented by Equation (1) below and R3, R4 are the substituents described herein:
Figure US10319584-20190611-C00001
Another organoaminoalkylsilane having the formula, R5Si(NR3R4)xH3-x wherein x=1 and R5 is a C1 to C10 linear or branched alkyl can be prepared, for example, in the reaction represented by Equation (2) below and R3, R4, and R5 are the substituents described herein:
Figure US10319584-20190611-C00002
In another embodiment, the composition described herein comprises a first precursor having the formula R8N(SiR9(NR10R11)H)2 wherein R8, R9, R10 and R11 are substituent described herein. In one particular embodiment of the foregoing formula, R9 is hydrogen, and the compound can be prepared, for example, in a method described in the following Equation 3 and 4 below and wherein R8, R9, R10 and R11 are substituent described herein:
Figure US10319584-20190611-C00003
In yet another embodiment, the first precursor comprises an organoaminosilane having a formula of R8N(SiR9LH)2 wherein R8 and R9 are the substituents described herein and L=Cl, Br, I. In one particular embodiment of the foregoing formula wherein L=Cl, the organoaminosilanes can be prepared, for example, in a method described in following Equation 5 below and wherein R8 and R9 are substituent described herein:
Figure US10319584-20190611-C00004
In embodiments wherein the composition comprises a first and second precursor, the first precursor the second precursor have similar boiling points (b.p.) or the difference between the b.p. of the first precursor and the b.p. of the second precursor is 40° C. or less, 30° C. or less, or 20° C. or less, or 10° C. Alternatively, the difference between the boiling of the first and second precursors ranges from any one or more of the following end-points: 0, 10, 20, 30, or 40° C. Examples of suitable ranges of b.p. difference include without limitation, 0 to 40° C., 20° to 30° C., or 10° to 30° C. In these embodiments, the first and the second precursors can be delivered via direct liquid injection, vapor draw or bubbling while still keeping the same liquid ratio in the gas phase.
In embodiments wherein the composition comprises a first and second precursor, the amount of first precursor in the composition, by weight percentage of the overall composition, ranges from 0.5% by weight to 99.5% or from 10% by weight to 75% with the balance being the second precursor or any additional precursors added thereto. In these or other embodiments, the amount of second precursor in the composition by weight percentage ranges from 0.5% by weight to 99.5% or from 10% by weight to 75% with the balance being the first precursor(s) or any additional precursors. In an alternative embodiment, the composition comprises 100% of the first precursor.
One embodiment of the present invention is related to a precursor formulation consisting of an organoaminosilane with a formula of Si(NR1R2)H3 and an organoaminoalkylsilane with a formula of R5Si(NR3R4)xH3-x wherein R1-4 are selected from the group consisting of C1 to C10 linear or branched alkyl, alkyl containing other elements such as oxygen or nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon; R5 is selected from the group consisting of C1 to C10 linear or branched alkyl, alkyl containing oxygen or nitrogen, cyclic alkyl, alkenyl, alkynyl, aromatic hydrocarbon, Cl, Br, and I; R1 and R2 can form a cyclic or alkyl substituted cyclic ring; R3 and R4 can also form a cyclic or alkyl substituted cyclic ring; x=1, 2, 3. Preferably, R1-2 and R3-4 are independently selected from the same C1 to C10 linear or branched alkyls.
Table I provides exemplary compositions comprising both first and second precursors wherein the first precursor comprises an organoaminoalkylsilane of the formula R5Si(NR3R4)xH3-x wherein x=1, 2, 3 and wherein Me (methyl), Et (ethyl), nPr (normal propyl), iPr (iso-propyl), n Bu (normal butyl), iBu (iso-butyl), sBu (secondary butyl), and tBu (tertiary butyl) and the optional second precursor comprises an organoaminosilane having the following general formula Si(NR1R2)H3. In these or other embodiments, the exemplary compositions may be provided in a stainless steel vessel, such as without limitation, a pressurizable vessel for storage and delivery to the reactor. In this or other embodiments, the vessel is fitted with the proper valves and fittings to allow the delivery of the first and second precursor to the reactor for a CVD or an ALD process. In certain embodiments, such vessels can also have means for mixing the first and optional second precursors, if present, or can be premixed. Alternatively, the first and optional second precursors can be maintained in separate vessels or in a single vessel having separation means for maintaining the precursors in the composition separate during storage.
TABLE I
Exemplary Precursor Compositions
Optional Second
No. First Precursor Precursor
1. (iPr2N)R5SiH2 wherein R5 is selected (iPr2N)SiH3
from the group consisting of Me
(methyl), Et (ethyl), nPr (normal
propyl), iPr (iso-propyl), nBu (normal
butyl), iBu (iso-butyl), sBu (secondary
butyl), tBu (tertiary butyl), isomers of
pentyl, vinyl, phenyl, and alkyl
substituted phenyl
2. (sBu2N)R5SiH2 wherein R5 is selected (sBu2N)SiH3
from the group consisting of Me, Et,
nPr, iPr, nBu, iBu, sBu, tBu, isomers of
pentyl, vinyl, phenyl, and alkyl
substituted phenyl
3. (2,6-dimethylpiperidino)R5SiH2 (2,6-
wherein R5 is selected from the group dimethylpiperidino)SiH3
consisting of Me, Et, nPr, iPr, nBu, iBu,
sBu, tBu, isomers of pentyl, vinyl,
phenyl, and alkyl substituted phenyl
4. (phenylmethylamino)R5SiH2 wherein (phenylmeth-
R5 is selected from the group ylamino)SiH3
consisting of Me, Et, nPr, iPr, nBu, iBu,
sBu, tBu, isomers of pentyl, vinyl,
phenyl, and alkyl substituted phenyl
The method used to form the silicon-containing silicon containing films or coatings are deposition processes. Examples of suitable deposition processes for the method disclosed herein include, but are not limited to, cyclic CVD (CCVD), MOCVD (Metal Organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition (“PECVD”), high density PECVD, photon assisted CVD, plasma-photon assisted (“PPECVD”), cryogenic chemical vapor deposition, chemical assisted vapor deposition, hot-filament chemical vapor deposition, CVD of a liquid polymer precursor, deposition from supercritical fluids, and low energy CVD (LECVD). In certain embodiments, the metal containing films are deposited via atomic layer deposition (ALD), plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process. As used herein, the term “chemical vapor deposition processes” refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposition. As used herein, the term “atomic layer deposition process” refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposit films of materials onto substrates of varying compositions. Although the precursors, reagents and sources used herein may be sometimes described as “gaseous”, it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation. In some case, the vaporized precursors can pass through a plasma generator. In one embodiment, the silicon containing film is deposited using an ALD process. In another embodiment, the silicon containing film is deposited using a CCVD process. In a further embodiment, the silicon containing film is deposited using a thermal CVD process. The term “reactor” as used herein, includes without limitation, reaction chamber or deposition chamber.
In certain embodiments, the method disclosed herein avoids pre-reaction of the precursors by using ALD or CCVD methods that separate the precursor(s) prior to and/or during the introduction to the reactor. In this connection, deposition techniques such as ALD or CCVD processes are used to deposit the carbon-doped silicon containing film. In one embodiment, the film is deposited via an ALD process by exposing the substrate surface alternatively to the one or more the first precursor, oxygen source if an oxide film, nitrogen-containing source if a nitride film, second precursor, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent, and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.
As previously mentioned, in certain embodiments, such as for depositing a carbon-doped silicon containing film such as a silicon oxide or a silicon nitride film using an ALD, CCVD (PECCVD), or PEALD deposition method, the compositions described herein may be able to deposit films at relatively low deposition temperatures, e.g., of 500° C. or less, or 400° C. or less, 300° C. or less, 200° C. or less, 100° C. or less, or 50° C. or less or room temperature. In these or other embodiments, the substrate (deposition) temperature ranges from any one or more of the following end-points: 0, 25, 50, 100, 200, 300, 400, or 500° C. Examples of these ranges are, without limitation, 0 to 100° C., 25 to 50° C., 100° to 300° C., or 100° C. to 500° C. In one particular embodiment, the deposition temperature is below 200° C. which allows carbon to be incorporated into the resulting films, providing films such as carbon doped silicon oxide with low etching rate.
Depending upon the deposition method, in certain embodiments, the one or more silicon-containing precursors may be introduced into the reactor at a predetermined molar volume, or from about 0.1 to about 1000 micromoles. In this or other embodiments, the silicon-containing and/or organoaminosilane precursor may be introduced into the reactor for a predetermined time period. In certain embodiments, the time period ranges from about 0.001 to about 500 seconds.
In certain embodiments, the silicon containing films deposited using the methods described herein is formed in the presence of oxygen using an oxygen source, reagent or precursor comprising oxygen. An oxygen source may be introduced into the reactor in the form of at least one oxygen source and/or may be present incidentally in the other precursors used in the deposition process. Suitable oxygen source gases may include, for example, water (H2O) (e.g., deionized water, purifier water, and/or distilled water), water plasma, oxygen (O2), peroxide (O3), oxygen plasma, ozone (O3), NO, NO2, carbon monoxide (CO), carbon dioxide (CO2) and combinations thereof. In certain embodiments, the oxygen source comprises an oxygen source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (sccm) or from about 1 to about 1000 sccm. The oxygen source can be introduced for a time that ranges from about 0.1 to about 100 seconds. In one particular embodiment, the oxygen source comprises water having a temperature of 10° C. or greater. In embodiments wherein the film is deposited by an ALD or a cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the oxygen source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between. The oxygen source or reagent is provided in a molecular amount less than a 1:1 ratio to the silicon precursor, so that at least some carbon is retained in the as deposited silicon containing film.
In certain embodiments, the silicon containing films comprise silicon and nitrogen. In these embodiments, the silicon containing films deposited using the methods described herein are formed in the presence of nitrogen-containing source. A nitrogen-containing source may be introduced into the reactor in the form of at least one nitrogen source and/or may be present incidentally in the other precursors used in the deposition process. Suitable nitrogen-containing source gases may include, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, and mixture thereof. In certain embodiments, the nitrogen-containing source comprises an ammonia plasma or hydrogen/nitrogen plasma source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (sccm) or from about 1 to about 1000 sccm. The nitrogen-containing source can be introduced for a time that ranges from about 0.1 to about 100 seconds. In embodiments wherein the film is deposited by an ALD or a cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the nitrogen-containing source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
The deposition methods disclosed herein may involve one or more purge gases. The purge gas, which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors. Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N2), helium (He), neon, hydrogen (H2), and mixtures thereof. In certain embodiments, a purge gas such as Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 sccm for about 0.1 to 1000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor.
The respective step of supplying the precursor(s), oxygen source, the nitrogen-containing source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting silicon containing film.
Energy is applied to the at least one of the precursor, nitrogen-containing oxygen-containing source, reducing agent, other precursors or combination thereof to induce reaction and to form the silicon containing film or coating on the substrate. Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, and combinations thereof. In certain embodiments, a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface. In embodiments wherein the deposition involves plasma, the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
The organoaminosilane precursors and/or other silicon-containing precursors may be delivered to the reaction chamber such as a CVD or ALD reactor in a variety of ways. In one embodiment, a liquid delivery system may be utilized. In an alternative embodiment, a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, Minn., to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor. In liquid delivery formulations or compositions, the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same. Thus, in certain embodiments the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
In another embodiment, a vessel for depositing a silicon containing film comprising the composition comprising, consisting essentially of, or consisting of, the first and optionally second precursors are described herein. In one particular embodiment, the vessel comprises at least one pressurizable vessel (preferably of stainless steel) fitted with the proper valves and fittings to allow the delivery of the first and second precursor to the reactor for a CVD or an ALD process. In this or other embodiments, the first and optionally second precursors are provided in a pressurizable vessel comprised of stainless steel and the purity of the precursor is 98% by weight or greater or 99.5% or greater which is suitable for the majority of semiconductor applications. In certain embodiments, such vessels can also have means for mixing the first and optional second precursors, if present, or can be premixed. Alternatively, the first and optional second precursors can be maintained in separate vessels or in a single vessel having separation means for maintaining the precursors in the composition separate during storage.
As previously mentioned, the purity level of the precursor(s) in the composition is sufficiently high enough to be acceptable for reliable semiconductor manufacturing. In certain embodiments, the precursors described herein comprise less than 2% by weight, or less than 1% by weight, or less than 0.5% by weight of one or more of the following impurities: free amines, halides, and higher molecular weight species. Higher purity levels of the precursors described herein can be obtained through one or more of the following processes: purification, adsorption, and/or distillation.
In certain embodiments, the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container or containers (depending upon whether the first and optionally second precursors (in certain embodiments) are delivered separately or together) is kept at one or more temperatures for bubbling. In other embodiments, a solution comprising the first and optionally second precursor (depending upon whether the first and, if present optionally second, precursors are delivered separately or together) is injected into a vaporizer kept at one or more temperatures for direct liquid injection.
A flow of argon and/or other gas may be employed as a carrier gas to help deliver the vapor of the precursors to the reaction chamber during the precursor pulsing. In certain embodiments, the reaction chamber process pressure is about 1 Torr.
In a typical ALD or CCVD process, the substrate such as a silicon oxide substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon-containing precursor initially to allow the complex to chemically adsorb onto the surface of the substrate.
A purge gas such as argon purges away unabsorbed excess complex from the process chamber. After sufficient purging, a nitrogen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber. The process cycle can be repeated to achieve the desired film thickness.
In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and the nitrogen-containing source gases may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon containing film.
In certain embodiments, the method to deposit the carbon-doped silicon-containing film is an ALD or cyclic CVD method and the composition comprises a first and second precursor. In these or other embodiments, the order of the first and second precursor can be delivered in any one or more of the following manners wherein A refers to the delivery of the first precursor and B refers to the delivery of the second precursor: ABABABAB . . . wherein the first and second precursors are alternated until the desired number of cycles are completed; AAAAABBBBB . . . wherein the first precursor is introduced for the first half of the process cycles and the second precursor is introduced for the second half of the process cycles; and combinations thereof. In these or other embodiments, the number of process cycles of the first precursor relative to the second precursor can be optimized to allow for a gradient of carbon within the carbon-containing silicon film.
The method disclosed herein forms the carbon doped silicon oxide films using a precursor composition and an oxygen source. In one particular embodiment, the method comprises the following steps:
Step 1. Contacting vapors generated from a composition comprising an first precursor comprising an organoalkoxyalkylsilane, and optionally a second precursor comprising an organoaminosilane, with a substrate to chemically sorb the precursors on the heated substrate;
Step 2. Purging away any unsorbed precursors;
Step 3. Introducing an oxygen source on the heated substrate to react with the sorbed precursors; and,
Step 4. Purging away any unreacted oxygen source.
The steps 1 through 4 are repeated until a desired thickness is achieved.
In another embodiment, the method comprises the following steps:
Step 1. Contacting vapors generated from a first precursor with a substrate to chemically sorb the precursor on the heated substrate, the first precursor which is at least one compound selected from the compounds having the following formulas:
R5Si(NR3R4)xH3-x  (a)
R6Si(OR7)xH3-x  (b)
R8N(SiR9(NR10R11)H)2  (c)
wherein R3, R4, and R7 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; R5 and R6 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group, and a halide atom; R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; wherein R3 and R4 can form a cyclic ring or an alkyl-substituted cyclic ring; and wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring; L=Cl, Br, I;
Step 2. Purging away any unsorbed precursors;
Step 3. Introducing an oxygen source on the heated substrate to react with the sorbed silicon precursor;
Step 4. Purging away any unreacted oxygen source;
Step 5. Optionally contacting vapors generated from an optional second precursor with a substrate to chemically sorb the second precursor on the heated substrate, wherein the second precursor compound has the formula Si(NR1R2)H3 wherein R1 and R2 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group and wherein R1 and R2 can form a cyclic ring or an alkyl-substituted cyclic ring;
Step 6. Purging away any unsorbed precursors;
Step 7. Introducing an oxygen source on the heated substrate to react with the sorbed silicon precursor;
Step 8. Purging away any unreacted oxygen source.
The steps 1 through 8 are repeated until a desired thickness is achieved.
In certain embodiments, the carbon-doped silicon containing films described herein have a dielectric constant of 6 or less. In these or other embodiments, the films can a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below. However, it is envisioned that films having other dielectric constants (e.g., higher or lower) can be formed depending upon the desired end-use of the film. An example of the carbon-doped silicon containing film that is formed using the precursor compositions and processes described herein has the formulation SixOyCzNvHw wherein Si ranges from about 10% to about 40%; O ranges from about 0% to about 65%; C ranges from about 0% to about 75% or from about 0% to about 50%; N ranges from about 0% to about 75% or from about 0% to 50%; and H ranges from about 0% to about 50% atomic percent weight % wherein x+y+z+v+w=100 atomic weight percent, as determined, for example, by XPS or other means.
As mentioned previously, the method described herein may be used to deposit a carbon-doped silicon-containing film on at least a portion of a substrate. Examples of suitable substrates include but are not limited to, silicon, SiO2, Si3N4, OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN and transparent amorphous oxide semiconductor (TAOS) or metal oxide materials include a-IGZO (amorphous gallium indium zinc oxide), zinc oxide. The films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.
The deposited films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), and liquid crystal displays (LCD).
The following examples illustrate the method for preparing organoaminosilane precursors as well as deposited silicon-containing films described herein and are not intended to limit it in any way.
EXAMPLES Example 1: Preparation of 2,6-dimethylpiperidino(methyl)silane
2,6-dimethylpiperidino(chloro)silane was prepared by dissolving 0.052 Nm3 of dichlorosilane in 4.36 L of hexanes in a 6 L stirred reactor at −20° C. under a nitrogen atmosphere. To this solution was added 244 g of triethylamine and then 260 g of cis-2,6-dimethylpiperidine was added slowly with continuous agitation while maintaining the temperature at −20° C. Once the addition was complete, the mixture was allowed to warm to 20° C. and stirred for 16 h. A voluminous white precipitate formed, which was removed by filtration. The precipitate was rinsed with hexane. The filtrate combined with the rinses contained 2,6-dimethylpiperidino(chloro)silane, which was isolated by stripping at reduced pressure to remove the hexanes. Further purification was obtained by simple distillation of the residue at 100° C. under reduced pressure. The identity of 2,6-dimethylpiperidino(chloro)silane was determined by mass spectrometry which showed peaks at 177 (M+), 162 (M-CH3) which are consistent with the molecular weight (177.75) of 2,6-dimethylpiperidino(chloro)silane.
A 130 g of 2,6-dimethylpiperidino(chloro)silane prepared as described above was dissolved in 386 g of tetrahydrofuran and placed in a 2 L reactor under an inert atmosphere. The solution was chilled to −20° C. and then 247 g of 3 molar methylmagnesium chloride solution in tetrahydrofuran was added gradually with stirring over 60 minutes while maintaining the temperature at −20° C. The mixture was then allowed to warm to 20° C. over 30 minutes and then allowed to stir at that temperature for 18 h. A heavy white precipitate was observed. The mixture was filtered and the precipitate was rinsed with an additional 100 mL of tetrahydrofuran. The tetrahydrofuran from these combined filtrates was removed by simple distillation at reduced pressure. The resulting yellow slurry was extracted with 400 mL of hexanes and the solids were removed by filtration and rinsed with two portions of 50 mL of hexanes. The hexanes were stripped from this combined filtrate to produce crude product that was further purified by simple distillation to provide 70.4 g of product. The identity of the material was determined by mass spectrometry (see FIG. 2), which showed peaks at 157 (M+), 142 (M-CH3 and are consistent with the molecular weight (157.33) of 2,6-dimethylpiperidinomethylsilane. Gas chromatography with thermal conductivity detection indicates a purity of approximately 97% by weight. The boiling point was measured by DSC to be ˜173° C. at atmospheric pressure (see FIG. 2).
Three 10 cc stainless steel containers were carefully washed and baked out at 175° C. prior to use. Each was loaded with an ampoule containing a 2 ml sample of 2,6-dimethylpiperidinomethylsilane. The ampoules were then stored in constant temperature environments using laboratory ovens pre-set at 100° C.±2° C. for three days. The samples were evaluated by gas chromatography (GC) to determine the extent of degradation and the results are shown in FIG. 2. The average purity after heating showed virtually no change, demonstrating it has excellent thermal stability and can be employed as a suitable precursor for reliable semi-conductor processes.
Example 2: Atomic Layer Deposition of Silicon-Containing Films
Atomic layers depositions of silicon-containing films were conducted using the following precursors: 2,6-dimethylpiperidinosilane and 2,6-dimethylpiperidinomethylsilane. The depositions were performed on a laboratory scale ALD processing tool. All gases (e.g., purge and reactant gas or precursor and oxygen source) were preheated to 100° C. prior to entering the deposition zone. Gases and precursor flow rates were controlled with ALD diaphragm valves having high speed actuation. The substrates used in the deposition were 12 inch length silicon strips having thermocouples attached on a sample holder to confirm the substrate temperature. Depositions were performed using ozone as the oxygen source gas and the process parameters of the depositions are provided in Table II.
TABLE II
Process for Atomic Layer Deposition of
Silicon-containing Films with Ozone
Step
1 6 seconds Nitrogen Flow 1.5 Purges out unreacted
(sec) Purge of slpm N2 chemical from reactor
Reactor
Step 2 6 sec Chamber <100 mT Prepare the reactor for
evacuation the precursor dose
Step 3 2 sec Close Increases precursor
throttle resonance time
valve
Step 4 Variable Dose Reactor pressure
Organo- typically <1 T during
aminosilane dose
Precursor
Step
5 6 sec Nitrogen Flow 1.5 Purges out unreacted
Purge of slpm N2 chemical from reactor
Reactor
Step 6 6 sec Chamber <100 mT Prepare the reactor
evacuation for the
organoaminosilane
precursor dose
Step 7 2 sec Close Increases the
throttle organoaminosilane
valve precursor resonance
time
Step 8 4 sec Dose Ozone O3 at 18-20% post
generator, P = <8 T
The resultant silicon-containing films were characterized for deposition rate and refractive index. Thickness and refractive indices of the films was measured using a FilmTek 2000SE ellipsometer by fitting the reflection data from the film to a pre-set physical model (e.g., the Lorentz Oscillator model).
Wet etch rate was performed using 1% solution of 49% hydrofluoric (HF) acid in deionized water. Thermal oxide wafers were used as reference for each test. Films thickness of both samples and comparative silicon oxide reference were measured with ellipsometer before and after etch. Silicon oxide films with carbon dopant have lower wet etch rate than silicon oxide films.
Film composition was analyzed with dynamic secondary ions mass spectrometry (SIMS) technique. Fourier Transform Infrared (FTIR) spectrometry is used to confirm film structure. Absorbance in IR spectra is normalized with film thickness for comparison. Table III is summary of the deposition temperature, deposition rate, refractive index, wet etch rate and carbon content measured by the Dynamic Secondary Ion Mass Spectroscopy (SIMS). The silicon-containing films were deposited using the following methods described below.
Method (a) describes the formation of silicon-containing films using 2,6-dimethylpiperidinosilane at three different substrate temperatures: 300° C., 150° C. and 100° C. using the following process steps:
Step 1. Contacting vapors of 2,6-dimethylpiperidinosilane
Step 2. Purging away any unsorbed 2,6-dimethylpiperidinosilane
Step 3. Introducing ozone to react with the sorbed 2,6-dimethylpiperidinosilane
Step 4. Purging away any unreacted ozone
The above steps for Method (a) were repeated 500 times. The deposited films do not show any significant C—H signatures at 2800-2960 cm−1 or Si—CH3 peak at ˜1250 cm−1, as confirmed with FTIR.
Method (b) describes the formation of silicon-containing films using 2,6-dimethylpiperidinomethylsilane at three different substrate temperatures: 300° C., 150° C. and 100° C. using the following process steps:
Step 1. Contacting vapors of 2,6-dimethylpiperidinomethylsilane
Step 2. Purging away any unsorbed 2,6-dimethylpiperidinomethylsilane
Step 3. Introducing ozone to react with the sorbed 2,6-dimethylpiperidinomethylsilane
Step 4. Purging away any unreacted ozone
The steps were repeated for 500 cycles. Film deposited at 300° C. showed a very similar IR signature as the 2,6-dimethylpiperidinosilane in Method (a) (e.g., no C—H signatures at 2800-2960 cm−1 and Si—CH3 signature at ˜1250 cm−1). Both C—H and Si—CH3 absorbance peaks occurred in films deposited at 150° C. and stronger at 100° C.
Method (c) describes the formation of silicon-containing films using alternating doses of the first precursor 2,6-dimethylpiperidinomethylsilane and the second precursor 2,6-dimethylpiperidinosilane at a substrate temperature of 100° C.;
Step 1. Contacting vapors of 2,6-dimethylpiperidinosilane
Step 2. Purging away any unsorbed 2,6-dimethylpiperidinosilane
Step 3. Introducing ozone to react with the sorbed 2,6-dimethylpiperidinosilane
Step 4. Purging away any unreacted ozone
Step 5. Contacting vapors of 2,6-dimethylpiperidinomethylsilane
Step 6. Purging away any unsorbed 2,6-dimethylpiperidinomethylsilane;
Step 7. Introducing ozone to react with the sorbed 2,6-dimethylpiperidinomethylsilane
Step 8. Purging away any unreacted ozone
The steps were repeated for 250 times.
TABLE III
Summary of Resulting Silicon-containing Films using Methods (a) through (c)
Carbon
Deposition Deposition Wet etch Content
temperature rate Refractive rate (# of
Precursor (° C.) (Å/cycle) index (Å/min) atoms/cc)
2,6-dimethylpiperidinosilane 300 1.86 1.455 5.43 2 × 1019
(Method (a))
2,6-dimethylpiperidinosilane 150 1.96 1.464 5.25 6 × 1019
(Method (a))
2,6-dimethylpiperidinosilane 100 1.90 1.465 5.78 1 × 1020
(Method (a))
2,6-dimethylpiperidinomethylsilane 300 1.24 1.473 5.13 2 × 1019
(Method (b))
2,6-dimethylpiperidinomethylsilane 150 0.58 1.513 3.07 3 × 1021
(Method (b))
2,6-dimethylpiperidinomethylsilane 100 0.57 1.517 1.18 2 × 1022
(Method (b))
2,6-dimethylpiperidinosilane and 100 1.57 1.464 2.43 6 × 1021
2,6-dimethylpiperidinomethylsilane
(Method (c))
Referring to Table III, the wet etch rates for silicon-containing films using 2,6-dimethylpiperidinosilane showed no improvement regardless of deposition temperatures which is consistent with no carbon incorporation into the films. However, unexpectedly, silicon-containing films deposited at 300° C. using 2,6-dimethylpiperidinomethylsilane shows very similar IR signature as the films from 2,6-dimethylpiperidinosilane, i.e. no C—H signatures at 2800-2960 cm−1 and Si—CH3 signature at ˜1250 cm−1, although it was hoped that the Si—CH3 group in 2,6-dimethylpiperidinomethylsilane would be incorporated into the resulting silicon-containing films. Further, both C—H and Si—CH3 absorbance peaks occurred in films deposited at 150° C. and were stronger at 100° C. in films deposited with dimethylpiperidinomethylsilane. The wet etch rate is directly correlated with the amount of carbon incorporated into the films, i.e. the higher the carbon content, the lower the wet etch rate. The carbon content in the films deposited at 300° C. using either 2,6-dimethylpiperidinosilane or 2,6-dimethylpiperidinomethylsilane deposited were very similar at 2×1019 atoms/cc, indicating that the ozone effectively oxidized the Si—CH3 group in 2,6-dimethylpiperidinomethylsilane. However, lowering the deposition temperature from 300° C. to 150° C. or 100° C. increased the carbon incorporation into films due to less effective oxidation of organoaminosilanes. Importantly, the effect is more pronounced for films deposited from 2,6 dimethylpiperidinomethylsilane at temperature of 100° C., showing two orders of magnitude more carbon atoms. Additionally, not to be bound by theory, it is speculated that the amount of carbon in the films can also be adjusted by several other methods such as decreasing ozone pulse time, decreasing ozone concentration, alternating layers of carbon doped silicon containing film as well as co-depositing carbon doped silicon containing layer with non-carbon doped silicon containing films.
FIG. 3 shows the IR spectra comparison between 2,6-dimethylpiperidinosilane and 2,6-dimethylpiperidinomethylsilane deposited at 100° C. FIG. 5 provides a comparison among 2,6-dimethylpiperidinomethylsilane films deposited at different temperatures. This example demonstrates that the carbon content of the silicon-containing can be tuned via varying deposition temperature or using two different organoaminosilanes.

Claims (4)

The invention claimed is:
1. A composition for depositing a carbon-doped silicon containing film comprising:
a precursor comprising at least one
organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2,
wherein R8 and R9 are each independently selected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C 10 alkenyl group, a linear or branched C2 to C 10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and R10 and R11 are each independently selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; wherein R10 and R11 can form a cyclic ring or an alkyl-substituted cyclic ring; and L=Cl, Br, I.
2. The composition of claim 1 comprising R8N(SiR9(NR10R11)H)2 wherein R8 is selected from the group consisting of Me, Et, nPr, iPr, n Bu, iBu, sBu, tBu, isomers of pentyl, vinyl, phenyl, and alkyl substituted phenyl.
3. The composition of claim 1 comprising R8N(SiR9(NR10R11)H)2 wherein R9 is selected from the group consisting of hydrogen, Me, Et, nPr, iPr, n Bu, iBu, sBu, tBu, isomers of pentyl, vinyl, phenyl, and alkyl substituted phenyl.
4. The composition of claim 1 comprising R8N(SiR9(NR10R11)H)2 wherein R10 and R11 are independently selected from the group consisting of Me, Et, nPr, iPr, n Bu, iBu, sBu, tBu, isomers of pentyl, vinyl, phenyl, and alkyl substituted phenyl.
US15/233,018 2011-06-03 2016-08-10 Compositions and processes for depositing carbon-doped silicon-containing films Active US10319584B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US15/233,018 US10319584B2 (en) 2011-06-03 2016-08-10 Compositions and processes for depositing carbon-doped silicon-containing films
US16/398,209 US20190287798A1 (en) 2011-06-03 2019-04-29 Compositions and Processes for Depositing Carbon-Doped Silicon-Containing Films
US17/507,771 US11725111B2 (en) 2011-06-03 2021-10-21 Compositions and processes for depositing carbon-doped silicon-containing films
US18/337,945 US20230348736A1 (en) 2011-06-03 2023-06-20 Compositions and processes for depositing carbon-doped silicon-containing films

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161493031P 2011-06-03 2011-06-03
US201414122825A 2014-06-04 2014-06-04
US15/233,018 US10319584B2 (en) 2011-06-03 2016-08-10 Compositions and processes for depositing carbon-doped silicon-containing films

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
USPCT/US12/04033 Division 2011-06-03 2012-06-01
US14/122,825 Division US9447287B2 (en) 2011-06-03 2012-06-01 Compositions and processes for depositing carbon-doped silicon-containing films
US201414122825A Division 2011-06-03 2014-06-04

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/398,209 Continuation US20190287798A1 (en) 2011-06-03 2019-04-29 Compositions and Processes for Depositing Carbon-Doped Silicon-Containing Films

Publications (2)

Publication Number Publication Date
US20160351389A1 US20160351389A1 (en) 2016-12-01
US10319584B2 true US10319584B2 (en) 2019-06-11

Family

ID=46276000

Family Applications (5)

Application Number Title Priority Date Filing Date
US14/122,825 Active 2032-09-10 US9447287B2 (en) 2011-06-03 2012-06-01 Compositions and processes for depositing carbon-doped silicon-containing films
US15/233,018 Active US10319584B2 (en) 2011-06-03 2016-08-10 Compositions and processes for depositing carbon-doped silicon-containing films
US16/398,209 Abandoned US20190287798A1 (en) 2011-06-03 2019-04-29 Compositions and Processes for Depositing Carbon-Doped Silicon-Containing Films
US17/507,771 Active US11725111B2 (en) 2011-06-03 2021-10-21 Compositions and processes for depositing carbon-doped silicon-containing films
US18/337,945 Pending US20230348736A1 (en) 2011-06-03 2023-06-20 Compositions and processes for depositing carbon-doped silicon-containing films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/122,825 Active 2032-09-10 US9447287B2 (en) 2011-06-03 2012-06-01 Compositions and processes for depositing carbon-doped silicon-containing films

Family Applications After (3)

Application Number Title Priority Date Filing Date
US16/398,209 Abandoned US20190287798A1 (en) 2011-06-03 2019-04-29 Compositions and Processes for Depositing Carbon-Doped Silicon-Containing Films
US17/507,771 Active US11725111B2 (en) 2011-06-03 2021-10-21 Compositions and processes for depositing carbon-doped silicon-containing films
US18/337,945 Pending US20230348736A1 (en) 2011-06-03 2023-06-20 Compositions and processes for depositing carbon-doped silicon-containing films

Country Status (7)

Country Link
US (5) US9447287B2 (en)
EP (3) EP2714960B1 (en)
JP (3) JP5785325B2 (en)
KR (3) KR102072348B1 (en)
CN (2) CN103582719B (en)
TW (1) TWI496934B (en)
WO (1) WO2012167060A2 (en)

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9809711B2 (en) 2012-01-17 2017-11-07 Versum Materials Us, Llc Catalyst and formulations comprising same for alkoxysilanes hydrolysis reaction in semiconductor process
US20130243968A1 (en) * 2012-03-16 2013-09-19 Air Products And Chemicals, Inc. Catalyst synthesis for organosilane sol-gel reactions
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6155063B2 (en) * 2013-03-19 2017-06-28 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10170297B2 (en) * 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN104157567A (en) * 2014-08-20 2014-11-19 上海华力微电子有限公司 Preparation method of silicon oxide film
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20190292658A1 (en) * 2015-12-21 2019-09-26 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
KR102458309B1 (en) 2015-12-28 2022-10-24 삼성전자주식회사 Method of forming a SiOCN material layer and method of fabricating a semiconductor device
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
SG11201807211XA (en) * 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102451069B1 (en) * 2016-09-01 2022-10-05 에이에스엠 아이피 홀딩 비.브이. Method for protecting layer by forming Hydrocarbon-based extremely thin film
CN106433454A (en) * 2016-09-12 2017-02-22 上海至纯洁净系统科技股份有限公司 Method for forming protection layer on surface of object and product with protection layer formed on surface
US10464953B2 (en) * 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN106684035B (en) * 2016-10-28 2019-07-23 复旦大学 A kind of anti-copper diffusion barrier layer film and preparation method thereof
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017204257A1 (en) 2017-03-14 2018-09-20 Schunk Kohlenstofftechnik Gmbh Coated product and method of manufacture
KR20180110612A (en) 2017-03-29 2018-10-10 (주)디엔에프 Compositions for depositing silicon-containing thin films containing bis(aminosilyl)alkylamine compound and methods for manufacturing silicon-containing thin film using the same
KR102105977B1 (en) * 2017-03-29 2020-05-04 (주)디엔에프 silylamine compound, composition for depositing silicon-containing thin film containing the same, and method for manufacturing silicon-containing thin film using the composition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
DE102018124675A1 (en) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing of film at different temperatures and structures formed thereby
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210024462A (en) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and films and structures comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
EP3844319A4 (en) * 2018-10-04 2022-06-08 Versum Materials US, LLC Composition for high temperature atomic layer deposition of high quality silicon oxide thin films
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
EP3680245A4 (en) * 2018-11-30 2020-07-22 Hansol Chemical Co., Ltd Silicon precursor and method for manufacturing silicon-containing thin film using same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (en) 2018-11-30 2020-09-17 주식회사 한솔케미칼 Silicon precursor and fabrication method of silicon-containing thin film using the same
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
KR102274412B1 (en) 2019-01-24 2021-07-07 주식회사 엘지에너지솔루션 Battery characteristics measuring apparatus
US11107674B2 (en) 2019-01-24 2021-08-31 Applied Materials, Inc. Methods for depositing silicon nitride
JP7178918B2 (en) * 2019-01-30 2022-11-28 東京エレクトロン株式会社 Etching method, plasma processing apparatus, and processing system
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
EP3902939A4 (en) * 2019-02-05 2022-09-28 Versum Materials US, LLC Deposition of carbon doped silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2022546867A (en) * 2019-09-10 2022-11-09 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Compositions for non-conformal deposition of silicon-containing films and methods of using the compositions
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117716062A (en) * 2021-09-17 2024-03-15 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, program, and coating method

Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE672101A (en) 1964-11-12 1966-03-01
US4950950A (en) 1989-05-18 1990-08-21 Eastman Kodak Company Electroluminescent device with silazane-containing luminescent zone
JPH02265242A (en) 1989-04-06 1990-10-30 Matsushita Electron Corp Manufacture of semiconductor device
US4988573A (en) 1988-07-14 1991-01-29 Tdk Corporation Medium related members
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5744196A (en) 1995-01-04 1998-04-28 Air Products And Chemicals, Inc. Low temperature deposition of silicon dioxide using organosilanes
US5888662A (en) 1996-11-26 1999-03-30 Motorola, Inc. Modified electrodes for display devices
WO2002079211A1 (en) 2001-03-30 2002-10-10 Advanced Technology Materials, Inc. Metalloamide and aminosilane precursors for cvd formation of dielectric thin films
US20040052004A1 (en) 2002-07-11 2004-03-18 Masatoshi Nakayama Thin-film magnetic head, method for producing the same and magnetic disk device using the same
JP2004103688A (en) 2002-09-06 2004-04-02 Sony Corp Method for forming insulating film and gate insulating film
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
CN1834288A (en) 2006-04-07 2006-09-20 中国科学院上海硅酸盐研究所 Low temp chemical gaseous deposition for preparing silicon nitride thin film
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US20060258173A1 (en) 2005-05-16 2006-11-16 Manchao Xiao Precursors for CVD silicon carbo-nitride films
EP1785266A1 (en) 2004-09-01 2007-05-16 Konica Minolta Holdings, Inc. Gas barrier multilayer body and method for producing same
EP1867687A1 (en) 2005-03-23 2007-12-19 Ulvac, Inc. Precursor composition for porous membrane and process for preparation thereof, porous membrane and process for production thereof, and semiconductor device
JP2008506262A (en) 2004-07-06 2008-02-28 アプライド マテリアルズ インコーポレイテッド Silicon nitride film with stress control
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
WO2008121463A1 (en) 2007-03-30 2008-10-09 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2010017869A2 (en) 2008-08-15 2010-02-18 Ksb Aktiengesellschaft Energy recovery device and method for design
JP2010118664A (en) 2008-11-12 2010-05-27 Air Products & Chemicals Inc AMINO VINYLSILANE PRECURSOR FOR STRESSED SiN FILM
KR20100061733A (en) 2007-09-18 2010-06-08 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method of forming silicon-containing films
US20100190348A1 (en) 2009-01-07 2010-07-29 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor substrate and substrate processing apparatus
JP2010225663A (en) 2009-03-19 2010-10-07 Adeka Corp Raw material for chemical vapor deposition, and process for forming silicon-containing thin film using the same
JP2010267971A (en) 2009-05-13 2010-11-25 Air Products & Chemicals Inc Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (en) 2009-05-29 2010-12-09 Adeka Corp Raw material for chemical vapor deposition and method for depositing silicon-containing thin film using the same
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2599037B1 (en) 1986-05-26 1990-05-04 Europ Propulsion DIHYDROGENO-1,3 FUNCTIONAL DISILAZANES AND PROCESS FOR THEIR PREPARATION
JP3396791B2 (en) * 1994-08-30 2003-04-14 富士通株式会社 Method of forming insulating film
JP2000080476A (en) * 1998-06-26 2000-03-21 Toshiba Corp Vapor growth method, vapor growth device and ammonium halide removing device
JP4049214B2 (en) * 2001-08-30 2008-02-20 東京エレクトロン株式会社 Insulating film forming method and insulating film forming apparatus
JP3585917B2 (en) * 2002-07-11 2004-11-10 Tdk株式会社 Thin-film magnetic head, method of manufacturing the same, and magnetic disk drive using the same
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
JP4334425B2 (en) * 2004-07-09 2009-09-30 富士通株式会社 Home agent
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
JP4982457B2 (en) * 2008-09-11 2012-07-25 信越化学工業株式会社 Pattern formation method
CN103467506B (en) 2008-10-20 2016-03-23 陶氏康宁公司 Cvd precursor
JP5467007B2 (en) * 2009-09-30 2014-04-09 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen

Patent Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE672101A (en) 1964-11-12 1966-03-01
US4988573A (en) 1988-07-14 1991-01-29 Tdk Corporation Medium related members
JPH02265242A (en) 1989-04-06 1990-10-30 Matsushita Electron Corp Manufacture of semiconductor device
US4950950A (en) 1989-05-18 1990-08-21 Eastman Kodak Company Electroluminescent device with silazane-containing luminescent zone
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5744196A (en) 1995-01-04 1998-04-28 Air Products And Chemicals, Inc. Low temperature deposition of silicon dioxide using organosilanes
US5888662A (en) 1996-11-26 1999-03-30 Motorola, Inc. Modified electrodes for display devices
US20020187644A1 (en) 2001-03-30 2002-12-12 Baum Thomas H. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
KR20030094310A (en) 2001-03-30 2003-12-11 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Metalloamide and aminosilane precursors for cvd formation of dielectric thin films
WO2002079211A1 (en) 2001-03-30 2002-10-10 Advanced Technology Materials, Inc. Metalloamide and aminosilane precursors for cvd formation of dielectric thin films
US20040052004A1 (en) 2002-07-11 2004-03-18 Masatoshi Nakayama Thin-film magnetic head, method for producing the same and magnetic disk device using the same
JP2004103688A (en) 2002-09-06 2004-04-02 Sony Corp Method for forming insulating film and gate insulating film
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP2008506262A (en) 2004-07-06 2008-02-28 アプライド マテリアルズ インコーポレイテッド Silicon nitride film with stress control
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
EP1785266A1 (en) 2004-09-01 2007-05-16 Konica Minolta Holdings, Inc. Gas barrier multilayer body and method for producing same
EP1867687A1 (en) 2005-03-23 2007-12-19 Ulvac, Inc. Precursor composition for porous membrane and process for preparation thereof, porous membrane and process for production thereof, and semiconductor device
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US20060258173A1 (en) 2005-05-16 2006-11-16 Manchao Xiao Precursors for CVD silicon carbo-nitride films
US7932413B2 (en) 2005-05-16 2011-04-26 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride films
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
CN1834288A (en) 2006-04-07 2006-09-20 中国科学院上海硅酸盐研究所 Low temp chemical gaseous deposition for preparing silicon nitride thin film
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
CN101255548A (en) 2007-02-27 2008-09-03 气体产品与化学公司 Plasma enhanced cyclic chemical vapor deposition of silicon-containing films
WO2008121463A1 (en) 2007-03-30 2008-10-09 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2010539730A (en) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for forming a silicon-containing film
KR20100061733A (en) 2007-09-18 2010-06-08 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method of forming silicon-containing films
WO2010017869A2 (en) 2008-08-15 2010-02-18 Ksb Aktiengesellschaft Energy recovery device and method for design
JP2010118664A (en) 2008-11-12 2010-05-27 Air Products & Chemicals Inc AMINO VINYLSILANE PRECURSOR FOR STRESSED SiN FILM
US20100190348A1 (en) 2009-01-07 2010-07-29 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor substrate and substrate processing apparatus
JP2010225663A (en) 2009-03-19 2010-10-07 Adeka Corp Raw material for chemical vapor deposition, and process for forming silicon-containing thin film using the same
JP2010267971A (en) 2009-05-13 2010-11-25 Air Products & Chemicals Inc Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (en) 2009-05-29 2010-12-09 Adeka Corp Raw material for chemical vapor deposition and method for depositing silicon-containing thin film using the same

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
A.M. Wrobel et al., "Reactivity of Organosilicon Precursors in Remote Hydrogen Microwave Plasma Chemical Vapor Deposition of Silicon Carbide and Silicon Carbonitride Thin-Film Coatings", Applied Organometallic Chemistry, vol. 24, No. 3, Mar. 1, 2010, pp. 201-207.
B. Arkles, "Silicon Nitride From Organosilazane Cyclic and Linear Prepolymers", Journal of the Electromechanical Society, vol. 133, No. 1, Jan. 1986, pp. 233-234.
E. Bacque et al., "Synthesis and Chemical Properties of 1,3-Dichloro-1,3-Dihydridodisilazanes", Journal of Organometallic Chemistry, vol. 481, Jan. 1, 1994, pp. 167-172.
H. Fleischer et al., "Gas-Phase Molecular Structures of Bis(Chloromethylsilyl) Amine and Bis(Chloromethylsilyl) Methylamine by Electron Diffraction and AB Initio Calculations; Experimental Support for n(N)-σ*(Si-Cl) Hyperconjugation‡", Journal of the the Chemical Society, No. 14, Jan. 1, 1998, pp. 593-600.
H. Fleischer et al., "Gas-Phase Molecular Structures of Bis(Chloromethylsilyl) Amine and Bis(Chloromethylsilyl) Methylamine by Electron Diffraction and AB Initio Calculations; Experimental Support for n(N)-σ*(Si—Cl) Hyperconjugation‡", Journal of the the Chemical Society, No. 14, Jan. 1, 1998, pp. 593-600.
I. Blaszczyk-Lezak et al., "Silicon Carbonitride by Remote Microwave Plasma CVD From Organosilicon Precursor: Physical and Mechanical Properties of Deposited Si:C:N Films", Applied Surface Scienct, vol. 253, No. 18, Jun. 7, 2007, pp. 7404-7411.
Wang Organometallics 1991 V10 p. 2222-27 (Year: 1991). *

Also Published As

Publication number Publication date
KR20160093093A (en) 2016-08-05
EP3929326A2 (en) 2021-12-29
US20230348736A1 (en) 2023-11-02
US20190287798A1 (en) 2019-09-19
TWI496934B (en) 2015-08-21
KR102072348B1 (en) 2020-01-31
JP2017082333A (en) 2017-05-18
CN103582719B (en) 2016-08-31
US20160351389A1 (en) 2016-12-01
CN103582719A (en) 2014-02-12
EP2714960A2 (en) 2014-04-09
EP3330404B1 (en) 2021-09-29
WO2012167060A3 (en) 2013-01-24
JP5785325B2 (en) 2015-09-30
EP3330404A2 (en) 2018-06-06
US9447287B2 (en) 2016-09-20
JP6050441B2 (en) 2016-12-21
KR20140031964A (en) 2014-03-13
JP6466897B2 (en) 2019-02-06
TW201250046A (en) 2012-12-16
US11725111B2 (en) 2023-08-15
JP2015233153A (en) 2015-12-24
US20220041870A1 (en) 2022-02-10
EP3330404A3 (en) 2018-09-12
US20140287164A1 (en) 2014-09-25
KR101659463B1 (en) 2016-09-23
EP2714960B1 (en) 2018-02-28
KR20190008997A (en) 2019-01-25
EP3929326A3 (en) 2022-03-16
JP2014523638A (en) 2014-09-11
CN106048557B (en) 2021-01-29
CN106048557A (en) 2016-10-26
WO2012167060A2 (en) 2012-12-06

Similar Documents

Publication Publication Date Title
US11725111B2 (en) Compositions and processes for depositing carbon-doped silicon-containing films
KR101924630B1 (en) Organoaminodisilane precursors and methods for depositing films comprising same
EP2669249B1 (en) Method for depositing silicon-containing films using organoaminodisilane precursors
US8912353B2 (en) Organoaminosilane precursors and methods for depositing films comprising same
US9796739B2 (en) AZA-polysilane precursors and methods for depositing films comprising same
US9200167B2 (en) Alkoxyaminosilane compounds and applications thereof
US9677178B2 (en) Alkoxyaminosilane compounds and applications thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: CITIBANK, N.A., AS COLLATERAL AGENT, DELAWARE

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:VERSUM MATERIALS US, LLC;REEL/FRAME:040503/0442

Effective date: 20160930

AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIAO, MANCHAO;LEI, XINJIAN;PEARLSTEIN, RONALD MARTIN;AND OTHERS;SIGNING DATES FROM 20161129 TO 20161219;REEL/FRAME:040903/0846

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CITIBANK, N.A., AS AGENT;REEL/FRAME:050647/0001

Effective date: 20191007

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4