TWI787817B - Manufacture method of semiconductor device - Google Patents

Manufacture method of semiconductor device Download PDF

Info

Publication number
TWI787817B
TWI787817B TW110117451A TW110117451A TWI787817B TW I787817 B TWI787817 B TW I787817B TW 110117451 A TW110117451 A TW 110117451A TW 110117451 A TW110117451 A TW 110117451A TW I787817 B TWI787817 B TW I787817B
Authority
TW
Taiwan
Prior art keywords
gate spacer
gate
fin
source
layer
Prior art date
Application number
TW110117451A
Other languages
Chinese (zh)
Other versions
TW202145351A (en
Inventor
蕭旭明
謝明哲
曹修豪
林紘平
陳哲夫
魏安祺
陳臆仁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/205,120 external-priority patent/US11664444B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145351A publication Critical patent/TW202145351A/en
Application granted granted Critical
Publication of TWI787817B publication Critical patent/TWI787817B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Bipolar Transistors (AREA)
  • Die Bonding (AREA)

Abstract

A method, for making a semiconductor device, includes forming a first fin over a substrate. The method includes forming a dummy gate stack on the first fin. The method includes forming a first gate spacer along a side of the dummy gate stack. The first gate spacer includes a first dielectric material. The method includes forming a second gate spacer along a side of the first gate spacer. The second gate spacer includes a semiconductor material. The method includes forming a source/drain region in the first fin adjacent the second gate spacer. The method includes removing at least a portion of the second gate spacer to form a void extending between the first gate spacer and the source/drain region.

Description

半導體元件的製造方法 Manufacturing method of semiconductor element

本揭示內容的一些實施方式是關於半導體元件,具體而言,是關於製造非平面電晶體的方法。 Some embodiments of the present disclosure relate to semiconductor devices and, in particular, to methods of fabricating non-planar transistors.

半導體元件用於多種電子應用中,例如個人電腦、手機、數位相機和其他電子設備。半導體元件通常經由以下方式製造:在半導體基板上依序沉積絕緣層或介電層、導電層以及半導體層的材料,並使用微影術圖案化多種材料層,以在其上形成電路組件和元件。 Semiconductor components are used in a variety of electronic applications such as personal computers, cell phones, digital cameras and other electronic devices. Semiconductor components are usually manufactured by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers on a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and components thereon .

半導體業通過不斷縮小最小特徵的尺寸,以不斷改善各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,使得更多的組件可以集成到給定的區域中。但是,隨著最小特徵的尺寸的縮小,出現了應處理的額外問題。 The semiconductor industry continues to improve the bulk density of various electronic components (such as transistors, diodes, resistors, capacitors, etc.) by continuously reducing the size of the smallest features, so that more components can be integrated into a given area. However, as the size of the smallest features shrinks, additional issues arise that should be dealt with.

本揭示內容的一些實施方式提供製造半導體元件的方法,包含:形成第一鰭於基板上;形成虛設閘極堆疊於第一鰭上;沿著虛設閘極堆疊的一側,形成第一閘極間隔件,第一閘極間隔件包含第一介電材料;沿著第一閘極間隔件的一側,形成第二閘極間隔件,第二閘極間隔件包含半導體材料;形成源極/汲極區域於鄰接第二閘極間隔件的第一鰭中;以及移除至少一部分的第二閘極間隔件,以形成間隙延伸於第一閘極間隔件以及源極/汲極區域之間。 Some embodiments of the present disclosure provide a method of manufacturing a semiconductor device, including: forming a first fin on a substrate; forming a dummy gate stack on the first fin; forming a first gate along one side of the dummy gate stack a spacer, the first gate spacer comprises a first dielectric material; along one side of the first gate spacer, a second gate spacer is formed, the second gate spacer comprises a semiconductor material; a source/ a drain region in the first fin adjacent to the second gate spacer; and removing at least a portion of the second gate spacer to form a gap extending between the first gate spacer and the source/drain region .

本揭示內容的一些實施方式提供製造半導體元件的方法,包含:形成第一鰭以及第二鰭於基板上,第一鰭以及第二鰭彼此鄰接;形成虛設閘極堆疊於第一鰭以及第二鰭上;沿著虛設閘極堆疊的一側,形成第一閘極間隔件,第一閘極間隔件包含第一介電材料;沿著第一閘極間隔件的一側,形成第二閘極間隔件,第二閘極間隔件包含半導體材料;形成源極/汲極區域於鄰接第二閘極間隔件的第一鰭以及第二鰭兩者之中,源極/汲極區域包含合併部分介於第一鰭以及第二鰭之間;以及移除至少一部分的第二閘極間隔件,以形成間隙延伸於第一閘極間隔件以及源極/汲極區域之間。 Some embodiments of the present disclosure provide a method of manufacturing a semiconductor device, including: forming a first fin and a second fin on a substrate, the first fin and the second fin are adjacent to each other; forming a dummy gate stack on the first fin and the second fin On the fin; along one side of the dummy gate stack, a first gate spacer is formed, the first gate spacer comprising a first dielectric material; along one side of the first gate spacer, a second gate is formed a pole spacer, a second gate spacer comprising a semiconductor material; forming source/drain regions in both the first fin adjacent to the second gate spacer and the second fin, the source/drain region comprising a merged partially between the first fin and the second fin; and removing at least a portion of the second gate spacer to form a gap extending between the first gate spacer and the source/drain region.

本揭示內容的一些實施方式提供製造半導體元件的方法,包含:形成鰭於基板上;形成虛設閘極堆疊於鰭上;沿著虛設閘極堆疊的一側,形成閘極間隔件, 閘極間隔件包含由介電材料形成的第一層,以及由半導體材料形成的第二層;形成源極/汲極區域於鄰接閘極間隔件的鰭中;使用主動閘極堆疊取代虛設閘極堆疊;以及移除閘極間隔件的第二層的至少一部分,以形成間隙延伸於主動閘極堆疊以及源極/汲極區域之間。 Some embodiments of the present disclosure provide a method of manufacturing a semiconductor device, comprising: forming a fin on a substrate; forming a dummy gate stack on the fin; forming a gate spacer along one side of the dummy gate stack, Gate spacers include a first layer formed of a dielectric material, and a second layer formed of a semiconductor material; source/drain regions are formed in fins adjacent to the gate spacers; active gate stacks are used instead of dummy gates and removing at least a portion of the second layer of the gate spacer to form a gap extending between the active gate stack and the source/drain regions.

50:基板 50: Substrate

50P:區域 50P: area

50N:區域 50N: area

52:鰭 52: fin

56:隔離區域 56: Isolation area

58:通道區域 58: Channel area

60:虛設介電層 60: Dummy dielectric layer

62:虛設閘極層 62: Dummy gate layer

64:遮罩層 64: mask layer

70:虛設閘極介電層 70: Dummy gate dielectric layer

72:虛設閘極 72:Dummy gate

74:遮罩 74: mask

80:第一閘極間隔件層 80: The first gate spacer layer

82:淺摻雜源極/汲極區域 82: Shallowly doped source/drain regions

84:第二閘極間隔件層 84:Second gate spacer layer

86:第一閘極間隔件 86:First gate spacer

88:第二閘極間隔件 88:Second gate spacer

90:第三閘極間隔件層 90: The third gate spacer layer

92:源極/汲極區域 92: Source/drain region

94:間隙 94: Gap

96:殘餘間隔件 96: residual spacer

98:觸點蝕刻停止層 98: Contact etch stop layer

100:鰭式場效電晶體 100: FinFET

101:層間介電層 101: interlayer dielectric layer

104:凹部 104: concave part

106:閘極介電層 106: gate dielectric layer

108:閘極 108: Gate

108A:襯墊層 108A: Lining layer

108B:功函數調控層 108B: work function control layer

108C:填充材料 108C: Filling material

114:介電層 114: dielectric layer

116:介電栓塞 116: Dielectric embolism

1600:方法 1600: method

1602、1602、1604、1606、1608、1610、1612、1614、1616、1618、1620、1622、1624、1626、1628:操作 1602, 1602, 1604, 1606, 1608, 1610, 1612, 1614, 1616, 1618, 1620, 1622, 1624, 1626, 1628: Operation

A-A、B-B、C-C、D-D、E-E:橫截面 A-A, B-B, C-C, D-D, E-E: cross section

結合附圖,根據以下詳細描述可以最好地理解本揭示內容的各態樣。注意,根據行業中的標準實務,各種特徵未按比例繪製。實際上,為了討論清楚起見,各種特徵的尺寸可任意增加或減小。 Aspects of the present disclosure are best understood from the following detailed description, taken in conjunction with the accompanying drawings. Note that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

第1圖為根據一些實施方式所繪示的鰭式場效電晶體(Field-Effect Transistors;FinFET)的透視圖。 FIG. 1 is a perspective view of a Fin-Effect Transistor (Field-Effect Transistors; FinFET) according to some embodiments.

第2、3、4、5、6、7A、7B、7C、7D、7E、8A、8B、8C、8D、8E、9A、9B、9C、9D、9E、10A、10B、10C、10D、10E、11A、11B、11C、11D、11E、12A、12B、12C、12D、12E、12F、13A、13B、13C、13D、13E、14A、14B、14C、14D、14E、15A、15B、15C、15D以及15E圖為根據一些實施方式所繪示的第1圖的示例性鰭式場效電晶體的各種製造階段的橫截面圖。 2nd, 3rd, 4th, 5th, 6th, 7A, 7B, 7C, 7D, 7E, 8A, 8B, 8C, 8D, 8E, 9A, 9B, 9C, 9D, 9E, 10A, 10B, 10C, 10D, 10E , 11A, 11B, 11C, 11D, 11E, 12A, 12B, 12C, 12D, 12E, 12F, 13A, 13B, 13C, 13D, 13E, 14A, 14B, 14C, 14D, 14E, 15A, 15B, 15C, 15D and Figure 15E is a cross-sectional view of various stages of fabrication of the exemplary FinFET of Figure 1 depicted in accordance with some embodiments.

第16圖繪示根據一些實施方式的製造非平面電晶體元件的示例性方法的流程圖。 Figure 16 depicts a flowchart of an exemplary method of fabricating a non-planar transistor device, according to some embodiments.

以下揭示內容提供了用於實現提供之標的的特徵的不同實施例或實例。以下描述組件、材料、值、步驟、佈置等的特定實例用以簡化本揭示內容。當然,該些僅為實例,並不旨在進行限制。可以預期其他組件、材料、值、步驟、佈置等。例如,在下面的描述中在第二特徵上方或之上形成第一特徵可包括其中第一及第二特徵直觸點點形成的實施例,並且亦可包括其中在第一與第二特徵之間形成附加特徵的實施例,以使得第一及第二特徵可以不直觸點點。此外,本揭示內容可以在各個實例中重複元件符號及/或字母。此重複係出於簡單及清楚的目的,其本身並不指定所討論之各種實施例或組態之間的關係。 The following disclosure provides different embodiments or examples for implementing the features of the provided object. Specific examples of components, materials, values, steps, arrangements, etc. are described below to simplify the present disclosure. Of course, these are examples only and are not intended to be limiting. Other components, materials, values, steps, arrangements, etc. are contemplated. For example, in the description below, forming a first feature on or over a second feature may include embodiments in which direct contact points between the first and second features are formed, and may also include embodiments in which a direct contact point is formed between the first and second features. Embodiments where additional features are formed such that the first and second features may not be in direct contact. Additionally, the present disclosure may repeat element numbers and/or letters in various instances. This repetition is for simplicity and clarity and does not in itself dictate a relationship between the various embodiments or configurations discussed.

此外,為了便於描述,本文中可以使用諸如「在...下方」、「在...下」、「下方」、「在...上方」、「上方」之類的空間相對術語,來描述如圖中所示的一個元件或特徵與另一元件或特徵的關係。除了在附圖中示出的方位之外,空間相對術語意在涵蓋裝置在使用或操作中的不同方位。裝置可以其他方式定向(旋轉90度或以其他方位),並且在此使用的空間相對描述語亦可被相應地解釋。 In addition, for ease of description, spatially relative terms such as "under", "under", "below", "above", "above" may be used herein to describe Describes the relationship of one element or feature to another element or feature as shown in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

根據一些實施方式,多個閘極間隔件形成鰭式場效電晶體(Field-Effect Transistors;FinFET),並且移除閘極間隔件中的至少一部分,以在所形成的 FinFET中定義出間隙。間隙填充至少一部分區域,此區域均勻填充被移除的閘極間隔件,並且保留在最終的FinFET元件中。間隙可以填充空氣,或者可以處於真空,使得FinFET中的閘極以及源極/汲極區域可以具有低的相對介電常數。FinFET的閘極與源極/汲極觸點之間的電容可以因此降低,從而降低FinFET中的電流洩漏。 According to some embodiments, a plurality of gate spacers form a Fin Field-Effect Transistor (FinFET), and at least a portion of the gate spacers are removed to form A gap is defined in the FinFET. The gap fills at least a portion of the area that uniformly fills the removed gate spacers and remains in the final FinFET device. The gap can be filled with air, or can be in a vacuum so that the gate and source/drain regions in a FinFET can have a low relative permittivity. The capacitance between the gate and source/drain contacts of the FinFET can thus be reduced, thereby reducing current leakage in the FinFET.

第1圖為根據多個實施方式所繪示的簡化的示例性鰭式場效電晶體(Field-Effect Transistors;FinFET)100的透視圖。為了清楚起見,省略FinFET的一些其他特徵(討論於下)。所繪示的FinFET可以一個電晶體或多個電晶體(例如,兩個電晶體)依序電連接或耦合的方式操作。 FIG. 1 is a perspective view of a simplified exemplary FinFET (Field-Effect Transistors (FinFETs) 100 according to various embodiments. Some other features of FinFETs (discussed below) are omitted for clarity. The illustrated FinFET can operate with one transistor or multiple transistors (eg, two transistors) electrically connected or coupled in sequence.

鰭式場效電晶體(FinFET)100包括鰭52延伸自基板50。隔離區域56設置在基板50上,並且鰭52突出於相鄰的隔離區域56上方並自相鄰的隔離區域56之間突出。儘管將隔離區域56描述/繪示為與基板50分離,但於本文所指,術語「基板」可以用來意指僅半導體基板或是包括隔離區域的半導體基板。此外,儘管鰭52被繪示為基板50的單一連續材料,但是鰭52和/或基板50可以包括單一材料或複數材料。於本文中,鰭52意指在相鄰的隔離區域56之間延伸的部分。 A Fin Field Effect Transistor (FinFET) 100 includes a fin 52 extending from a substrate 50 . Isolation regions 56 are disposed on substrate 50 , and fins 52 protrude above and from between adjacent isolation regions 56 . Although the isolation region 56 is described/illustrated as being separate from the substrate 50, as referred to herein, the term "substrate" may be used to mean only a semiconductor substrate or a semiconductor substrate including the isolation region. Furthermore, although fin 52 is depicted as a single continuous material of substrate 50 , fin 52 and/or substrate 50 may comprise a single material or a plurality of materials. Herein, fin 52 refers to a portion extending between adjacent isolation regions 56 .

閘極介電層106沿著鰭52的側壁並位於鰭52的頂表面,以及閘極108位於閘極介電層106上。源極 /汲極區域92設置於鰭52的相對於閘極介電層106和閘極108的相對兩側。第一閘極間隔件86將源極/汲極區域92與閘極介電層106以及閘極108分離。在多個電晶體形成的實施方式中,源極/汲極區域92可以在多個晶體管之間共享。在一個電晶體形成於多個鰭52的實施方式中,相鄰的源極/汲極區域92可以電連接,例如通過磊晶生長來合成源極/汲極區域92,或者通過將源極/汲極區域92與相同的源極/汲極觸點耦合。 A gate dielectric layer 106 is located along the sidewalls of the fin 52 and on the top surface of the fin 52 , and a gate 108 is located on the gate dielectric layer 106 . source The drain region 92 is disposed on opposite sides of the fin 52 with respect to the gate dielectric layer 106 and the gate 108 . A first gate spacer 86 separates the source/drain region 92 from the gate dielectric layer 106 and the gate 108 . In embodiments where multiple transistors are formed, source/drain region 92 may be shared among multiple transistors. In embodiments where a single transistor is formed in multiple fins 52, adjacent source/drain regions 92 may be electrically connected, such as by epitaxial growth to synthesize source/drain regions 92, or by combining source/drain Drain region 92 is coupled to the same source/drain contact.

第1圖進一步繪示幾個參考橫截面。例如,橫截面A-A是沿著隔離區域56在相鄰的源極/汲極區域92下方的部分;橫截面B-B平行於橫截面A-A並沿著鰭52的縱軸;橫截面C-C平行於橫截面A-A,並且沿著合成的源極/汲極區域92之間的隔離區域56的部分;橫截面D-D垂直於橫截面A-A,並且沿著閘極108的縱軸;以及橫截面E-E垂直於橫截面A-A,並且跨過相鄰的源極/汲極區域92。為了清楚起見,後續圖式參考此些參考性的橫截面。 Figure 1 further depicts several reference cross-sections. For example, cross-section A-A is along the portion of isolation region 56 below adjacent source/drain region 92; cross-section B-B is parallel to cross-section A-A and along the longitudinal axis of fin 52; cross-section C-C is parallel to cross-section A-A, and along the portion of isolation region 56 between the combined source/drain regions 92; cross-section D-D is perpendicular to cross-section A-A, and along the longitudinal axis of gate 108; and cross-section E-E is perpendicular to cross-section A-A, and across adjacent source/drain regions 92 . For clarity, the subsequent figures refer to such indicative cross-sections.

本文討論的一些實施方式是使用閘極後製製程形成的鰭式場效電晶體的背景下討論。在其他實施方式中,可以使用閘極優先製程。並且,一些實施方式考慮了在諸如平面FET的平面元件和/或諸如環繞式閘極(gate-all-around;GAA)電晶體的其他非平面元件中使用的態樣。 Some of the embodiments discussed herein are discussed in the context of FinFETs formed using a gate last process. In other embodiments, a gate-first process may be used. Also, some embodiments contemplate aspects for use in planar components such as planar FETs and/or other non-planar components such as gate-all-around (GAA) transistors.

第2、3、4、5以及6圖繪示根據一些實施方 式中,製造示例性的FinFET 100的中間階段的透視圖。 Figures 2, 3, 4, 5 and 6 illustrate In the formula, a perspective view of an intermediate stage in the manufacture of an exemplary FinFET 100 is shown.

第2圖中提供基板50。基板50可以是半導體基板,諸如體半導體或絕緣層上半導體(semiconductor-on-insulator;SOI)基板等,可以是摻雜的(例如,具有p型或n型摻雜劑)或未摻雜的。基板50可以是晶片,例如矽晶片。通常,SOI基板是在絕緣層上形成的半導體材料層。絕緣層可以是例如氧化埋(buried oxide;BOX)層、或氧化矽層等。絕緣層提供於基板上,通常是矽或玻璃基板。也可以使用其他基板,例如多層基板或梯度基板。在一些實施方式中,基板50的半導體材料可以包括矽;鍺;化合物半導體包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。 A substrate 50 is provided in FIG. 2 . The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor or a semiconductor-on-insulator (SOI) substrate, etc., and may be doped (eg, with p-type or n-type dopants) or undoped. . The substrate 50 may be a wafer, such as a silicon wafer. Typically, an SOI substrate is a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, or a silicon oxide layer. An insulating layer is provided on a substrate, usually a silicon or glass substrate. Other substrates, such as multilayer substrates or gradient substrates, may also be used. In some embodiments, the semiconductor material of the substrate 50 may include silicon; germanium; compound semiconductors include silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; alloy semiconductors include SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.

基板50具有區域50N和區域50P。區域50N可以用於形成n型元件,例如N型金屬氧化物半導體(N-Metal-Oxide-Semiconductor;NMOS)電晶體,例如n型FinFET。區域50P可以用於形成p型元件,例如P型金屬氧化物半導體(P-Metal-Oxide-Semiconductor;NMOS)電晶體,例如p型FinFET。區域50N可以與區域50P物理上分離,並且可以在區域50N和區域50P之間設置 任何數量的元件特徵(例如,其他主動元件、摻雜區域、隔離結構等)。 The substrate 50 has a region 50N and a region 50P. The region 50N can be used to form an n-type element, such as an N-type Metal-Oxide-Semiconductor (NMOS) transistor, such as an n-type FinFET. The region 50P can be used to form a p-type element, such as a P-type metal-oxide-semiconductor (NMOS) transistor, such as a p-type FinFET. Region 50N may be physically separated from region 50P, and may be provided between region 50N and region 50P Any number of component features (eg, other active components, doped regions, isolation structures, etc.).

如第3圖所繪示,鰭52形成於基板50中。鰭52是半導體條帶。在一些實施方式中,可以通過在基板50中蝕刻溝槽來形成鰭52於基板50中。蝕刻可以是任何可接受的蝕刻處理,例如活性離子蝕刻(reactive ion etch;RIE)、中性束蝕刻(neutral beam etch;NBE)等或其組合。蝕刻可以是各向異性的。 As shown in FIG. 3 , fins 52 are formed in substrate 50 . Fin 52 is a semiconductor strip. In some embodiments, the fins 52 may be formed in the substrate 50 by etching trenches in the substrate 50 . Etching can be any acceptable etching process, such as reactive ion etch (reactive ion etch; RIE), neutral beam etch (neutral beam etch; NBE), etc. or a combination thereof. Etching can be anisotropic.

鰭52可由任何合適的方法來圖案化。例如,可以使用一或多種光微影製程來圖案化鰭52,包括雙重圖案化製程或多重圖案化製程。通常,雙重圖案化製程或多重圖案化製程結合光微影和自對準製程,從而創造出例如間距小於使用單一直接光微影製程所可獲得的間距的圖案。例如,在一實施方式中,犧牲層是形成在基板上,並使用光微影製程圖案化。使用自對準製程,在圖案化的犧牲層旁邊形成間隔件。接著,移除犧牲層,並且可以使用保留的間隔件圖案化鰭。 Fins 52 may be patterned by any suitable method. For example, one or more photolithography processes may be used to pattern the fins 52, including a double patterning process or a multiple patterning process. Typically, a double or multiple patterning process combines photolithography and self-alignment processes to create, for example, patterns with pitches that are smaller than achievable using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed on a substrate and patterned using a photolithography process. Spacers are formed next to the patterned sacrificial layer using a self-aligned process. Next, the sacrificial layer is removed, and the remaining spacers can be used to pattern the fins.

在第4圖中,隔離區域56(有時可稱為淺溝槽隔離(Shallow Trench Isolation;STI)區域56)形成於基板50上以及位於相鄰的鰭52之間。作為形成STI區域56的示例,絕緣材料形成於中間結構上。絕緣材料可以是氧化物,例如氧化矽、氮化物等或其組合,並且可以是由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDP-CVD)、 可流動化學氣相沉積(flowable chemical vapor deposition;FCVD)(例如,在遠程電漿系統中化學氣相沉積(chemical vapor deposition;CVD)基底材料的沉積,並進行後固化以使其轉變為另一種材料,例如氧化物)等或其組合所形成。可以使用任何可接受的製程所形成的其他絕緣材料。在所繪示的實施方式中,絕緣材料是由FCVD製程所形成的氧化矽。絕緣材料一旦形成,可以執行退火製程。在一實施方式中,形成絕緣材料,使得多餘的絕緣材料覆蓋鰭52。一些實施例中可以利用多層。例如,在一些實施例中,襯墊(圖未示)可以先沿著基板50的表面以及鰭52形成。此後,上述討論的填充材料可於襯墊上形成。將移除製程應用於絕緣材料,以移除鰭52上的多餘的絕緣材料。在一些實施方式中,可以使用諸如化學機械研磨(chemical mechanical polish;CMP)的平坦化製程、回蝕製程、前述組合等。平坦化製程暴露鰭52,使得平坦化製程完成後,鰭52的頂表面以及絕緣材料共平面。接著,使絕緣材料凹陷,絕緣材料的保留部分形成STI區域56。絕緣材料凹陷使得在區域50N和區域50P中的鰭52的上部從相鄰的STI區域56之間突出。此外,STI區域56的頂表面可以具有如圖所繪示的平坦表面、凸表面、凹表面(例如凹陷)或其組合。STI區域56的頂部表面可以通過適當的蝕刻製程而凹陷、凸出的和/或凹入。STI區域56可以使用可接受的蝕刻製程而凹陷,例如對絕緣 材料的材料具有選擇性的蝕刻製程(例如,以比蝕刻鰭52的材料更快的速率蝕刻絕緣材料的材料)。例如,化學氧化物移除可以使用例如稀氫氟(dilute hydrofluoric;dHF)酸的適當蝕刻製程。 In FIG. 4 , isolation regions 56 (sometimes referred to as shallow trench isolation (STI) regions 56 ) are formed on the substrate 50 and between adjacent fins 52 . As an example of forming STI region 56 , an insulating material is formed on the intermediate structure. The insulating material can be an oxide, such as silicon oxide, nitride, etc., or a combination thereof, and can be deposited by high density plasma chemical vapor deposition (high density plasma chemical vapor deposition; HDP-CVD), flowable chemical vapor deposition (FCVD) (e.g., chemical vapor deposition (CVD) deposition of substrate material in a remote plasma system and post-cure to transform it into another materials, such as oxides) or a combination thereof. Other insulating materials formed by any acceptable process may be used. In the illustrated embodiment, the insulating material is silicon oxide formed by FCVD process. Once the insulating material is formed, an annealing process may be performed. In one embodiment, the insulating material is formed such that excess insulating material covers the fins 52 . Multiple layers may be utilized in some embodiments. For example, in some embodiments, liners (not shown) may be formed along the surface of the substrate 50 and the fins 52 first. Thereafter, the fill material discussed above may be formed on the liner. A removal process is applied to the insulating material to remove excess insulating material on the fins 52 . In some embodiments, a planarization process such as chemical mechanical polish (CMP), etch back process, combinations of the foregoing, etc. may be used. The planarization process exposes the fins 52 such that the top surfaces of the fins 52 and the insulating material are coplanar after the planarization process is completed. Next, the insulating material is recessed, and the remaining portion of the insulating material forms the STI region 56 . The insulating material is recessed such that upper portions of fins 52 in regions 50N and 50P protrude from between adjacent STI regions 56 . Additionally, the top surface of the STI region 56 may have a flat surface as shown, a convex surface, a concave surface (eg, a depression), or a combination thereof. The top surface of STI region 56 may be recessed, raised and/or recessed by a suitable etching process. STI region 56 may be recessed using an acceptable etch process, such as for insulating The material of the material has a selective etch process (eg, the material of the insulating material etches the material of the insulating material at a faster rate than the material of the fin 52 ). For example, chemical oxide removal may use a suitable etch process such as dilute hydrofluoric (dHF) acid.

上述製程只是鰭52如何形成的一個例子。在一些實施方式中,鰭可以通過磊晶生長製程形成。例如,介電層可以形成於基板50的頂表面上,以及可以通過對介電層蝕刻出溝槽,以暴露下方的基板50。可以在溝槽中磊晶生長同質磊晶結構,並且可以使介電層凹陷,使得同質磊晶結構從介電層突出以形成鰭。此外,在一些實施例中,異質磊晶結構可以用於鰭52。例如,在STI區域56的絕緣材料隨著鰭52平坦化之後,鰭52可以凹入,並且不同於鰭52的材料可以磊晶生長於凹陷的鰭52之上。在這樣的實施方式中,鰭52包括凹陷材料以及磊晶生長於凹陷材料上的材料。在這樣的其他實施方式中,可以在基板50的頂表面上形成介電層,並且可以穿過介電層,蝕刻出溝槽。接著,可以使用不同於基板50的材料,磊晶生長異質磊晶結構於溝槽中,並且可以凹陷介電層,使得異質磊晶結構突出介電層,以形成鰭52。在一些磊晶生長同質磊晶結構或異質磊晶結構的實施方式中,儘管可一起使用原位和植入摻雜,可以在生長過程中原位摻雜磊晶生長的材料,合併之前和後續的植入。 The above process is just one example of how the fins 52 are formed. In some embodiments, the fins can be formed by an epitaxial growth process. For example, a dielectric layer may be formed on the top surface of the substrate 50 and trenches may be etched into the dielectric layer to expose the underlying substrate 50 . The epitaxial structure can be epitaxially grown in the trench, and the dielectric layer can be recessed such that the epitaxial structure protrudes from the dielectric layer to form a fin. Additionally, in some embodiments, a heteroepitaxy structure may be used for fins 52 . For example, after the insulating material of STI region 56 is planarized with fin 52 , fin 52 may be recessed, and a material other than fin 52 may be epitaxially grown over recessed fin 52 . In such an embodiment, the fin 52 includes a recessed material and a material epitaxially grown on the recessed material. In such other embodiments, a dielectric layer may be formed on the top surface of the substrate 50 and trenches may be etched through the dielectric layer. Next, a heteroepitaxy structure can be epitaxially grown in the trench using a material different from the substrate 50 , and the dielectric layer can be recessed so that the heteroepitaxial structure protrudes from the dielectric layer to form the fin 52 . In some embodiments where the epitaxially grown homoepitaxial structure or heteroepitaxy structure can be used together, the epitaxially grown material can be doped in situ during the growth process, combining prior and subsequent implant.

更進一步地,在區域50N(例如,NMOS區域) 中磊晶生長與區域50P(例如,PMOS區域)不同的材料可以是有利的。在多種實施例中,鰭52的上部可以是由矽鍺(SixGe1-x,其中x可以是在0到1的範圍內)、碳化矽、純的或基本上純的鍺、第III族至第V族化合物半導體、第II族至第VI族化合物半導體等。例如,形成第III族至第V族化合物半導體的可使用材料,包括但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP等。 Still further, it may be advantageous to epitaxially grow a different material in region 50N (eg, NMOS region) than in region 50P (eg, PMOS region). In various embodiments, the upper portion of fin 52 may be made of silicon germanium (SixGe1 -x , where x may range from 0 to 1), silicon carbide, pure or substantially pure germanium, III Group to Group V compound semiconductors, Group II to Group VI compound semiconductors, etc. For example, usable materials forming Group III to Group V compound semiconductors include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

此外,可以在鰭52和/或基板50中形成適當的阱(圖未示)。在一些實施方式中,可以在區域50N中形成P阱,並且可以在區域50P中形成N阱。在一些實施方式中,在區域50N和區域50P兩者中形成P阱或N阱。 Additionally, suitable wells (not shown) may be formed in fin 52 and/or substrate 50 . In some embodiments, a P-well may be formed in region 50N and an N-well may be formed in region 50P. In some embodiments, a P-well or an N-well is formed in both region 50N and region 50P.

在不同阱類型的實施方式中,可以使用光阻或其他遮罩(圖未示)實現對區域50N和區域50P的不同植入步驟。例如,可以在區域50N中的鰭52和STI區域56上方形成光阻。圖案化光阻以暴露基板50的區域50P,例如PMOS區域。可以經由使用旋塗技術來形成光阻,並且可以使用可接受的光微影技術來圖案化光阻。一旦光阻圖案化,在區域50P中執行n型雜質植入,並且光阻可以用作遮罩,以基本上防止n型雜質植入至諸如NMOS區域的區域50N中。n型雜質可以以濃度等於或小於1018公分-3植入到區域中的磷、砷、銻等,例如在約1017公分-3以及約1018公分-3之間。在植 入之後,經由例如可接受的灰化製程移除光阻。 In different well type embodiments, photoresists or other masks (not shown) may be used to implement different implant steps for regions 50N and 50P. For example, a photoresist may be formed over fin 52 and STI region 56 in region 50N. The photoresist is patterned to expose a region 50P of the substrate 50 , such as a PMOS region. The photoresist may be formed through the use of spin coating techniques and may be patterned using acceptable photolithography techniques. Once the photoresist is patterned, n-type impurity implantation is performed in region 50P, and the photoresist can be used as a mask to substantially prevent n-type impurity implantation into region 50N, such as an NMOS region. The n-type impurities may be implanted into the phosphorus, arsenic, antimony, etc. in the region at a concentration equal to or less than 10 18 cm −3 , for example between about 10 17 cm −3 and about 10 18 cm −3 . After implantation, the photoresist is removed via, for example, an acceptable ashing process.

在植入區域50P之後,在區域50P中的鰭52和STI區域56上形成光阻。圖案化光阻,以暴露基板50的區域50N,例如NMOS區域。可以經由使用旋塗技術來形成光阻,並且可以使用可接受的光微影技術來圖案化光阻。一旦光阻圖案化,在區域50N中執行p型雜質植入,並且光阻可以用作遮罩,以基本上防止p型雜質植入至諸如PMOS區域的區域50P中。p型雜質可以以濃度等於或小於1018公分-3植入到區域中的硼、二氟化硼(BF2)、銦等,例如在約1017公分-3以及約1018公分-3之間。在植入之後,經由例如可接受的灰化製程移除光阻。 After region 50P is implanted, a photoresist is formed over fin 52 and STI region 56 in region 50P. The photoresist is patterned to expose a region 50N of the substrate 50 , such as an NMOS region. The photoresist may be formed through the use of spin coating techniques and may be patterned using acceptable photolithography techniques. Once the photoresist is patterned, p-type impurity implantation is performed in region 50N, and the photoresist can be used as a mask to substantially prevent p-type impurity implantation into region 50P, such as a PMOS region. The p-type impurity can be implanted into boron, boron difluoride (BF 2 ), indium, etc. in the region at a concentration equal to or less than 10 18 cm -3 , for example between about 10 17 cm -3 and about 10 18 cm -3 between. After implantation, the photoresist is removed via, for example, an acceptable ashing process.

在植入區域50N和區域50P之後,可以執行退火以活化植入的p型雜質和/或n型雜質。在一些實施方式中,儘管可一起使用原位和植入摻雜,可以在生長過程中原位摻雜磊晶鰭的生長材料,合併植入。 After the regions 50N and 50P are implanted, an anneal may be performed to activate the implanted p-type impurities and/or n-type impurities. In some embodiments, the growth material of the epitaxial fin can be doped in situ during growth, combined with implantation, although in situ and implant doping can be used together.

在第5圖中,虛設介電層60形成於鰭52上。虛設介電層60可以是例如氧化矽、氮化矽、或前述組合等,並且根據可接受的技術沉積或熱生長。在虛設介電層60上形成虛設閘極層62,並且在虛設閘極層62上形成遮罩層64。可以利用例如CMP,在虛設介電層60上沉積虛設閘極層62,然後將虛設閘極層62平坦化。遮罩層64可以沉積在虛設閘極層62上方。虛設閘極層62可以是導電材料,並且可以選自包括非晶矽、多晶矽 (polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬的群組。可以通過物理氣相沉積(PVD)、CVD、濺射沉積或本領域中已知以及用於沉積導電材料的其他技術,來沉積虛設閘極層62。虛設閘極層62可以由對隔離區域的蝕刻具有高蝕刻選擇性的其他材料製成。遮罩層64可以包括例如SiN或SiON等。在此示例中,越過區域50N和區域50P,形成單一虛設閘極層62以及單一遮罩層64。應當指出,僅出於說明目的,虛設介電層60被繪示為僅覆蓋鰭52。在一些實施方式中,可以沉積虛設介電層60,使得虛設介電層60覆蓋STI區域56,延伸於虛設閘極層62和STI區域56之間。 In FIG. 5 , a dummy dielectric layer 60 is formed on the fin 52 . The dummy dielectric layer 60 can be, for example, silicon oxide, silicon nitride, or combinations thereof, and is deposited or thermally grown according to acceptable techniques. A dummy gate layer 62 is formed on the dummy dielectric layer 60 , and a mask layer 64 is formed on the dummy gate layer 62 . Dummy gate layer 62 may be deposited on dummy dielectric layer 60 using, for example, CMP, and then planarized. A mask layer 64 may be deposited over the dummy gate layer 62 . The dummy gate layer 62 can be a conductive material, and can be selected from amorphous silicon, polysilicon (polysilicon), polycrystalline silicon germanium (poly-SiGe), metal nitrides, metal silicides, metal oxides and metal groups. Dummy gate layer 62 may be deposited by physical vapor deposition (PVD), CVD, sputter deposition, or other techniques known in the art and used to deposit conductive materials. The dummy gate layer 62 may be made of other materials that have high etch selectivity to the etching of the isolation regions. The mask layer 64 may include, for example, SiN or SiON. In this example, a single dummy gate layer 62 and a single mask layer 64 are formed across the regions 50N and 50P. It should be noted that the dummy dielectric layer 60 is shown covering only the fins 52 for illustration purposes only. In some embodiments, dummy dielectric layer 60 may be deposited such that dummy dielectric layer 60 covers STI region 56 , extending between dummy gate layer 62 and STI region 56 .

在第6圖中,使用可接受的光微影和蝕刻技術,圖案化遮罩層64以形成遮罩74。然後,通過可接受的蝕刻技術將遮罩74的圖案轉移至虛設閘極層62,以形成虛設閘極72。遮罩74的圖案進一步被轉移到虛設介電層60以形成虛設閘極介電層70。虛設閘極72覆蓋鰭52的個別通道區域。虛設閘極介電層70和虛設閘極72有時可以統稱為「虛設閘極堆疊」。遮罩74的圖案可以用於物理分離每個虛設閘極72與相鄰的虛設閘極。虛設閘極72也可具有基本垂直於各個磊晶鰭52的長度方向的長度方向。 In FIG. 6, mask layer 64 is patterned to form mask 74 using acceptable photolithography and etching techniques. The pattern of mask 74 is then transferred to dummy gate layer 62 by acceptable etching techniques to form dummy gate 72 . The pattern of the mask 74 is further transferred to the dummy dielectric layer 60 to form the dummy gate dielectric layer 70 . Dummy gates 72 cover individual channel regions of fins 52 . The dummy gate dielectric layer 70 and the dummy gate 72 are sometimes collectively referred to as a "dummy gate stack". The pattern of mask 74 may be used to physically separate each dummy gate 72 from adjacent dummy gates. The dummy gates 72 may also have a length direction substantially perpendicular to the length direction of each epitaxial fin 52 .

第7A圖至第15E圖為根據一些實施方式,製造FET 10的更中間階段的橫截面視圖。第7A圖至第 15E圖繪示區域50N和50P區域中任一者的特徵。例如,所繪示的結構可以適用於區域50N和區域50P兩者。在每幅圖式所附的文字中描述區域50N和區域50P的結構上的差異(如果有的話)。在簡要概述中,沿著第1圖所示的參考橫截面A-A繪示第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖和第15A圖;沿著第1圖所示的參考橫截面B-B繪示第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖和第15B圖;沿著第1圖所示的參考橫截面C-C繪示第7C圖、第8C圖、第9C圖、第10C圖、第11C圖、第12C圖、第13C圖、第14C圖和第15C圖;沿著第1圖所示的參考橫截面D-D繪示第7D圖、第8D圖、第9D圖、第10D圖、第11D圖、第12D圖、第13D圖、第14D圖和第15D圖;以及沿著第1圖所示的參考橫截面E-E繪示第7E圖、第8E圖、第9E圖、第10E圖、第11E圖、第12E圖、第13E圖、第14E圖和第15E圖。 7A-15E are cross-sectional views of more intermediate stages in the fabrication of FET 10, according to some embodiments. Figure 7A to Figure Figure 15E depicts features of either of regions 50N and 50P. For example, the depicted structure may apply to both region 50N and region 50P. Structural differences, if any, between regions 50N and 50P are described in the text accompanying each figure. In a brief overview, Figure 7A, Figure 8A, Figure 9A, Figure 10A, Figure 11A, Figure 12A, Figure 13A, Figure 14A are drawn along the reference cross-section A-A shown in Figure 1 and Fig. 15A; Fig. 7B, Fig. 8B, Fig. 9B, Fig. 10B, Fig. 11B, Fig. 12B, Fig. 13B, Fig. 14B are drawn along the reference cross section B-B shown in Fig. 1 and Fig. 15B; Fig. 7C, Fig. 8C, Fig. 9C, Fig. 10C, Fig. 11C, Fig. 12C, Fig. 13C, Fig. 14C are drawn along the reference cross section C-C shown in Fig. 1 and Figure 15C; Figure 7D, Figure 8D, Figure 9D, Figure 10D, Figure 11D, Figure 12D, Figure 13D, Figure 14D along the reference cross section D-D shown in Figure 1 and Fig. 15D; and Fig. 7E, Fig. 8E, Fig. 9E, Fig. 10E, Fig. 11E, Fig. 12E, Fig. 13E, Fig. 14E along the reference cross section E-E shown in Fig. 1 Figures and Figure 15E.

第7A圖至第7E圖中,第一閘極間隔件層80形成於遮罩74、虛設閘極72、虛設閘極介電層70、STI區域56、和/或鰭52的暴露表面上。第一閘極間隔件層80是由介電材料諸如氮化矽、矽碳氮化物、矽氧碳氮化物、矽氧碳化物、矽、金屬氧化物等、或其組合所形成,並且可以是由諸如CVD、或電漿化學氣相沉積 (Plasma-Enhanced CVD;PECVD)等的共形沉積製程形成。 In FIGS. 7A-7E , first gate spacer layer 80 is formed on exposed surfaces of mask 74 , dummy gate 72 , dummy gate dielectric layer 70 , STI region 56 , and/or fin 52 . The first gate spacer layer 80 is formed of a dielectric material such as silicon nitride, silicon carbon nitride, silicon oxycarbonitride, silicon oxycarbide, silicon, metal oxide, etc., or a combination thereof, and may be By such as CVD, or plasma chemical vapor deposition (Plasma-Enhanced CVD; PECVD) and other conformal deposition processes.

在形成第一閘極間隔件層80之後,執行淺摻雜源極/汲極(lightly doped source/drain;LDD)區域82的植入。在不同元件類型的實施方式中,可以在區域50N上方形成例如光阻的遮罩,同時暴露區域50P,並且可以將適當類型(例如,p型)雜質植入區域50P中暴露的鰭52中。接著,可以去除遮罩。隨後,可以在區域50P上方形成遮罩,例如光阻,同時暴露區域50N,並且可以將適當類型的雜質(例如,n型)注入到區域50N中暴露的鰭52中。接著,可以移除遮罩。n型雜質可以是先前討論的任何n型雜質,並且p型雜質可以是先前討論的任何p型雜質。淺摻雜的源極/汲極區域可以具有約1015公分-3至約1016公分-3的雜質濃度。可以使用退火來活化植入的雜質。 After forming the first gate spacer layer 80 , implantation of lightly doped source/drain (LDD) regions 82 is performed. In a different element type implementation, a mask such as a photoresist may be formed over region 50N while exposing region 50P, and an appropriate type (eg, p-type) impurity may be implanted into fin 52 exposed in region 50P. Next, the mask can be removed. Subsequently, a mask, such as a photoresist, may be formed over region 50P while exposing region 50N, and an appropriate type of impurity (eg, n-type) may be implanted into fin 52 exposed in region 50N. Next, the mask can be removed. The n-type impurity can be any n-type impurity discussed previously, and the p-type impurity can be any p-type impurity discussed previously. The lightly doped source/drain regions may have an impurity concentration of about 10 15 cm −3 to about 10 16 cm −3 . Annealing can be used to activate the implanted impurities.

形成LDD區域82之後,第二閘極間隔件層84形成於第一閘極間隔件層80之上。第二閘極間隔件層84由半導體材料例如莫耳比包含少於50%(x<0.5)的Ge的Si1-xGex所形成。例如,Si1-xGex的第二閘極間隔件層84中,Ge可以包含約10%至40%的莫耳比。第二閘極間隔件層84由共形沉積製程例如分子束沉積(Molecular-Beam Deposition;MBD)、原子層沉積(Atomic Layer Deposition;ALD)以及電漿化學氣相沉積(Plasma-Enhanced CVD;PECVD) 等所形成。第二閘極間隔件層84是摻雜,並且可以摻雜n型雜質(例如,磷)或p型雜質(例如,硼)。如圖所示,第二閘極間隔件層84與第一閘極間隔件層80的材料不同。第二閘極間隔件層84和第一閘極間隔件層80在相同的蝕刻製程中具有高蝕刻選擇性,例如第二閘極間隔件層84的蝕刻速率大於第一閘極極間隔件層80的蝕刻速率。在一些實施方式中,可以在隨後的製程中摻雜第二閘極間隔件層84,從而進一步提高第二閘極間隔件層84和第一閘極間隔件層80之間的蝕刻選擇性,以下提供更一步的討論。 After forming the LDD regions 82 , a second gate spacer layer 84 is formed over the first gate spacer layer 80 . The second gate spacer layer 84 is formed of a semiconductor material such as Si 1-x Ge x containing less than 50% Ge in molar ratio (x<0.5). For example, in the second gate spacer layer 84 of Si 1-x Ge x , Ge may comprise a molar ratio of about 10% to 40%. The second gate spacer layer 84 is formed by a conformal deposition process such as molecular beam deposition (Molecular-Beam Deposition; MBD), atomic layer deposition (Atomic Layer Deposition; ALD) and plasma chemical vapor deposition (Plasma-Enhanced CVD; PECVD). ) and so on. The second gate spacer layer 84 is doped, and may be doped with n-type impurities (eg, phosphorus) or p-type impurities (eg, boron). As shown, the second gate spacer layer 84 is a different material than the first gate spacer layer 80 . The second gate spacer layer 84 and the first gate spacer layer 80 have high etch selectivity in the same etching process, for example, the etching rate of the second gate spacer layer 84 is greater than that of the first gate spacer layer 80 the etching rate. In some embodiments, the second gate spacer layer 84 may be doped in a subsequent process, thereby further improving the etching selectivity between the second gate spacer layer 84 and the first gate spacer layer 80, Further discussion is provided below.

形成第二閘極間隔件層84之後,第三閘極間隔件層90形成於第二閘極間隔件層84之上。第三閘極間隔件層90由第一閘極間隔件層80的候選介電材料中選擇的介電材料所形成,以及可能由形成第一閘極間隔件層80的候選方法中選擇的方法所形成、或是可以由不同方法所形成。在一些其它實施方式中,第三閘極間隔件層90是由不同於第一閘極間隔件層80的材料所形成。具體來說,第三閘極間隔件層90可與第一閘極間隔件層80具有高蝕刻選擇性。如下文將進一步討論的,第三閘極間隔件層90在隨後的處理中也是被摻雜的,進一步增加第三閘極間隔件層90和第一閘極間隔件層80之間的蝕刻選擇性。 After forming the second gate spacer layer 84 , a third gate spacer layer 90 is formed over the second gate spacer layer 84 . The third gate spacer layer 90 is formed from a dielectric material selected from the candidate dielectric materials of the first gate spacer layer 80 and possibly from a method selected from the candidate methods of forming the first gate spacer layer 80 Formed, or can be formed by different methods. In some other embodiments, the third gate spacer layer 90 is formed of a different material than the first gate spacer layer 80 . Specifically, the third gate spacer layer 90 may have high etch selectivity to the first gate spacer layer 80 . As will be discussed further below, the third gate spacer layer 90 is also doped in subsequent processing, further increasing the etch selectivity between the third gate spacer layer 90 and the first gate spacer layer 80 sex.

在第8A圖至第8E圖中,磊晶源極/汲極區域92形成於鰭52中,施加應力於各自的通道區域58,從 而改善執行。磊晶源極/汲極區域92形成於鰭52中,使得每個虛設閘極72設置於磊晶源極/汲極區域92的各相鄰配對之間。在一些實施方式中,磊晶源極/汲極區域92可以延伸至鰭52中,也可以穿越鰭52。第一閘極間隔件層80、第二閘極間隔件層84以及第三閘極間隔件層90可以用於將磊晶源極/汲極區域92與虛設閘極72分開適當的橫向距離,使得磊晶源極/汲極區域92不會使隨後形成的FinFET的閘極短路。 In FIGS. 8A-8E , epitaxial source/drain regions 92 are formed in fins 52 to apply stress to respective channel regions 58 from and improve execution. Epitaxial source/drain regions 92 are formed in fins 52 such that each dummy gate 72 is disposed between adjacent pairs of epitaxial source/drain regions 92 . In some embodiments, the epitaxial source/drain region 92 may extend into the fin 52 or may pass through the fin 52 . First gate spacer layer 80 , second gate spacer layer 84 , and third gate spacer layer 90 may be used to separate epitaxial source/drain regions 92 from dummy gates 72 by a suitable lateral distance, Such that the epitaxial source/drain regions 92 do not short-circuit the gates of subsequently formed FinFETs.

區域50N中的磊晶源極/汲極區域92,例如NMOS區域,可以經由遮罩區域50P例如PMOS區域,以及蝕刻區域50N中的鰭52的源極/汲極區域,以在鰭52中形成凹陷。然後,區域50N中的源極/汲極區域92在凹陷中磊晶生長。磊晶源極/汲極區域92可以包括任何可接受的材料,例如適合於n型FinFET的材料。例如,如果鰭52是矽,則區域50N中的磊晶源極/汲極區域92可以包括在通道區域58中施加拉伸應變的材料,諸如矽、SiC、SiCP、或SiP等。區域50N中的磊晶源極/汲極區域92可以具有從鰭52的相應表面凸起的表面,並且可以具有接面(facets)。 Epitaxial source/drain regions 92, such as NMOS regions, in region 50N may be formed in fin 52 via mask region 50P, such as a PMOS region, and etching the source/drain regions of fin 52 in region 50N. sunken. Source/drain regions 92 in region 50N are then epitaxially grown in the recess. Epitaxial source/drain regions 92 may comprise any acceptable material, such as a material suitable for n-type FinFETs. For example, if fin 52 is silicon, epitaxial source/drain region 92 in region 50N may include a material that imparts tensile strain in channel region 58 , such as silicon, SiC, SiCP, or SiP, among others. Epitaxial source/drain regions 92 in region 50N may have surfaces raised from corresponding surfaces of fins 52 and may have facets.

區域50P中的磊晶源極/汲極區域92,例如PMOS區域,可以經由遮罩區域50N例如NMOS區域,以及蝕刻區域50P中的鰭52的源極/汲極區域,以在鰭52中形成凹陷。然後,區域50P中的源極/汲極區域92在凹陷中磊晶生長。磊晶源極/汲極區域92可以包括任 何可接受的材料,例如適合於p型FinFET的材料。例如,如果鰭52是矽,則區域50P中的磊晶源極/汲極區域92可以包括在通道區域58中施加拉伸應變的材料,諸如矽、SiC、SiCP、或SiP等。區域50P中的磊晶源極/汲極區域92可以具有從鰭52的相應表面凸起的表面,並且可以具有接面(facets)。 Epitaxial source/drain regions 92, such as PMOS regions, in region 50P may be formed in fin 52 via masking region 50N, such as an NMOS region, and etching the source/drain regions of fin 52 in region 50P. sunken. Source/drain regions 92 in region 50P are then epitaxially grown in the recess. Epitaxial source/drain regions 92 may include any Any acceptable materials, such as those suitable for p-type FinFETs. For example, if fin 52 is silicon, epitaxial source/drain region 92 in region 50P may include a material that imparts tensile strain in channel region 58 , such as silicon, SiC, SiCP, or SiP, among others. Epitaxial source/drain regions 92 in region 50P may have surfaces raised from corresponding surfaces of fins 52 and may have facets.

在一些實施例中,第三閘極間隔件層90是形成於源極/汲極區域92之前,並且第三閘極間隔件層90可以形成於每個區域。例如,第三閘極間隔件層90可以與區域50N中的磊晶源極/汲極區域92一起形成,而區域50P被遮罩,並且第三閘極間隔件層90可以與區域50P中的磊晶源極/汲極區域92一起形成,而區域50N被遮罩。在鰭52的源極/汲極區域凹陷期間,第三閘極間隔件層90作為額外的蝕刻遮罩,在蝕刻鰭52的源極/汲極區域時,保護第二閘極間隔件層84的垂直部分。因此,源極/汲極凹陷可以形成更大的深度和更窄的寬度。 In some embodiments, the third gate spacer layer 90 is formed before the source/drain regions 92, and the third gate spacer layer 90 may be formed in each region. For example, third gate spacer layer 90 may be formed with epitaxial source/drain regions 92 in region 50N while region 50P is masked, and third gate spacer layer 90 may be formed with epitaxial source/drain regions 92 in region 50P. Epitaxial source/drain regions 92 are formed together, while region 50N is masked. During recessing of the source/drain regions of fin 52, third gate spacer layer 90 acts as an additional etch mask, protecting second gate spacer layer 84 while etching the source/drain regions of fin 52. the vertical portion of the . Therefore, source/drain recesses can be formed with greater depth and narrower width.

在鰭52的源極/汲極區域凹陷期間,蝕刻第一閘極間隔件層80、第二閘極間隔件層84、以及第三閘極間隔件層90。開口形成於第一閘極間隔件層80、第二閘極間隔件層84以及第三閘極間隔件層90之中,暴露鰭52的源極/汲極區域,並且開口延伸到鰭片52中以形成磊晶源極/汲極區域92的凹陷。蝕刻可以是例如各向異性蝕刻,例如乾式蝕刻。第一閘極間隔件層80、 第二閘極間隔件層84、以及第三閘極間隔件層90可以(或可以不)在不同製程中蝕刻。 During recessing of the source/drain regions of fin 52 , first gate spacer layer 80 , second gate spacer layer 84 , and third gate spacer layer 90 are etched. Openings are formed in the first gate spacer layer 80 , the second gate spacer layer 84 and the third gate spacer layer 90 exposing the source/drain regions of the fin 52 and extending to the fin 52 to form recesses for the epitaxial source/drain regions 92 . Etching may be, for example, anisotropic etching, such as dry etching. first gate spacer layer 80, The second gate spacer layer 84, and the third gate spacer layer 90 may (or may not) be etched in different processes.

可以類似於先前討論的形成淺摻雜源極/汲極區域的製程,將摻雜劑植入磊晶源極/汲極區域92和/或鰭52,然後進行退火。源極/汲極區域可以具有約1019公分-3到約1021公分-3的雜質濃度。源極/汲極區域的n型和/或p型雜質可以是先前討論的任何雜質。在一些實施方式中,可以在生長期間原位摻雜磊晶源極/汲極區域92。 Dopants may be implanted into epitaxial source/drain regions 92 and/or fins 52 followed by annealing similar to the previously discussed process for forming shallowly doped source/drain regions. The source/drain regions may have an impurity concentration of about 10 19 cm −3 to about 10 21 cm −3 . The n-type and/or p-type impurities of the source/drain regions can be any of the impurities discussed previously. In some embodiments, epitaxial source/drain regions 92 may be doped in situ during growth.

磊晶製程用於在區域50N與區域50P中因此形成磊晶源極/汲極區域,磊晶源極/汲極區域的上表面具有橫向延伸向外超出鰭52的側壁的接面。在一些實施方式中,如圖所示,這些接面導致相同FinFET的相鄰磊晶源極/汲極區域合併。間隙94可形成於合併的磊晶源極/汲極區域92下方,位於相鄰的鰭52之間,如第8E圖所更好地示出。兩個或更多相鄰區域可能會合併。在其他實施方式中(下文將進一步討論),在磊晶製程完成之後,相鄰的磊晶源極/汲極區域92保持分離。在隔離區域56上和鰭片52之間切割的橫截面視圖中(例如,第8A圖、以及第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖以及第15A圖),第三閘極間隔件層90的保留部分可被觀察到的,例如,沿著(物理接觸)源極/汲極區域的底表面和至少一側壁延伸。然而,應當理解,第三閘極間隔件層90的保留部分可被 蝕刻,例如,形成間隙94的部分,然而保留也包含在本揭示內容之中。 The epitaxial process is used to thus form epitaxial source/drain regions in regions 50N and 50P, the upper surfaces of the epitaxial source/drain regions have junctions extending laterally outward beyond the sidewalls of fins 52 . In some embodiments, as shown, these junctions result in the merging of adjacent epitaxial source/drain regions of the same FinFET. A gap 94 may be formed below the merged epitaxial source/drain region 92 between adjacent fins 52, as better shown in FIG. 8E. Two or more adjacent regions may merge. In other embodiments (discussed further below), adjacent epitaxial source/drain regions 92 remain separated after the epitaxial process is complete. In cross-sectional views cut over isolation region 56 and between fins 52 (e.g., FIG. 8A, and FIGS. 9A, 10A, 11A, 12A, 13A, 15A), a remaining portion of the third gate spacer layer 90 can be observed, for example, extending along (physically contacting) the bottom surface and at least one sidewall of the source/drain region. However, it should be understood that the remaining portion of the third gate spacer layer 90 may be Etching, for example, forms part of the gap 94, however remains within the scope of this disclosure.

在磊晶源極/汲極區域92的摻雜期間,也可以摻雜第一閘極間隔件層80、第二閘極間隔件層84以及第三閘極間隔件層90。例如,當通過植入來摻雜時,一些雜質可以被植入到多種間隔物中。同樣,當在生長期間執行原位摻雜,多種間隔物可以暴露於磊晶製程的摻雜劑前驅物。由於第三閘極間隔件層90覆蓋第二閘極間隔件層84,第二閘極間隔件層84可以具有較第三閘極間隔件層90更低的摻雜劑濃度。同樣地,由於第二閘極間隔件層84覆蓋第一閘極間隔件層80,第一閘極間隔件層80可以具有較第二閘極間隔件層84更低的摻雜劑濃度。此外,第一閘極間隔件層80,第二閘極間隔件層84和第三閘極間隔件層90的一些區域(例如上部區域)可以被摻雜到比間隔件層的其他區域(例如下部區域)更高的雜質濃度。由於上述的遮罩步驟,區域50N中的第一閘極間隔件層80、第二閘極間隔件層84以及第三閘極間隔件層90被摻雜與磊晶源極/汲極相同的雜質。同樣,區域50P中的第一閘極間隔件層80、第二閘極間隔件層84以及第三閘極間隔件層90被摻雜與磊晶源極/汲極相同的雜質。這樣,每個磊晶源極/汲極區域92的導電類型(例如,多數載流子類型)與相鄰於源極/汲極區域92的第一閘極間隔件層80、第二閘極間隔件層84以及第三閘極間隔件層90的部分相同。 During doping of the epitaxial source/drain regions 92 , the first gate spacer layer 80 , the second gate spacer layer 84 and the third gate spacer layer 90 may also be doped. For example, when doping by implantation, some impurities may be implanted into various spacers. Also, when in-situ doping is performed during growth, various spacers can be exposed to the dopant precursors of the epitaxial process. Since the third gate spacer layer 90 covers the second gate spacer layer 84 , the second gate spacer layer 84 may have a lower dopant concentration than the third gate spacer layer 90 . Likewise, since the second gate spacer layer 84 covers the first gate spacer layer 80 , the first gate spacer layer 80 may have a lower dopant concentration than the second gate spacer layer 84 . In addition, some regions (eg, upper regions) of the first gate spacer layer 80, the second gate spacer layer 84, and the third gate spacer layer 90 may be doped more heavily than other regions of the spacer layers (eg, Lower region) higher impurity concentration. Due to the masking step described above, the first gate spacer layer 80, the second gate spacer layer 84, and the third gate spacer layer 90 in region 50N are doped with the same doping as the epitaxial source/drain. Impurities. Likewise, first gate spacer layer 80 , second gate spacer layer 84 , and third gate spacer layer 90 in region 50P are doped with the same impurities as the epitaxial source/drain. In this way, the conductivity type (eg, majority carrier type) of each epitaxial source/drain region 92 is compatible with the first gate spacer layer 80, the second gate electrode adjacent to the source/drain region 92. Parts of the spacer layer 84 and the third gate spacer layer 90 are identical.

在形成磊晶源極/汲極區域92之後,第一閘極間隔件層80和第二閘極間隔件層84的保留部分分別形成第一閘極間隔件86以及第二閘極間隔件88。此外,第三閘極間隔件層90可以被部分地移除。可以通過適當的蝕刻製程移除,例如使用熱磷酸(H3PO4)的濕式蝕刻。在一些實施方式中,在移除之後,第三閘極間隔件層90的殘餘部分保留,殘餘部分設置在第二閘極間隔件88和磊晶源極/汲極區域92的凸起表面之間,並且在磊晶源極/汲極區域92的間隙94中。第三閘極間隔件層90的殘餘部分被稱為殘餘間隔件96。 After forming epitaxial source/drain regions 92, the remaining portions of first gate spacer layer 80 and second gate spacer layer 84 form first gate spacer 86 and second gate spacer 88, respectively. . In addition, the third gate spacer layer 90 may be partially removed. It can be removed by a suitable etching process, such as wet etching using hot phosphoric acid (H 3 PO 4 ). In some embodiments, after removal, a remnant portion of third gate spacer layer 90 remains, the remnant portion being disposed between second gate spacer 88 and the raised surface of epitaxial source/drain region 92 between, and in the gap 94 of the epitaxial source/drain region 92 . The remnants of the third gate spacer layer 90 are referred to as remnant spacers 96 .

在第9A圖至第9E圖中,觸點蝕刻停止層(contact etch stop layer;CESL)98沿第二閘極間隔件88形成,並且在磊晶源極/汲極區域92和殘餘間隔件96之上。CESL 98可以由第一閘極間隔件層80(86)的候選介電材料中選擇的介電材料或可以包括不同介電材料所形成。CESL 98可以由形成第一閘極間隔件層80的候選方法中選擇的方法所形成、或是可以由不同方法所形成。如圖所示,CESL 98是由不同於第二閘極間隔件層84(第二閘極間隔件88)的材料所形成。第二閘極間隔件層84和CESL 98在相同的蝕刻製程中具有高蝕刻選擇性,例如第二閘極極間隔件層84的蝕刻速率大於CESL 98的蝕刻速率。在一些實施例中,CESL 98和第一閘極間隔件層80是由相同介電材料所形成。 In FIGS. 9A-9E , contact etch stop layer (CESL) 98 is formed along second gate spacers 88 and between epitaxial source/drain regions 92 and residual spacers 96 above. CESL 98 may be formed from a dielectric material selected from among the candidate dielectric materials for first gate spacer layer 80 ( 86 ) or may include a different dielectric material. CESL 98 may be formed by a method selected from the candidate methods for forming first gate spacer layer 80 , or may be formed by a different method. As shown, CESL 98 is formed of a different material than second gate spacer layer 84 (second gate spacer 88 ). The second gate spacer layer 84 and the CESL 98 have high etch selectivity in the same etching process, eg, the etch rate of the second gate spacer layer 84 is greater than that of the CESL 98 . In some embodiments, CESL 98 and first gate spacer layer 80 are formed of the same dielectric material.

此外,第一層間介電層(ILD)101沉積在CESL 98上方。ILD 101可以由介電材料形成,並且可以通過任何合適的方法例如CVD、電漿化學氣相沉積(PECVD)或FCVD。介電材料可包括磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽玻璃(Boro-Silicate Glass;BSG)、磷摻硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)、或未摻雜的矽酸鹽玻璃(urdoped Silicate Glass;USG)等。其他絕緣材料可以使用通過任何可接受的方法形成。 Additionally, a first interlayer dielectric (ILD) 101 is deposited over CESL 98 . ILD 101 may be formed from a dielectric material and may be by any suitable method such as CVD, plasma chemical vapor deposition (PECVD), or FCVD. The dielectric material may include Phospho-Silicate Glass (PSG), Boro-Silicate Glass (BSG), Phospho-Doped Phospho-Silicate Glass (BPSG), or undoped Miscellaneous silicate glass (urdoped Silicate Glass; USG) and so on. Other insulating materials may be formed using any acceptable method.

在第10A圖至第10E圖中,平坦化製程諸如化學機械研磨(CMP)可以執行以使ILD 101的頂表面與虛設閘極72或遮罩74的頂表面共平面。平坦化製程移除遮罩74上的CESL 98的部分,並且還可以移除虛設閘極72上的遮罩74上。平坦化製程之後,虛設閘極72的頂表面、第一閘極間隔件86、第二閘極間隔件88、CESL 98以及ILD 101共平面。因此,虛設閘極72的頂表面穿過ILD 101暴露出來。在一些實施方式中,可以保持遮罩74,在這種情況下,平坦化製程使得ILD 101的頂表面與遮罩74的頂表面共平面。 In FIGS. 10A-10E , a planarization process such as chemical mechanical polishing (CMP) may be performed to make the top surface of ILD 101 coplanar with the top surface of dummy gate 72 or mask 74 . The planarization process removes portions of CESL 98 over mask 74 and may also remove dummy gate 72 over mask 74 . After the planarization process, the top surface of the dummy gate 72 , the first gate spacer 86 , the second gate spacer 88 , the CESL 98 and the ILD 101 are coplanar. Thus, the top surface of dummy gate 72 is exposed through ILD 101 . In some implementations, mask 74 may remain, in which case the planarization process makes the top surface of ILD 101 coplanar with the top surface of mask 74 .

參照第11A圖至第11E圖,在一個或多個蝕刻步驟中去除虛設閘極72和遮罩74(如果存在的話),從而形成凹部104。凹部104中的虛設閘極介電層70也可以移除。在一些實施方式中,僅虛設閘極72被移除, 並且虛設閘極介電層70保留並且由凹部104暴露出來。在一些實施方式中,虛設閘極介電層70從晶粒的第一區域(例如,核心邏輯區域)中的凹部104中移除,並且保留在晶粒的第二區域(例如,輸入/輸出區域)的凹部104中。在一些實施方式中,通過各向異性乾式蝕刻製程移除虛設閘極72。例如,蝕刻製程可以包括使用反應氣體的乾式蝕刻製程,其中反應氣體選擇性地蝕刻虛設閘極72,而不會蝕刻第一閘極間隔件86、第二閘極間隔件88、CESL 98、或ILD 101。每個凹部104暴露相應的鰭52的通道區域58。每個通道區域58設置在磊晶源極/汲極區域92的相鄰配對之間。在移除期間,當蝕刻虛設閘極72時,虛設閘極介電層70可以用作蝕刻停止層。然後,在移除虛設閘極72之後,可以選擇性地移除虛設閘極介電層70。 Referring to FIGS. 11A-11E , dummy gate 72 and mask 74 (if present) are removed in one or more etching steps, thereby forming recess 104 . The dummy gate dielectric layer 70 in the recess 104 may also be removed. In some embodiments, only the dummy gate 72 is removed, And the dummy gate dielectric layer 70 remains and is exposed by the concave portion 104 . In some embodiments, the dummy gate dielectric layer 70 is removed from the recess 104 in a first region of the die (eg, the core logic region) and remains in a second region of the die (eg, the input/output area) in the recess 104. In some embodiments, the dummy gate 72 is removed by an anisotropic dry etching process. For example, the etching process may include a dry etching process using a reactive gas that selectively etches the dummy gate 72 without etching the first gate spacer 86, the second gate spacer 88, the CESL 98, or ILD 101. Each recess 104 exposes the channel region 58 of the corresponding fin 52 . Each channel region 58 is disposed between adjacent pairs of epitaxial source/drain regions 92 . During removal, dummy gate dielectric layer 70 may serve as an etch stop layer when dummy gate 72 is etched. Then, after dummy gate 72 is removed, dummy gate dielectric layer 70 may be selectively removed.

在第12A圖至第12E圖中,閘極介電層106和閘極108形成以替換閘極。第12F圖繪示第12B圖的區域11的詳細視圖。閘極介電層106共形沉積於凹部104中,例如在鰭52的頂表面和側壁上,以及第一閘極間隔件86的側壁上。閘極介電層106可以形成於ILD 101的頂表面上。根據一些實施方式,閘極介電層106包括氧化矽、氮化矽、或其多層。在一些實施方式中,閘極介電層106包括高k介電材料,並且在這些實施方式中,閘極介電層106可以具有大於約7.0的k值,並且可以包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb 及其組合的金屬氧化物或矽酸鹽。閘極介電層106的形成方法可以包括分子束沉積(MBD)、ALD、以及PECVD等。在虛設閘極介電層70保留在凹部104中的實施例中,閘極介電層106包括虛設閘極介電層70的材料(例如SiO2)。 In FIGS. 12A-12E , a gate dielectric layer 106 and a gate 108 are formed to replace the gate. Figure 12F shows a detailed view of the area 11 of Figure 12B. A gate dielectric layer 106 is conformally deposited in the recess 104 , eg, on the top surface and sidewalls of the fin 52 , and on the sidewalls of the first gate spacer 86 . A gate dielectric layer 106 may be formed on the top surface of the ILD 101 . According to some embodiments, the gate dielectric layer 106 includes silicon oxide, silicon nitride, or multiple layers thereof. In some embodiments, the gate dielectric layer 106 includes a high-k dielectric material, and in these embodiments, the gate dielectric layer 106 may have a k value greater than about 7.0 and may include Hf, Al, Zr, Metal oxides or silicates of La, Mg, Ba, Ti, Pb and combinations thereof. The forming method of the gate dielectric layer 106 may include molecular beam deposition (MBD), ALD, and PECVD. In embodiments where the dummy gate dielectric layer 70 remains in the recess 104 , the gate dielectric layer 106 includes the material of the dummy gate dielectric layer 70 (eg, SiO 2 ).

閘極108分別沉積在閘極介電層106上,並填充凹部104的保留部分。閘極108可以包括諸如TiN、TiO、TaN、TaC、Co、Ru、Al、W、其組合或其多層。例如,儘管在第12A圖至第12D圖中繪示單層閘極108,但是閘極108可以包括任意數量的襯墊層108A、任意數量的功函數調控層108B以及填充材料108C,如第12F圖所示。在填充閘極108之後,可以執行諸如CMP的植入製程,以移除閘極介電層106的多餘部分和閘極108的材料,其中多餘部分在ILD 101的頂表面上方。閘極108和閘極介電層106的材料的保留部分因此形成所得的FinFET的替換閘極。閘極108和閘極介電層106有時統稱為「主動閘極堆疊」。主動閘極堆疊可以沿鰭52的通道區域58的側壁延伸。 The gates 108 are respectively deposited on the gate dielectric layer 106 and fill the remaining portion of the recess 104 . The gate 108 may include, for example, TiN, TiO, TaN, TaC, Co, Ru, Al, W, combinations thereof, or multiple layers thereof. For example, although a single-layer gate 108 is shown in FIGS. 12A to 12D, the gate 108 may include any number of liner layers 108A, any number of work function modulation layers 108B, and filling materials 108C, as shown in FIG. 12F. As shown in the figure. After filling the gate 108 , an implantation process such as CMP may be performed to remove excess portions of the gate dielectric layer 106 and the material of the gate 108 , wherein the excess portions are above the top surface of the ILD 101 . The remaining portion of the material of gate 108 and gate dielectric layer 106 thus forms the replacement gate of the resulting FinFET. Gate 108 and gate dielectric layer 106 are sometimes collectively referred to as an "active gate stack." Active gate stacks may extend along sidewalls of channel region 58 of fin 52 .

在區域50N以及區域50P中的閘極介電層106的的形成可能同時發生,因此每個區域中的閘極介電層106是由相同的材料形成,並且閘極108的形成可以同時發生,因此每個區域中的閘極108由相同的材料形成。在一些實施方式中,可以通過不同的製程形成每個區域中的閘極介電層106,因此閘極介電層106可以是不同 的材料,和/或可以通過不同的製程形成每個區域中的閘極108,因此閘極108可以是不同的材料。當使用不同的製程時,可以使用多種遮罩步驟來遮罩和暴露適當的區域。 The formation of the gate dielectric layer 106 in the region 50N and the region 50P may occur simultaneously, so the gate dielectric layer 106 in each region is formed of the same material, and the formation of the gate electrode 108 may occur simultaneously, The gates 108 in each region are thus formed from the same material. In some embodiments, the gate dielectric layer 106 in each region may be formed by a different process, so the gate dielectric layer 106 may be different. materials, and/or the gates 108 in each region may be formed by different processes, so the gates 108 may be of different materials. When using different processes, various masking steps can be used to mask and expose the appropriate areas.

在第13A圖至第13E圖中,移除第二閘極間隔件88,以使間隙94沿著主動閘極堆疊延伸。根據多種實施方式,因為第二閘極間隔件88和第一閘極間隔件86以及殘餘間隔件96兩者之間的高蝕刻選擇性,在移除第二閘極間隔件88時,第一閘極間隔件86和殘餘間隔件96可以保持基本上無損的。因此,間隙94可以承繼第二閘極間隔件88的尺寸和輪廓,其中可具有一個沿著間隙94的共形間隔。在一些其他實施方式中,移除第二閘極間隔件88時,沿間隙94鄰近的層/特徵(例如,第一閘極間隔件86、殘餘間隔件96、CESL 98)也被蝕刻,但顯著較少量。因此,沿著間隙94,間隙94可呈現非均勻的間隔。例如,閘極間隔件(第一閘極間隔件86和第二閘極間隔件88)之間的蝕刻選擇性,以及第二閘極間隔件88和殘餘間隔件96之間可以是不同的,這可能會造成間隙94在不同的區間具有不同的間距。 In FIGS. 13A-13E , the second gate spacer 88 is removed so that the gap 94 extends along the active gate stack. According to various embodiments, because of the high etch selectivity between the second gate spacer 88 and both the first gate spacer 86 and the residual spacer 96, when the second gate spacer 88 is removed, the first Gate spacers 86 and residual spacers 96 may remain substantially intact. Thus, gap 94 may inherit the size and profile of second gate spacer 88 , which may have a conformal spacing along gap 94 . In some other embodiments, when the second gate spacer 88 is removed, adjacent layers/features along the gap 94 (e.g., first gate spacer 86, residual spacer 96, CESL 98) are also etched, but Significantly less. Accordingly, the gaps 94 may exhibit non-uniform spacing along the gaps 94 . For example, the etch selectivity between the gate spacers (first gate spacer 86 and second gate spacer 88 ), and between second gate spacer 88 and residual spacer 96 may be different, This may result in gaps 94 having different pitches at different intervals.

如第8A圖所述,殘餘間隔件96可以在形成源極/汲極區域92時,保持相鄰的源極/汲極區域92的合併部分的底表面和側壁延伸。這樣,殘餘間隔件96可在移除第二閘極間隔件88時,進一步保護源極/汲極區域92。另外,因為第二閘極間隔件88、ILD 101之間的 高蝕刻選擇性,即使未在ILD 101頂部形成保護罩,ILD 101可維持基本上無損的。在移除之後,間隙94分隔主動閘極堆疊與磊晶源極/汲極區域92。具體而言,間隙94物理性地分隔第一閘極間隔件86的部分與CESL 98以及ILD 101。 As described in FIG. 8A , the residual spacer 96 may keep the bottom surface and sidewalls of the merged portion of the adjacent source/drain regions 92 extended when the source/drain regions 92 are formed. In this way, the residual spacer 96 can further protect the source/drain region 92 when the second gate spacer 88 is removed. In addition, because the second gate spacer 88, ILD 101 between With high etch selectivity, ILD 101 remains substantially intact even though a protective mask is not formed on top of ILD 101 . After removal, gap 94 separates the active gate stack from epitaxial source/drain regions 92 . Specifically, gap 94 physically separates portions of first gate spacer 86 from CESL 98 and ILD 101 .

第二閘極間隔件88由一或多個蝕刻製程移除。如上所述,第二閘極間隔件88的材料相對於第一閘極間隔件86、殘餘間隔件96以及ILD 101的材料具有高蝕刻選擇性。因此,相較於第一閘極間隔件86、殘餘間隔件96以及ILD 101的材料,蝕刻製程可以較快的速率蝕刻第二閘極間隔件88的材料。 The second gate spacers 88 are removed by one or more etching processes. As described above, the material of the second gate spacer 88 has a high etch selectivity relative to the materials of the first gate spacer 86 , the residual spacer 96 , and the ILD 101 . Thus, the etch process may etch the material of the second gate spacers 88 at a faster rate than the materials of the first gate spacers 86 , the residual spacers 96 , and the ILD 101 .

在一些實施方式中,蝕刻工藝是單一蝕刻製程。單一蝕刻製程可以包括使用電漿,例如含氟電漿(使用氟化氫(HF)氣體和/或氟氣(F2))的乾式蝕刻製程。由於氫原子的遷移,HF可以協助部分地移除Ge。蝕刻製程包括執行於低於約50℃,具體地低於約40℃,以及更具體地在約25℃至35℃的範圍內。當間隙94沿著主動閘極堆疊延伸時,主動閘極堆疊具有較小的側向支撐。在低溫和低壓中執行蝕刻製程,可以協助避免側向支撐降低時,主動閘極堆疊變形。 In some embodiments, the etch process is a single etch process. The single etch process may include a dry etch process using a plasma, such as a fluorine-containing plasma using hydrogen fluoride (HF) gas and/or fluorine gas (F 2 ). HF can assist in partially removing Ge due to the migration of hydrogen atoms. The etching process includes performing at a temperature below about 50°C, specifically below about 40°C, and more specifically in a range of about 25°C to 35°C. When the gap 94 extends along the active gate stack, the active gate stack has less lateral support. Performing the etch process at low temperature and low pressure can help avoid deformation of the active gate stack when lateral support is lowered.

在一些實施例中,蝕刻製程包括多個蝕刻製程,例如,第一蝕刻製程和第二蝕刻製程。如上所述,當形成源極/汲極區域92時,第二閘極間隔件88可摻雜源極/汲極區域92的雜質,以及上部區域可摻雜以高於下 部區域的雜質濃度。第一蝕刻製程在較高的雜質濃度時,具有較快的蝕刻速率,並且用於移除第二閘極間隔件88的上部區域,以及第二蝕刻製程在較低的雜質濃度時,具有較快的蝕刻速率,並且用於移除第二閘極間隔件88的下部區域。第一蝕刻製程和第二蝕刻製程中的每一者可以包括使用電漿,例如含氟電漿(使用氟化氫(HF)氣體和/或氟氣(F2))的乾式蝕刻製程。第一蝕刻製程和第二蝕刻製程中的每一者執行於低於約50℃,具體地低於約40℃,以及更具體地在約25℃至35℃的範圍內。 In some embodiments, the etching process includes multiple etching processes, eg, a first etching process and a second etching process. As described above, when the source/drain region 92 is formed, the second gate spacer 88 may be doped with the impurity of the source/drain region 92 and the upper region may be doped with a higher impurity concentration than the lower region. The first etch process has a faster etch rate at a higher impurity concentration and is used to remove the upper region of the second gate spacer 88, and the second etch process has a faster etch rate at a lower impurity concentration. fast etch rate and is used to remove the lower region of the second gate spacer 88 . Each of the first etching process and the second etching process may include a dry etching process using plasma, such as a fluorine-containing plasma using hydrogen fluoride (HF) gas and/or fluorine gas (F 2 ). Each of the first etching process and the second etching process is performed below about 50°C, specifically below about 40°C, and more specifically in a range of about 25°C to 35°C.

在一些實施方式中,可以以不同的速率移除區域50P和區域50P中的第二閘極間隔件88。具體而言,摻雜n型雜質的第二閘極間隔件88(例如,在區域50N),相較於摻雜p型雜質的第二閘極間隔件88(例如,在區域50P),會以更快的速度被移除。因此,一些殘留物(圖未示)可以保留於區域50P中,但是並未保留在區域50N中。殘留物可以是第二閘極間隔件88的介電材料。 In some embodiments, region 50P and second gate spacers 88 in region 50P may be removed at different rates. Specifically, the second gate spacer 88 doped with n-type impurities (for example, in the region 50N), compared with the second gate spacer 88 doped with p-type impurities (for example, in the region 50P), will are removed at a faster rate. Accordingly, some residue (not shown) may remain in region 50P, but not in region 50N. The residue may be the dielectric material of the second gate spacer 88 .

在第14A圖至第14E圖中,介電層114形成於第一閘極間隔件86、ILD 101、閘極介電層106以及閘極108。介電層114可以由諸如氮化矽、氧化矽、碳氮化矽、碳氮氧化矽、或碳氧化矽等的介電材料所形成,並且可以由諸如ALD的沉積製程形成。如圖所示,介電層114部分地填充間隙94的上部部分。間隙94 因此被密封,使得在後續製程期間,材料可以不沉積於間隙94中。 In FIGS. 14A-14E , a dielectric layer 114 is formed on the first gate spacer 86 , the ILD 101 , the gate dielectric layer 106 and the gate 108 . The dielectric layer 114 may be formed of a dielectric material such as silicon nitride, silicon oxide, silicon carbonitride, silicon oxycarbide, or silicon oxycarbide, and may be formed by a deposition process such as ALD. As shown, dielectric layer 114 partially fills an upper portion of gap 94 . gap 94 It is thus sealed such that material may not be deposited in gap 94 during subsequent processing.

在第15A圖至第15E圖中,可以執行平坦化製程以移除覆蓋於ILD 101之上的介電層114的部分。平坦化製程可以是研磨或CMP等。介電層114的保留部分形成介電栓塞116,密封間隙94。在平坦化製程之後,ILD 101、介電栓塞116、第一閘極間隔件86、CESL 98、閘極介電層106以及閘極108的頂表面是共平面的。 In FIGS. 15A-15E , a planarization process may be performed to remove portions of the dielectric layer 114 overlying the ILD 101 . The planarization process can be grinding or CMP, etc. The remaining portion of dielectric layer 114 forms a dielectric plug 116 that seals gap 94 . After the planarization process, the top surfaces of ILD 101 , dielectric plug 116 , first gate spacer 86 , CESL 98 , gate dielectric layer 106 , and gate 108 are coplanar.

第16圖根據本揭示內容的一或多個實施方式所繪示的方法1600的流程圖,以形成非平面電晶體元件。例如,方法1600中至少一些操作(或步驟),可用來形成FinFET 100。然而,應當理解的是,方法1600的一些操作可以被用來製造任何的其他多種類型的非平面元件,例如奈米片電晶體元件、奈米線電晶體元件、垂直電晶體元件、或環繞式閘極(GAA)電晶體元件等,但仍包含於本揭示內容的範圍內。需要注意的是,方法1600僅是示例,並非意欲限制本揭示內容。因此,可以理解的是,可以在第16圖的方法1600之前、期間、以及之後提供額外的操作,並且於本文中僅簡要描述一些其他操作。 FIG. 16 is a flowchart of a method 1600 for forming non-planar transistor devices according to one or more embodiments of the present disclosure. For example, at least some of the operations (or steps) of method 1600 may be used to form FinFET 100 . However, it should be understood that some of the operations of method 1600 may be used to fabricate any of other various types of non-planar devices, such as nanosheet transistor devices, nanowire transistor devices, vertical transistor devices, or wraparound gate (GAA) transistor elements, etc., but are still included within the scope of this disclosure. It should be noted that the method 1600 is only an example and is not intended to limit the present disclosure. Accordingly, it is understood that additional operations may be provided before, during, and after the method 1600 of FIG. 16, and only some other operations are briefly described herein.

在一些實施方式中,方法1600的操作可以分別與第2圖、第3圖、第4圖、第5圖、第6圖、第7A圖至第7E圖、第8A圖至第8E圖、第9A圖至第9E 圖、第10A圖至第10E圖、第11A圖至第11E圖、第12A圖至第12E圖、第13A圖至第13E圖、第14A圖至第14E圖、以及第15A圖至第15E圖中的示例性的FinFET 100的多個製造階段的橫截面視圖相關連。 In some embodiments, the operations of method 1600 may be performed in conjunction with FIGS. 2, 3, 4, 5, 6, 7A-7E, 8A-8E, 9A to 9E Figures 10A to 10E, 11A to 11E, 12A to 12E, 13A to 13E, 14A to 14E, and 15A to 15E The cross-sectional views of the exemplary FinFET 100 in various stages of fabrication are associated in FIG.

方法1600開始於操作1602的提供基板(例如,第2圖的基板50)。繼續方法1600至操作1604,形成多個鰭(例如,第3圖的鰭52)。繼續方法1600至操作1606,形成隔離區域(例如,第4圖的隔離區域56)。繼續方法1600至操作1608,形成虛設介電層、虛設閘極層以及遮罩層(例如,虛設介電層60、虛設閘極層62以及遮罩層64,分別如第5圖所示)。進行方法1600至操作1610,形成一或多個虛設閘極堆疊(例如第6圖的虛設閘極介電層70和虛設閘極72)。繼續方法1600至操作1612,形成第一閘極間隔件層、第二閘極間隔件層和第三閘極間隔件層(例如第7A圖至第7E圖的第一閘極間隔件層80、第二閘極間隔件層84和第三閘極間隔件層90。繼續方法1600至操作1614,形成源極/汲極區域(例如第8A圖至第8E圖的源極/汲極區域)。繼續方法1600至操作1616,形成ILD(例如第9A圖至第9E圖的ILD 101)。繼續方法1600至操作1618,執行CMP(例如第10A圖至第10E圖)。繼續方法1600至操作1620,移除虛設閘極堆疊(例如第11A圖至第11E圖)。繼續方法1600至操作1622,形成閘極介電層以及閘極(例如第12A圖至第12F圖 中個別的閘極介電層106以及閘極108)。繼續方法1600至操作1624,移除第二閘極間隔件層(例如第13A圖至第13E圖)。藉由移除第二閘極間隔件層,可以形成或延伸間隙。繼續方法1600至操作1626,形成介電層(例如第14A圖至第14E圖的介電層)。繼續方法1600至操作1628,形成介電栓塞(例如第15A圖至第15E圖的介電栓塞116)。介電栓塞是由平坦化介電層所形成,以密封間隙。 Method 1600 begins with providing a substrate (eg, substrate 50 of FIG. 2 ) at operation 1602 . Continuing with method 1600 to operation 1604 , a plurality of fins (eg, fins 52 of FIG. 3 ) are formed. Continuing from method 1600 to operation 1606, an isolation region (eg, isolation region 56 of FIG. 4) is formed. Continuing from method 1600 to operation 1608 , dummy dielectric layers, dummy gate layers, and mask layers (eg, dummy dielectric layer 60 , dummy gate layer 62 , and mask layer 64 , respectively, are shown in FIG. 5 ) are formed. Proceeding from method 1600 to operation 1610 , one or more dummy gate stacks (eg, dummy gate dielectric layer 70 and dummy gate 72 of FIG. 6 ) are formed. Continuing with method 1600 to operation 1612, a first gate spacer layer, a second gate spacer layer, and a third gate spacer layer (eg, first gate spacer layer 80, FIG. Second gate spacer layer 84 and third gate spacer layer 90. Continuing with method 1600 to operation 1614, source/drain regions (eg, source/drain regions of FIGS. 8A-8E ) are formed. Continuing from method 1600 to operation 1616, forming an ILD (eg, ILD 101 of FIGS. 9A to 9E).Continuing from method 1600 to operation 1618, performing CMP (eg, from FIGS. 10A to 10E).Continuing from method 1600 to operation 1620, Remove the dummy gate stack (eg, Figures 11A-11E).Continuing with method 1600 to operation 1622, form the gate dielectric layer and gate (eg, Figures 12A-12F Individual gate dielectric layer 106 and gate 108). Continuing with method 1600 to operation 1624, the second gate spacer layer (eg, FIGS. 13A-13E ) is removed. A gap may be formed or extended by removing the second gate spacer layer. Continuing from method 1600 to operation 1626 , a dielectric layer (eg, the dielectric layer of FIGS. 14A-14E ) is formed. Continuing from method 1600 to operation 1628 , a dielectric plug (eg, dielectric plug 116 of FIGS. 15A-15E ) is formed. The dielectric plug is formed by planarizing the dielectric layer to seal the gap.

本揭示內容的多種實施方式可以實現多個優點。間隙94包括空氣或真空,兩者相對於移除第二閘極間隔件88的材料中的介電材料,具有較低的相對介電常數。在較小的元件尺寸中,連接到源極/汲極區域92(未示出)的源極/汲極觸點以及閘極108之間的的電容可以是電路電容的重要來源。降低源極/汲極觸點以及閘極108之間的相對介電常數可降低前述電容。電容降低可以提升所得的FinFET 100的最終元件性能。 Several advantages can be realized by various implementations of the present disclosure. Gap 94 includes air or vacuum, both of which have a lower relative permittivity than the dielectric material in the material from which second gate spacer 88 was removed. In smaller component sizes, the capacitance between the source/drain contacts connected to the source/drain region 92 (not shown) and the gate 108 can be a significant source of circuit capacitance. Reducing the relative dielectric constant between the source/drain contacts and the gate 108 reduces the aforementioned capacitance. Capacitance reduction can improve the final device performance of the resulting FinFET 100 .

在本揭示內容的一種態樣中,揭示一種製造半導體元件的方法。此方法包含形成第一鰭於基板上。此方法包含形成虛設閘極堆疊於第一鰭上。此方法包含沿著虛設閘極堆疊的一側,形成第一閘極間隔件。第一閘極間隔件包含第一介電材料。此方法包含沿著第一閘極間隔件的一側,形成第二閘極間隔件。第二閘極間隔件包含半導體材料。此方法包含形成源極/汲極區域於鄰接第二閘極間隔件的第一鰭中。此方法包含移除至少一部分 的第二閘極間隔件,以形成間隙延伸於第一閘極間隔件以及源極/汲極區域之間。 In one aspect of the disclosure, a method of manufacturing a semiconductor device is disclosed. The method includes forming a first fin on a substrate. The method includes forming a dummy gate stack on the first fin. The method includes forming a first gate spacer along one side of the dummy gate stack. The first gate spacer includes a first dielectric material. The method includes forming a second gate spacer along a side of the first gate spacer. The second gate spacer includes a semiconductor material. The method includes forming source/drain regions in the first fin adjacent to the second gate spacer. This method involves removing at least some The second gate spacer is formed to form a gap extending between the first gate spacer and the source/drain region.

在一些實施方式中,此方法更包含:沉積層間介電層於源極/汲極區域上,層間介電層包含第二介電材料;以及在移除至少一部分的第二閘極間隔件的步驟中,暴露層間介電層的頂表面。在一些實施方式中,在移除至少一部分的第二閘極間隔件的步驟中,第一閘極間隔件以及層間介電層保持無損的。在一些實施方式中,間隙進一步延伸於第一閘極間隔件以及層間介電層之間。在一些實施方式中,半導體材料包括矽鍺。在一些實施方式中,在移除至少一部分的第二閘極間隔件的步驟中,包括使用氟化氫氣體或氟氣中的至少一者,執行乾蝕刻製程。在一些實施方式中,此方法更包含:沉積介電層於間隙上;以及使用平坦化製程,移除設置於間隙外側的介電層的複數部分,使得介電層的複數保留部分形成複數介電栓塞,以密封間隙。在一些實施方式中,此方法更包含形成第二鰭於基板上,虛設閘極堆疊進一步形成於第二鰭上,源極/汲極區域進一步形成於第二鰭中,間隙進一步延伸於源極/汲極區域下方。在一些實施方式中,此方法更包含使用主動閘極堆疊取代虛設閘極堆疊,第一閘極間隔件沿著主動閘極堆疊的一側延伸。在一些實施方式中,間隙進一步延伸於主動閘極堆疊以及源極/汲極區域之間。 In some embodiments, the method further includes: depositing an interlayer dielectric layer on the source/drain region, the interlayer dielectric layer including a second dielectric material; and removing at least a portion of the second gate spacer In the step, the top surface of the interlayer dielectric layer is exposed. In some embodiments, during the step of removing at least a portion of the second gate spacer, the first gate spacer and the interlayer dielectric layer remain intact. In some embodiments, the gap further extends between the first gate spacer and the interlayer dielectric layer. In some embodiments, the semiconductor material includes silicon germanium. In some embodiments, the step of removing at least a portion of the second gate spacer includes performing a dry etching process using at least one of hydrogen fluoride gas or fluorine gas. In some embodiments, the method further includes: depositing a dielectric layer on the gap; and using a planarization process, removing portions of the dielectric layer disposed outside the gap such that the remaining portions of the dielectric layer form a plurality of dielectric layers. Electroembolization to seal the gap. In some embodiments, the method further includes forming a second fin on the substrate, a dummy gate stack is further formed on the second fin, a source/drain region is further formed in the second fin, the gap further extends to the source /drain area below. In some embodiments, the method further includes replacing the dummy gate stack with an active gate stack, the first gate spacer extending along a side of the active gate stack. In some embodiments, the gap further extends between the active gate stack and the source/drain regions.

在本揭示內容的另一種態樣中,揭示一種製造半 導體元件的方法。此方法包含形成第一鰭以及第二鰭於基板上。第一鰭以及第二鰭彼此鄰接。此方法包含形成虛設閘極堆疊於第一鰭以及第二鰭上。此方法包含沿著虛設閘極堆疊的一側,形成第一閘極間隔件,第一閘極間隔件包含第一介電材料。此方法包含沿著第一閘極間隔件的一側,形成第二閘極間隔件。第二閘極間隔件包含半導體材料。此方法包含形成源極/汲極區域於鄰接第二閘極間隔件的第一鰭以及第二鰭兩者之中。源極/汲極區域包含合併部分介於第一鰭以及第二鰭之間。此方法包含移除至少一部分的第二閘極間隔件,以形成間隙延伸於第一閘極間隔件以及源極/汲極區域之間。 In another aspect of the disclosure, a manufacturing semi method of conducting elements. The method includes forming a first fin and a second fin on a substrate. The first fin and the second fin are adjacent to each other. The method includes forming a dummy gate stack on the first fin and the second fin. The method includes forming a first gate spacer along one side of the dummy gate stack, the first gate spacer comprising a first dielectric material. The method includes forming a second gate spacer along a side of the first gate spacer. The second gate spacer includes a semiconductor material. The method includes forming source/drain regions in both the first fin and the second fin adjacent to the second gate spacer. The source/drain region includes a merged portion between the first fin and the second fin. The method includes removing at least a portion of the second gate spacer to form a gap extending between the first gate spacer and the source/drain regions.

在一些實施方式中,間隙進一步延伸至源極/汲極區域的合併部分之下。在一些實施方式中,半導體材料包括矽鍺。在一些實施方式中,此方法更包含:沉積層間介電層於源極/汲極區域上,層間介電層包含第二介電材料;以及在移除至少一部分的第二閘極間隔件的步驟中,暴露層間介電層的頂表面。在一些實施方式中,此方法更包含:在移除至少一部分的第二閘極間隔件的步驟中,第一閘極間隔件以及層間介電層保持無損的。在一些實施方式中,間隙進一步延伸於第一閘極間隔件以及層間介電層之間。在一些實施方式中,在移除至少一部分的第二閘極間隔件的步驟中,包括使用氟化氫氣體或氟氣中的至少一者,執行乾蝕刻製程。在一些實施方式中,此方法更包含使用主動閘極堆疊取代虛設閘極 堆疊,第一閘極間隔件沿著主動閘極堆疊的一側延伸,間隙進一步延伸於主動閘極堆疊以及源極/汲極區域之間。 In some embodiments, the gap extends further below the merged portion of the source/drain regions. In some embodiments, the semiconductor material includes silicon germanium. In some embodiments, the method further includes: depositing an interlayer dielectric layer on the source/drain region, the interlayer dielectric layer including a second dielectric material; and removing at least a portion of the second gate spacer In the step, the top surface of the interlayer dielectric layer is exposed. In some embodiments, the method further includes: during the step of removing at least a portion of the second gate spacer, the first gate spacer and the interlayer dielectric layer remain intact. In some embodiments, the gap further extends between the first gate spacer and the interlayer dielectric layer. In some embodiments, the step of removing at least a portion of the second gate spacer includes performing a dry etching process using at least one of hydrogen fluoride gas or fluorine gas. In some embodiments, the method further includes replacing the dummy gate with an active gate stack stack, the first gate spacer extends along one side of the active gate stack, and the gap further extends between the active gate stack and the source/drain regions.

在本揭示內容的再一種態樣中,揭示一種製造半導體元件的方法。此方法包含形成鰭於基板上。此方法包含形成虛設閘極堆疊於鰭上。此方法包含沿著虛設閘極堆疊的一側,形成閘極間隔件。該閘極間隔件包含由介電材料形成的第一層,以及由半導體材料形成的一第二層。此方法包含形成源極/汲極區域於鄰接閘極間隔件的鰭中。此方法包含使用主動閘極堆疊取代虛設閘極堆疊。此方法包含移除閘極間隔件的第二層的至少一部分,以形成間隙延伸於主動閘極堆疊以及源極/汲極區域之間。 In yet another aspect of the disclosure, a method of manufacturing a semiconductor device is disclosed. The method includes forming fins on a substrate. The method includes forming dummy gate stacks on the fins. The method includes forming gate spacers along one side of the dummy gate stack. The gate spacer includes a first layer formed of a dielectric material, and a second layer formed of a semiconductor material. The method includes forming source/drain regions in the fins adjacent to the gate spacers. This approach involves replacing the dummy gate stack with an active gate stack. The method includes removing at least a portion of the second layer of the gate spacer to form a gap extending between the active gate stack and the source/drain regions.

在一些實施方式中,此方法更包含:沉積層間介電層於源極/汲極區域;以及在移除閘極間隔件的第二層的至少一部分的步驟中,暴露層間介電層的頂表面。 In some embodiments, the method further includes: depositing an interlayer dielectric layer on the source/drain region; and exposing a top portion of the interlayer dielectric layer during the step of removing at least a portion of the second layer of the gate spacer surface.

上文概述了數個實施例的特徵,使得本領域技術人員可以更好地理解本揭示內容的各態樣。本領域技術人員應理解,本領域技術人員可以容易地將本揭示內容用作設計或修改其他製程及結構的基礎,以實現與本文介紹的實施例相同的目的及/或實現相同的優點。本領域技術人員亦應認識到,該些等效構造不脫離本揭示內容的精神及範疇,並且在不脫離本揭示內容的精神及範疇的情況下,該些等效構造可以進行各種改變、替代及變 更。 The foregoing outlines features of several embodiments so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should understand that those skilled in the art can easily use this disclosure as a basis for designing or modifying other processes and structures, so as to achieve the same purpose and/or achieve the same advantages as the embodiments introduced herein. Those skilled in the art should also realize that these equivalent structures do not depart from the spirit and scope of the present disclosure, and that various changes and substitutions can be made to these equivalent structures without departing from the spirit and scope of the present disclosure. and change Even.

50:基板 50: Substrate

52:鰭 52: fin

56:隔離區域 56: Isolation area

86:第一閘極間隔件 86:First gate spacer

92:源極/汲極區域 92: Source/drain region

100:鰭式場效電晶體 100: FinFET

106:閘極介電層 106: gate dielectric layer

108:閘極 108: Gate

A-A、B-B、C-C、D-D、E-E:橫截面 A-A, B-B, C-C, D-D, E-E: cross section

Claims (10)

一種製造半導體元件的方法,包含:形成一第一鰭於一基板上;形成一虛設閘極堆疊於該第一鰭上;沿著該虛設閘極堆疊的一側,形成一第一閘極間隔件,該第一閘極間隔件包含一第一介電材料;沿著該第一閘極間隔件的一側,形成一第二閘極間隔件,該第二閘極間隔件包含一半導體材料,其中該半導體材料包括矽鍺;形成一源極/汲極區域於鄰接該第二閘極間隔件的該第一鰭中,其中該第二閘極間隔件沿著該源極/汲極區域的一底表面以及一側壁延伸;以及移除至少一部分的該第二閘極間隔件,以形成一間隙延伸於該第一閘極間隔件以及該源極/汲極區域的該底表面以及該側壁之間,其中移除該至少一部分的該第二閘極間隔件的步驟中,包括使用氟化氫氣體或氟氣中的至少一者,執行一乾蝕刻製程。 A method of manufacturing a semiconductor device, comprising: forming a first fin on a substrate; forming a dummy gate stack on the first fin; forming a first gate interval along one side of the dummy gate stack member, the first gate spacer includes a first dielectric material; along one side of the first gate spacer, a second gate spacer is formed, the second gate spacer includes a semiconductor material , wherein the semiconductor material comprises silicon germanium; forming a source/drain region in the first fin adjacent to the second gate spacer, wherein the second gate spacer is along the source/drain region and removing at least a portion of the second gate spacer to form a gap extending from the bottom surface of the first gate spacer and the source/drain region and the Between the sidewalls, wherein the step of removing the at least a portion of the second gate spacer includes performing a dry etching process using at least one of hydrogen fluoride gas or fluorine gas. 如請求項1所述之方法,更包含:沉積一層間介電層於該源極/汲極區域上,該層間介電層包含一第二介電材料;以及在該移除至少一部分的該第二閘極間隔件的步驟中,暴露該層間介電層的一頂表面。 The method as claimed in claim 1, further comprising: depositing an interlayer dielectric layer on the source/drain region, the interlayer dielectric layer comprising a second dielectric material; and removing at least a portion of the In the second gate spacer step, a top surface of the interlayer dielectric layer is exposed. 如請求項1所述之方法,更包含:沉積一介電層於該間隙上;以及使用一平坦化製程,移除設置於該間隙外側的該介電層的複數部分,使得該介電層的複數保留部分形成複數介電栓塞,以密封該間隙。 The method as claimed in claim 1, further comprising: depositing a dielectric layer on the gap; and using a planarization process, removing portions of the dielectric layer disposed outside the gap, such that the dielectric layer The plurality of retained portions form a plurality of dielectric plugs to seal the gap. 如請求項1所述之方法,更包含形成一第二鰭於該基板上,該虛設閘極堆疊進一步形成於該第二鰭上,該源極/汲極區域進一步形成於該第二鰭中,該間隙進一步延伸於該源極/汲極區域下方。 The method as claimed in claim 1, further comprising forming a second fin on the substrate, the dummy gate stack is further formed on the second fin, and the source/drain region is further formed in the second fin , the gap further extends below the source/drain region. 如請求項1所述之方法,更包含使用一主動閘極堆疊取代該虛設閘極堆疊,該第一閘極間隔件沿著該主動閘極堆疊的一側延伸。 The method of claim 1, further comprising replacing the dummy gate stack with an active gate stack, the first gate spacer extending along a side of the active gate stack. 如請求項5所述之方法,其中該間隙進一步延伸於該主動閘極堆疊以及該源極/汲極區域之間。 The method of claim 5, wherein the gap further extends between the active gate stack and the source/drain region. 一種製造半導體元件的方法,包含:形成一第一鰭以及一第二鰭於一基板上,該第一鰭以及該第二鰭彼此鄰接;形成一虛設閘極堆疊於該第一鰭以及該第二鰭上;沿著該虛設閘極堆疊的一側,形成一第一閘極間隔件,該第一閘極間隔件包含一第一介電材料; 沿著該第一閘極間隔件的一側,形成一第二閘極間隔件,該第二閘極間隔件包含一半導體材料,其中該半導體材料包括矽鍺;形成一源極/汲極區域於鄰接該第二閘極間隔件的該第一鰭以及該第二鰭兩者之中,其中該第二閘極間隔件沿著該源極/汲極區域的一底表面以及一側壁延伸,該源極/汲極區域包含一合併部分介於該第一鰭以及該第二鰭之間;以及移除至少一部分的該第二閘極間隔件,以形成一間隙延伸於該第一閘極間隔件以及該源極/汲極區域的該底表面以及該側壁之間,其中移除該至少一部分的該第二閘極間隔件的步驟中,包括使用氟化氫氣體或氟氣中的至少一者,執行一乾蝕刻製程。 A method of manufacturing a semiconductor device, comprising: forming a first fin and a second fin on a substrate, the first fin and the second fin being adjacent to each other; forming a dummy gate stack on the first fin and the second fin On the two fins; along one side of the dummy gate stack, a first gate spacer is formed, and the first gate spacer includes a first dielectric material; along one side of the first gate spacer, forming a second gate spacer comprising a semiconductor material, wherein the semiconductor material comprises silicon germanium; forming a source/drain region in both the first fin and the second fin adjacent to the second gate spacer, wherein the second gate spacer extends along a bottom surface and sidewalls of the source/drain region, the source/drain region includes a merged portion between the first fin and the second fin; and at least a portion of the second gate spacer is removed to form a gap extending from the first gate between the spacer and the bottom surface and the sidewall of the source/drain region, wherein the step of removing the at least a portion of the second gate spacer includes using at least one of hydrogen fluoride gas or fluorine gas , performing a dry etching process. 如請求項7所述之方法,其中該間隙進一步延伸至該源極/汲極區域的該合併部分之下。 The method of claim 7, wherein the gap further extends below the merged portion of the source/drain region. 一種製造半導體元件的方法,包含:形成一鰭於一基板上;形成一虛設閘極堆疊於該鰭上;沿著該虛設閘極堆疊的一側,形成一閘極間隔件,該閘極間隔件包含由一介電材料形成的一第一層,以及由一半導體材料形成的一第二層,其中該半導體材料包括矽鍺; 形成一源極/汲極區域於鄰接該閘極間隔件的該鰭中,其中該第二層沿著該源極/汲極區域的一底表面以及一側壁延伸;使用一主動閘極堆疊取代該虛設閘極堆疊;以及移除該閘極間隔件的該第二層的至少一部分,以形成一間隙延伸於該主動閘極堆疊以及該源極/汲極區域的該底表面以及該側壁之間,其中移除該閘極間隔件的該第二層的該至少一部分的步驟中,包括使用氟化氫氣體或氟氣中的至少一者,執行一乾蝕刻製程。 A method of manufacturing a semiconductor device, comprising: forming a fin on a substrate; forming a dummy gate stack on the fin; forming a gate spacer along one side of the dummy gate stack, the gate spacer The device includes a first layer formed of a dielectric material, and a second layer formed of a semiconductor material, wherein the semiconductor material includes silicon germanium; forming a source/drain region in the fin adjacent to the gate spacer, wherein the second layer extends along a bottom surface and sidewalls of the source/drain region; using an active gate stack instead the dummy gate stack; and removing at least a portion of the second layer of the gate spacer to form a gap extending between the active gate stack and the bottom surface and the sidewall of the source/drain region During, the step of removing the at least a portion of the second layer of the gate spacer includes performing a dry etching process using at least one of hydrogen fluoride gas or fluorine gas. 如請求項9所述之方法,更包含:沉積一層間介電層於該源極/汲極區域;以及在該移除該閘極間隔件的該第二層的至少一部分的步驟中,暴露該層間介電層的一頂表面。 The method as claimed in claim 9, further comprising: depositing an interlayer dielectric layer on the source/drain region; and in the step of removing at least a portion of the second layer of the gate spacer, exposing A top surface of the interlayer dielectric layer.
TW110117451A 2020-05-28 2021-05-14 Manufacture method of semiconductor device TWI787817B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031127P 2020-05-28 2020-05-28
US63/031,127 2020-05-28
US17/205,120 2021-03-18
US17/205,120 US11664444B2 (en) 2020-05-28 2021-03-18 Fin field-effect transistor with void and method of forming the same

Publications (2)

Publication Number Publication Date
TW202145351A TW202145351A (en) 2021-12-01
TWI787817B true TWI787817B (en) 2022-12-21

Family

ID=77572325

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110117451A TWI787817B (en) 2020-05-28 2021-05-14 Manufacture method of semiconductor device

Country Status (3)

Country Link
US (1) US20230268427A1 (en)
CN (1) CN113380712A (en)
TW (1) TWI787817B (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150263122A1 (en) * 2014-03-12 2015-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in finfet structure
US20180331097A1 (en) * 2017-05-10 2018-11-15 Globalfoundries Inc. Methods, apparatus and system for vertical finfet device with reduced parasitic capacitance
US20190013390A1 (en) * 2017-03-15 2019-01-10 International Business Machines Corporation Field effect transistor air-gap spacers with an etch-stop layer
TW202008471A (en) * 2018-07-31 2020-02-16 台灣積體電路製造股份有限公司 Method of forming semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150263122A1 (en) * 2014-03-12 2015-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in finfet structure
US20190013390A1 (en) * 2017-03-15 2019-01-10 International Business Machines Corporation Field effect transistor air-gap spacers with an etch-stop layer
US20180331097A1 (en) * 2017-05-10 2018-11-15 Globalfoundries Inc. Methods, apparatus and system for vertical finfet device with reduced parasitic capacitance
TW202008471A (en) * 2018-07-31 2020-02-16 台灣積體電路製造股份有限公司 Method of forming semiconductor device

Also Published As

Publication number Publication date
TW202145351A (en) 2021-12-01
CN113380712A (en) 2021-09-10
US20230268427A1 (en) 2023-08-24

Similar Documents

Publication Publication Date Title
US11908750B2 (en) Semiconductor device and method
TWI729525B (en) Semiconductor device and method for forming the same
US11532519B2 (en) Semiconductor device and method
KR102571374B1 (en) Semiconductor device and method
US20240153828A1 (en) Semiconductor Device and Method
KR20200002690A (en) Semiconductor device and method
US20220352371A1 (en) Semiconductor Device and Method
TWI725557B (en) Method for forming semiconductor device
KR102502370B1 (en) Semiconductor device and method
TW202201558A (en) Methods for manufacturing semiconductor devices
US20230207396A1 (en) Source/Drain Structures and Method of Forming
US20210313450A1 (en) FinFET Device and Method
US11056573B2 (en) Implantation and annealing for semiconductor device
TWI787817B (en) Manufacture method of semiconductor device
US11664444B2 (en) Fin field-effect transistor with void and method of forming the same
TWI807706B (en) Semiconductor device and method of manufacturing same
US20230155005A1 (en) Semiconductor device and method
KR20220154598A (en) Semiconductor device and method of manufacture
KR20210043507A (en) Semiconductor finfet device and method
TW202145300A (en) Semiconductor device and method of manufacturing the same
TW202230606A (en) Semiconductor device
KR20200036698A (en) Semiconductor finfet device and method