TWI652842B - Process for depositing organosilicate glass films for use as resistive random access memory - Google Patents

Process for depositing organosilicate glass films for use as resistive random access memory Download PDF

Info

Publication number
TWI652842B
TWI652842B TW105107261A TW105107261A TWI652842B TW I652842 B TWI652842 B TW I652842B TW 105107261 A TW105107261 A TW 105107261A TW 105107261 A TW105107261 A TW 105107261A TW I652842 B TWI652842 B TW I652842B
Authority
TW
Taiwan
Prior art keywords
decane
bis
ruthenium
dimethyl
precursor
Prior art date
Application number
TW105107261A
Other languages
Chinese (zh)
Other versions
TW201707250A (en
Inventor
羅伯特 戈登 瑞吉威
麥克 T 薩沃
雷蒙 尼克勞斯 孟提
威廉 羅伯特 恩特利
新建 雷
約翰 基爾斯 蓮甘
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201707250A publication Critical patent/TW201707250A/en
Application granted granted Critical
Publication of TWI652842B publication Critical patent/TWI652842B/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of switching materials after formation, e.g. doping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明關於一種用於形成電阻性隨機存取記憶體裝置之方法,該方法包含以下步驟:將第一電極沉積於基材上;使多孔電阻性記憶體材料層形成於該第一電極上,其中該多孔電阻性記憶體層係藉由下列方式形成:(i)沉積包含矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物;以及將第二電極沉積於該多孔電阻性記憶體材料層頂部上。 The present invention relates to a method for forming a resistive random access memory device, the method comprising the steps of: depositing a first electrode on a substrate; forming a porous resistive memory material layer on the first electrode, Wherein the porous resistive memory layer is formed by (i) depositing a gaseous composition comprising a hafnium precursor and a porogen precursor and, after deposition, (ii) by subjecting the composition to UV radiation The porogen precursor is removed by exposure; and a second electrode is deposited on top of the porous resistive memory material layer.

Description

用作為電阻性隨機存取記憶體的有機矽酸鹽玻璃膜的沉積方法 Deposition method of organic tellurite glass film used as resistive random access memory

本研究關於一種運用化學氣相沉積技術來製造電阻性隨機存取記憶(RRAM)裝置之方法。更明確地說,本研究關於藉由運用電漿強化化學氣相沉積(PECVD)製程來沉積含矽前驅物及致孔劑前驅物的氣態混合物,之後接著藉由UV輻射去除致孔劑而製造電阻性隨機存取記憶體裝置。 This study is directed to a method of fabricating a resistive random access memory (RRAM) device using chemical vapor deposition techniques. More specifically, this study is concerned with the deposition of a gaseous mixture containing a hafnium precursor and a porogen precursor by a plasma enhanced chemical vapor deposition (PECVD) process followed by removal of the porogen by UV radiation. Resistive random access memory device.

電阻性隨機存取記憶體(RRAM)屬於藉由改變橫越介電固態材料的電阻而發生作用之類型的非揮發性隨機存取(RAM)電腦記憶體,其常被稱作憶阻器(memristor)。RRAM涉及在薄氧化物層中產生缺陷,通稱為氧空位(氧已經被移除的氧化物鍵部位),該等氧空位其後能在電場作用之下充電而且偏移。氧離子和空位在該氧化物中運動的情形大概與電子和電洞在半導體中運動的情形類似。 Resistive random access memory (RRAM) is a type of non-volatile random access (RAM) computer memory that acts by changing the resistance across a dielectric solid material, often referred to as a memristor ( Memristor). RRAM involves the creation of defects in a thin oxide layer, commonly referred to as oxygen vacancies (oxide bond sites where oxygen has been removed), which can then be charged and offset by the action of an electric field. The movement of oxygen ions and vacancies in the oxide is approximately similar to the case where electrons and holes move in the semiconductor.

先前技藝中運用許多材料及方法來製造RRAM裝置。舉例來說,美國公開案第2011/124174A號提供一種形 成可變電阻記憶體裝置及可變電阻半導體記憶體裝置的電極之方法,其包括:形成一熱電極;使一可變電阻材料層形成於該熱電極上;及使一頂部電極形成於該可變電阻材料層上,其中該熱電極包括原子半徑比鈦(Ti)更大的金屬的氮化物,而且係透過熱化學氣相沉積(CVD)方法形成而不需使用電漿。 Many materials and methods have been used in the prior art to fabricate RRAM devices. For example, US Publication No. 2011/124174A provides a form A method of forming an electrode of a variable resistance memory device and a variable resistance semiconductor memory device, comprising: forming a thermal electrode; forming a layer of a variable resistance material on the thermal electrode; and forming a top electrode on the electrode On the layer of variable resistance material, wherein the thermal electrode comprises a nitride of a metal having a larger atomic radius than titanium (Ti), and is formed by a thermal chemical vapor deposition (CVD) method without using a plasma.

標題名為“Complementary and bipolar regimes of resistive switching in TiN/HfO2/TiN stacks grown by atomic-layer deposition,”Egorov,K.V.等人,Phys.Status Solidi A,(2015)的參考資料描述一種結合真空中XPS分析的原子層沉積(ALD)技術,其係用以獲得供電阻性隨機存取記憶元件用的完全由ALD長成的平面型TiN/HfO2/TiN金屬-絕緣體-金屬結構。 The title entitled "Complementary and bipolar regimes of resistive switching in TiN/HfO 2 /TiN stacks grown by atomic-layer deposition," Egorov, KV et al., Phys. Status Solidi A, (2015) describes a combination of vacuums. The Atomic Layer Deposition (ALD) technique of XPS analysis is used to obtain a planar TiN/HfO 2 /TiN metal-insulator-metal structure grown entirely from ALD for use in resistive random access memory devices.

標題名為“Resistive switching phenomena in TiOx nanoparticle layers for memory applications,”Goren,E.等人,Condens.Matter:1-15(2014)的參考資料提供藉由二不同方法:ALD或溶凝膠製造的Co/TiOx/Co電阻性記憶體裝置的電氣特性。 The reference titled "Resistive switching phenomena in TiOx nanoparticle layers for memory applications," Goren, E. et al., Condens. Matter: 1-15 (2014) provides references by two different methods: ALD or lyogel. Electrical characteristics of Co/TiO x /Co resistive memory devices.

標題名為“Self-Limited Switching in Ta2O5/TaOx Memristors Exhibiting Uniform Multilevel Changes in Resistance,”Kim,K.M.等人,(2015),Adv.Funct.Mater.25:1527-1534的參考資料描述一種解決接續時的不均勻度的問題之方法,該問題係由於許多以過渡金屬氧化物為基礎的電阻切換記憶體(resistance switching memory)之絲阻型切換機 構的隨機特性而造成。 The title is entitled "Self-Limited Switching in Ta 2 O 5 /TaO x Memristors Exhibiting Uniform Multilevel Changes in Resistance," Kimi, KM et al., (2015), Adv. Funct. Mater. 25: 1527-1534 A method for solving the problem of unevenness at the time of connection, which is caused by the random characteristics of many wire resistance type switching mechanisms of a transition metal oxide based resistance switching memory.

標題名為“Bipolar resistive switching and charge transport in silicon oxide memristor,”Mikhaylov,A.N.等人,(2015),Materials Science and Engineering:B 194:48-54的參考資料描述藉由磁控管濺鍍技術沉積在該TiN/Ti金屬化SiO2/Si基材上的以SiOx為基礎的薄膜憶阻器結構之可再現的雙極電阻切換。 The title entitled "Bipolar resistive switching and charge transport in silicon oxide memristor," Mikhaylov, AN et al., (2015), Materials Science and Engineering: B 194:48-54 describes deposition by magnetron sputtering techniques. Reproducible bipolar resistance switching of a SiO x based thin film memristor structure on the TiN/Ti metallized SiO 2 /Si substrate.

美國公開案編號US 2013/264536A描述憶阻器單元的不同具體實施例,其包含:(1)基材;(2)與該基材結合的電氣開關;(3)絕緣層;及(3)電阻性記憶體材料。該電阻性記憶體材料係選自由SiOx、SiOxH、SiOxNy、SiOxNyH、SiOxCz、SiOxCzH及其組合所組成的群組,其中x、y及z各自等於或大於1或等於或小於2。本發明的其他具體實施例有關憶阻器陣列,其包含:(1)多數位元線;(2)與該位元線正交的多數字元線;及(3)被佈置於該等字元線與該等位元線之間的多數前述憶阻器單元。本發明的其他具體實施例提供前述憶阻器單元及陣列的製造方法。 US Publication No. US 2013/264536A describes various specific embodiments of a memristor unit comprising: (1) a substrate; (2) an electrical switch in combination with the substrate; (3) an insulating layer; and (3) Resistive memory material. The resistive memory material is selected from the group consisting of SiO x , SiO x H, SiO x N y , SiO x N y H, SiO x C z , SiO x C z H, and combinations thereof, wherein x, y And z are each equal to or greater than 1 or equal to or less than 2. Other embodiments of the present invention relate to a memristor array comprising: (1) a plurality of bit lines; (2) a plurality of digital element lines orthogonal to the bit lines; and (3) being disposed in the words Most of the aforementioned memristor units between the line and the bit line. Other embodiments of the present invention provide a method of fabricating the aforementioned memristor unit and array.

標題名為“Nano多孔性Silicon Oxide Memory,”Wang,G.等人(2014)Nano Letters 14(8):4694-4699的參考資料描述被視為下世代非揮發性記憶體之以氧化物為基礎的二端電阻性隨機存取記憶體。該RRAM記憶體結構運用能透過其內部垂直奈米間隙進行單極性切換的奈米多孔性氧化矽(SiOx)材料。 The title is entitled "Nano Porous Silicon Oxide Memory," Wang, G. et al. (2014) Nano Letters 14(8): 4694-4699. The description of the material is considered to be the next generation of non-volatile memory. Basic two-terminal resistive random access memory. The RRAM memory structure utilizes a nanoporous yttrium oxide (SiOx) material that can be unipolarly switched through its internal vertical nanogap.

標題名為“Resistive switches and memories from silicon oxide,”Yao,J.等人(2010),Nano Lett.10(10):4105-4110的參考資料描述氧化矽(SiOx)充當被動型絕緣組件在構建電子裝置方面的用途。 The title is called "Resistive switches and memories from Silicon oxide, "Yao, J. et al. (2010), Nano Lett. 10(10): 4105-4110, describes the use of yttrium oxide (SiOx) as a passive insulating component in the construction of electronic devices.

標題名為“Silicon Oxide:A Non-innocent Surface for Molecular Electronics and Nanoelectronics Studies,”Yao,J.等人,(2010),Journal of the American Chemical Society 133(4):941-948的參考資料描述氧化矽(SiOx)充當支撐性及絕緣性介質的用途。 The title is entitled "Silicon Oxide: A Non-innocent Surface for Molecular Electronics and Nanoelectronics Studies," Yao, J. et al., (2010), Journal of the American Chemical Society 133(4): 941-948. The use of cerium (SiOx) as a supporting and insulating medium.

標題名為“In situ imaging of the conducting filament in a silicon oxide resistive switch,”Yao,J.等人,(2012),Sci.Rep.2的參考資料描述矽奈米晶體對不同電力刺激所回應的生長及收縮以矽形式顯示高能可行的過渡程序,提供了關於該切換機構的證據。該參考資料也洞察在電子裝置主體中無所不在的氧化矽層的電擊穿製程。 The title entitled "In situ imaging of the conducting filament in a silicon oxide resistive switch," Yao, J. et al., (2012), Sci. Rep. 2, describes the response of nanocrystals to different electrical stimuli. Growth and contraction show high-energy viable transition procedures in sputum, providing evidence of the switching mechanism. This reference also provides an insight into the electrical breakdown process of the ubiquitous yttria layer in the body of the electronic device.

標題名為“Role of interfacial layer on complementary resistive switching in the TiN/HfOx/TiN resistive memory device,”Zhang,H.Z.等人(2014),Appl.Phys.Lett的參考資料描述底部界面層(IL)的角色在於使TiN/HfOx/IL/TiN電阻性記憶體裝置中有穩定的互補式電阻切換(CRS)。獲得穩定的CRS是為了TiN/HfOx/IL/TiN裝置,其中包含Hf和Ti亞氧化物的底部IL起因於TiN在HfOx層原子層沉積的初步階段期間發生氧化。在該TiN/HfOx/Pt裝置中,該底部IL的形成被受惰性Pt金屬所抑制,但是沒觀察到CRS。有人提出介於IL與HfOx層的傳導途徑之間的氧離子交 換會造成該TiN/HfOx/IL/TiN裝置中觀察到的互補式兩極切換性能。 The title is entitled "Role of interfacial layer on complementary resistive switching in the TiN/HfOx/TiN resistive memory device," Zhang, HZ et al. (2014), Appl. Phys. Lett's reference describes the role of the bottom interface layer (IL). It is to have a stable complementary resistance switching (CRS) in the TiN/HfO x /IL/TiN resistive memory device. The stable CRS is obtained for the TiN/HfO x /IL/TiN device, in which the bottom IL containing Hf and Ti suboxides is caused by oxidation of TiN during the initial stage of deposition of the HfO x layer atomic layer. In the TiN/HfO x /Pt device, the formation of the bottom IL was suppressed by the inert Pt metal, but no CRS was observed. It has been suggested that oxygen ion exchange between the conduction pathways of the IL and HfO x layers results in complementary bipolar switching performance observed in the TiN/HfO x /IL/TiN devices.

標題名為“Characterization of external resistance effect and performance optimization in unipolar-type SiOx-based resistive switching memory,”Zhou,F.等人,(2014),Applied Physics Letters 105(13)拿具有金屬-絕緣體-金屬結構與具有金屬-絕緣體-半導體結構之以SiOx為基礎的電阻性隨機存取記憶體裝置作比較,而且敍述外部電阻對裝置性能的效應之特徵。 The title is entitled "Characterization of external resistance effect and performance optimization in unipolar-type SiOx-based resistive switching memory," Zhou, F. et al., (2014), Applied Physics Letters 105 (13) with metal-insulator-metal structure It is compared with a SiO x based resistive random access memory device having a metal-insulator-semiconductor structure, and is characterized by the effect of external resistance on device performance.

但是在上述製程中,將沉積SiOx膜及產生缺陷教導成單獨獨立的步驟,由於這樣的製程並無法輕易使用眾所周知的大量製造方法及某些設備使其變得沒有效率而且沒有經濟效益。所以需要一種製程能將沉積及缺陷產生簡化成同一製程平台內的連續步驟。本研究提供了這樣的製程。 However, in the above process, the deposition of the SiOx film and the creation of defects are taught as separate steps, which are not efficient and cost-effective due to the inability to easily use well-known mass production methods and certain equipment. There is therefore a need for a process that simplifies deposition and defect generation into successive steps within the same process platform. This study provides such a process.

在一態樣中,本研究提供一種用於形成電阻性隨機存取記憶體裝置之方法,該方法包含以下步驟:將第一電極沉積於基材上;使多孔電阻性記憶體材料層形成於該第一電極上,其中該多孔電阻性記憶體層係藉由下列方式形成:(i)沉積包含矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物;以及將第二電極沉積於該多孔電阻性記憶體材料層頂部上。 In one aspect, the present study provides a method for forming a resistive random access memory device, the method comprising the steps of: depositing a first electrode on a substrate; forming a porous resistive memory material layer on the substrate The first electrode, wherein the porous resistive memory layer is formed by: (i) depositing a gaseous composition comprising a hafnium precursor and a porogen precursor, and, after deposition, (ii) by The composition is exposed to UV radiation to remove the porogen precursor; and a second electrode is deposited on top of the porous resistive memory material layer.

10‧‧‧電子裝置 10‧‧‧Electronic devices

12‧‧‧基材 12‧‧‧Substrate

14‧‧‧第一電極 14‧‧‧First electrode

16‧‧‧電阻性記憶體材料層 16‧‧‧Resistive memory material layer

18‧‧‧第二電極 18‧‧‧second electrode

圖1顯示本研究方法所製造的垂直取向電子裝置之示意圖;圖2顯示本研究方法所製造的另一垂直取向電子裝置之示意圖;圖3A舉例說明正向電壓掃描的電流對電壓分佈圖,其在外加高電位而且該SiOx膜顯現硬性電擊穿或短路現象以前皆未顯示提高的導電率,然而反向掃描將該短路現象的影響顯示為電流密度在往回掃描至0伏特的期間卻維持於高值;圖3B舉例說明一電流對電壓分佈圖,其中綠色的正向掃描於極低外加電壓下顯示明顯提高的導電率,表示該SiOx膜漏電太多或導電率太高而致於極低電位下硬性擊穿;圖3C舉例說明一電流對電壓分佈圖,其顯示有磁滯電流(hysteretic current),亦即,於大約3.5V顯示活化而且於大約10V顯示去活化的電壓掃描;圖4A舉例說明使用變化致孔劑對結構形成劑比率所沉積的SiOx膜之電流對電壓分佈圖,其顯示該介電質於28V的外加電位下硬性擊穿;圖4B舉例說明使用變化致孔劑對結構形成劑比率所沉積的SiOx膜之電流對電壓分佈圖,其顯示電阻性記憶體切換裝置的磁滯電流-電壓分佈;圖4C舉例說明使用變化致孔劑對結構形成劑比率所沉積的SiOx膜之電流對電壓分佈圖,其顯示於極低外加電位下 電擊穿而且絕緣性不足以當作記憶體切換裝置的膜之分佈;圖5A舉例說明一電流對電壓分佈圖,其示範了使用80:20的致孔劑對結構形成劑比率所沉積之以多孔性PECVD為基礎的SiOx膜之磁滯特性分佈;圖5B舉例說明一電流對電壓分佈圖,其示範了使用85:15的致孔劑對結構形成劑比率所沉積之以多孔性PECVD為基礎的SiOx膜之磁滯特性分佈;圖6A舉例說明根據長時期於1V下讀取開啟及關閉狀態所得的多孔性PECVD SiOx膜的信號保持力之曲線圖;及圖6B舉例說明顯示記憶體切換穩定性之曲線圖,其係以多孔性PECVD SiOx膜測試1000周期為示範。 1 shows a schematic diagram of a vertically oriented electronic device manufactured by the method of the present invention; FIG. 2 shows a schematic view of another vertically oriented electronic device manufactured by the research method; FIG. 3A illustrates a current versus voltage distribution of a forward voltage scan, The increased conductivity is not shown until the high potential is applied and the SiOx film exhibits a hard electrical breakdown or short circuit. However, the reverse scan shows the effect of the short circuit phenomenon as the current density is maintained during the scan back to 0 volts. Figure 3B illustrates a current-to-voltage distribution diagram in which a green forward scan shows a significantly improved conductivity at very low applied voltages, indicating that the SiOx film has too much leakage or that the conductivity is too high and is extremely low. Hard breakdown at potential; Figure 3C illustrates a current vs. voltage profile showing a hysteretic current, i.e., a voltage sweep showing activation at about 3.5 V and deactivation at about 10 V; Figure 4A An example of a current versus voltage distribution of a SiOx film deposited using a varying porogen to structure forming agent ratio, which shows the dielectric at 28V plus Hard breakdown under the position; FIG. 4B illustrates a current versus voltage distribution of the SiOx film deposited using the ratio of the porogen to the structure forming agent, which shows the hysteresis current-voltage distribution of the resistive memory switching device; FIG. An example of a current versus voltage distribution of a SiOx film deposited using a varying porogen to structure forming agent ratio, shown at very low applied potential Electrical breakdown and insufficient insulation to act as a film distribution for the memory switching device; Figure 5A illustrates a current versus voltage distribution that demonstrates the use of a 80:20 porogen to deposit a ratio of structure forming agent to the porous Hysteresis characteristic distribution of SiOx-based SiOx film; Figure 5B illustrates a current-to-voltage distribution diagram demonstrating the use of a 85:15 porogen to structure-forming agent ratio based on porous PECVD The hysteresis characteristic distribution of the SiOx film; FIG. 6A illustrates a graph of signal retention of the porous PECVD SiOx film obtained by reading the on and off states at a time of 1 V; and FIG. 6B illustrates the memory switching stability. The graph is demonstrated by testing 1000 cycles with a porous PECVD SiOx film.

本發明的具體實施例係詳細討論於下。在描述具體實施例時,為求簡化而運用了特定用語。然而,本發明並無意受限於如此選定的特定用語。儘管討論了特定示範具體實施例,但是咸應了解這只是為了舉例說明的目的而完成。熟悉相關技藝者將會明白其他組成部分及組態皆能應用而不會悖離本研究的精神及範疇。本文引用的所有參考資料係以引用的方式將其併入本文,就好像其各自個別地被併入。 Specific embodiments of the invention are discussed in detail below. In describing the specific embodiment, specific terms have been used for simplicity. However, the invention is not intended to be limited to the specific terms so selected. Although specific exemplary embodiments have been discussed, it should be understood that this is done for illustrative purposes only. Those skilled in the art will appreciate that other components and configurations can be applied without departing from the spirit and scope of the present study. All references cited herein are hereby incorporated by reference in their entirety as if individually individually.

本發明提供一種用於形成電阻性隨機存取記憶體裝置之方法,該方法包含以下步驟:將第一電極沉積於基材上;使多孔電阻性記憶體材料層形成於該第一電極上,其中該多孔電阻性記憶體層係藉由下列方式形成:(i)沉積包含 矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物;以及將第二電極沉積於該多孔電阻性記憶體材料層頂部上。 The present invention provides a method for forming a resistive random access memory device, the method comprising the steps of: depositing a first electrode on a substrate; forming a porous resistive memory material layer on the first electrode, Wherein the porous resistive memory layer is formed by: (i) depositing inclusion a gaseous composition of a ruthenium precursor and a porogen precursor, and, after deposition, (ii) removing the porogen precursor by exposing the composition to UV radiation; and depositing a second electrode on the porous The top of the resistive memory material layer.

根據本發明製造的裝置較佳為RRAM裝置,其中該設備包含:半導體基材;多數包含導電材料的電極;包含至少一多孔性含矽材料的電阻性記憶體材料;及至少一包含絕緣材料的介電材料,其中該多數電極中的至少一部分接近該電阻性記憶體材料,而且其中該設備係沉積於該半導體基材表面上。 The device made in accordance with the present invention is preferably an RRAM device, wherein the device comprises: a semiconductor substrate; a plurality of electrodes comprising a conductive material; a resistive memory material comprising at least one porous germanium-containing material; and at least one comprising an insulating material A dielectric material, wherein at least a portion of the plurality of electrodes are proximate to the resistive memory material, and wherein the device is deposited on a surface of the semiconductor substrate.

氧化矽類,特別是二氧化矽(SiO2),長久以來皆在構建電子裝置時被視為鈍態絕緣組件。然而,在本文所示的具體實施例中,顯示氧化矽類(例如,SiO2及SiOx)可在被轉換成可切換導電狀態時當作電子裝置中的活性轉換材料及電子輸送元件。不欲受任何理論或機制束縛,咸相信一或更多適當等級的脈衝或掃描外加於含氧化矽的電子裝置造成通過大體上非導電性氧化矽基質的可切換導電途徑。該一或更多高電壓脈衝或掃描一般係於或高於氧化矽的軟性電擊穿電位但是低於發生硬性擊穿的電壓。外加適當等級的電壓脈衝或掃描造成該氧化矽基質內形成含可切換導電途徑的矽奈米晶體、矽奈米線或金屬絲,該氧化矽基質能維持電極端子之間的電子輸送。該可切換導電途徑能藉由施加足夠等級的電壓脈衝而被遮斷,並且接著藉由施加較低等級的電壓脈衝重新形成。遮斷及重新形成該導電途徑在記憶體裝置中分別相當於運轉的關閉和開啟狀態,使該等電子裝置能以不同的關 閉和開啟狀態運轉當成記憶體元件及憶阻器。 Cerium oxides, especially cerium oxide (SiO 2 ), have long been regarded as passive insulation components in the construction of electronic devices. However, in the specific embodiment shown herein, the display silicon oxide (e.g., SiO 2 and SiO x) can be used as active electronic device and an electron transporting material conversion element when the switch is converted into a conductive state. Without wishing to be bound by any theory or mechanism, it is believed that one or more appropriate levels of pulses or scans applied to the yttria-containing electronic device cause a switchable conductive path through the substantially non-conductive yttrium oxide matrix. The one or more high voltage pulses or scans are typically at or above the soft electrical breakdown potential of yttria but below the voltage at which hard breakdown occurs. An appropriate level of voltage pulse or scan is applied to form a ruthenium nanocrystal, tantalum nanowire or wire containing a switchable conductive pathway within the yttria matrix, which maintains electron transport between the electrode terminals. The switchable conductive path can be interrupted by applying a sufficient level of voltage pulse and then reformed by applying a lower level of voltage pulse. Interrupting and reforming the conductive path respectively corresponds to the closed and open states of operation in the memory device, enabling the electronic devices to operate as memory elements and memristors in different closed and open states.

在不同的具體實施例中,本文所揭示的方法所製備的電子裝置包括第一電性接點及第二電性接點,該二者係經佈置以界定其間的間隙區域。含可切換導電性氧化矽的切換層存在於該間隙區域中。至少該第一電性接點被沉積於該基材上。該電子裝置顯示磁滯電流與電壓性質的抗衡。 In various embodiments, an electronic device prepared by the methods disclosed herein includes a first electrical contact and a second electrical contact, the two being arranged to define a gap region therebetween. A switching layer containing switchable conductive yttria is present in the interstitial region. At least the first electrical contact is deposited on the substrate. The electronic device exhibits a resistance between hysteresis current and voltage properties.

在一些具體實施例中,該可切換導電性氧化矽係帶缺陷的SiO2。這樣的帶缺陷的SiO2可由存在於該間隙區域中的SiO2製造。在本研究的較佳具體實施例中,帶缺陷的SiO2藉由自SiO2基質除去致孔劑而發生,如同後文中將更詳細討論的。 In some embodiments, the switchable conductive yttria is deficient in SiO 2 . SiO 2 SiO 2 manufactured with such defects may be present in the gap region. In a preferred embodiment of the present study, the defective SiO 2 occurs by removing the porogen from the SiO 2 matrix, as will be discussed in more detail below.

如本文所用的,該措辭“可切換導電性氧化矽"表示,舉例來說,顯示等到於或高於軟性電擊穿電壓但是低於硬性電擊穿電壓(亦即,造成短路的電壓)啟動之後,磁滯電流與電壓性質的抗衡的氧化矽。由於該磁滯電流與電壓性質的抗衡,含可切換導電性氧化矽的電子裝置具有至少一實質上導電性的開啟狀態及至少一實質上非導電性的關閉狀態。不欲受任何理論或機制束縛,咸相信矽-矽鍵以矽奈米晶體形式代替矽-氧鍵而使原始氧化矽材料中形成可切換導電途徑。 As used herein, the phrase "switchable conductive yttria" means, for example, that after being shown to be at or above a soft electrical breakdown voltage but below a hard electrical breakdown voltage (ie, a voltage that causes a short circuit), The hysteresis current competes with the voltage properties of yttrium oxide. Due to the resistance of the hysteresis current to the nature of the voltage, the electronic device containing the switchable conductive yttria has at least one substantially conductive open state and at least one substantially non-conductive closed state. Without wishing to be bound by any theory or mechanism, it is believed that the 矽-矽 bond replaces the 矽-oxygen bond in the form of a nanocrystal to form a switchable conductive pathway in the original yttria material.

在一些具體實施例中,該可切換導電性氧化矽係非化學計量的氧化矽SiOx。在一些具體實施例中,SiOx具`有一氧化矽與二氧化矽之間的化學計量(例如,x大於1而且小於2)。在更具體的具體實施例中,x介於1.5與2之間。在又更具體的具體實施例中,x介於1.6與1.8之間或介於1.9與2 之間。在其他具體實施例中,SiOx具有比一氧化矽更低的化學計量(例如,x大於0而且小於1)。 In some embodiments, the switchable conductive cerium oxide is a non-stoichiometric cerium oxide SiO x . In some embodiments, SiO x has a stoichiometry between cerium oxide and cerium oxide (eg, x is greater than 1 and less than 2). In a more specific embodiment, x is between 1.5 and 2. In still more specific embodiments, x is between 1.6 and 1.8 or between 1.9 and 2. In other embodiments, SiO x with a stoichiometric lower silicon oxide (e.g., x is greater than 0 and less than 1).

該RRAM應用與低-k應用不同之處在於該介電質係以產生缺陷或細孔的方式沉積,該沉積方式能透過外加電場引發通過該介電質的可切換導電度而用化學改變。特徵例如該膜中的Si-Si鍵結能達成這樣的性質。在多孔性低-k應用中Si-Si鍵結會造成該膜的絕緣性質敗壞。 The RRAM application differs from the low-k application in that the dielectric is deposited in a manner that creates defects or pores that can be chemically altered by the applied electric field to induce a switchable conductivity through the dielectric. Features such as Si-Si bonding in the film can achieve such properties. Si-Si bonding in porous low-k applications can cause the insulating properties of the film to deteriorate.

RRAM電子裝置能構建成種種不同取向。在一些具體實施例中,該等電子裝置係依水平取向,而且該第一電性接點與該第二電性接點在基材上間隔開,其中該切換層存在於該第一電性接點與該第二電性接點之間的基材上。本研究的方法現在將引用圖1例示,其顯示例示性水平取向的電子裝置10的示意圖。 RRAM electronic devices can be constructed in a variety of different orientations. In some embodiments, the electronic devices are oriented horizontally, and the first electrical contact and the second electrical contact are spaced apart from each other on the substrate, wherein the switching layer is present in the first electrical The substrate is on the substrate between the second electrical contact. The method of the present study will now be exemplified with reference to Figure 1, which shows a schematic diagram of an exemplary horizontally oriented electronic device 10.

本研究方法的第一步驟係將第一電極14沉積於基材12上。較佳地,該基材12係半導體基材。該半導體基材可能是選自以下的材料:矽、鍺、氧化矽、氮化矽、碳化矽、碳氮化矽、摻碳的氧化矽、摻硼的矽、摻磷的矽、摻硼的氧化矽、摻磷的氧化矽、摻硼的氮化矽、摻磷的矽、氮化矽、金屬(例如銅、鎢、鋁、鈷、鎳、鉭)、金屬氮化物(例如氮化鈦、氮化鉭)、III/V族金屬氧化物(例如GaAs、InP、GaP及GaN)及其組合。 The first step of the method of the present invention deposits the first electrode 14 on the substrate 12. Preferably, the substrate 12 is a semiconductor substrate. The semiconductor substrate may be selected from the group consisting of ruthenium, osmium, iridium oxide, ruthenium nitride, ruthenium carbide, ruthenium carbonitride, ruthenium-doped ruthenium oxide, boron-doped ruthenium, phosphorus-doped ruthenium, boron-doped Cerium oxide, phosphorus-doped cerium oxide, boron-doped tantalum nitride, phosphorus-doped germanium, tantalum nitride, metal (such as copper, tungsten, aluminum, cobalt, nickel, niobium), metal nitride (such as titanium nitride, Cerium nitride), Group III/V metal oxides (eg, GaAs, InP, GaP, and GaN), and combinations thereof.

該電極可由任何適合的導電材料例如,舉例來說,Au、Pt、Cu、Al、ITO、石墨烯(grapheme)及經迥度摻雜的矽或任何其他適合的金屬或合金製造。 The electrode can be made of any suitable electrically conductive material such as, for example, Au, Pt, Cu, Al, ITO, grapheme, and twisted doped germanium or any other suitable metal or alloy.

該第一電極14的導電材料可利用下列沉積製程中之其一沉積:物理氣相沉積、化學氣相沉積、MOCVD及原子層沉積。在一特定具體實施例中,該第一電極14係利用ALD製程來沉積。在此具體實施例中該導電材料可利用選自下列化合物的有機金屬前驅物來沉積:烷基金屬、金屬醯胺類及金屬鹵化物。 The conductive material of the first electrode 14 can be deposited by one of the following deposition processes: physical vapor deposition, chemical vapor deposition, MOCVD, and atomic layer deposition. In a particular embodiment, the first electrode 14 is deposited using an ALD process. In this particular embodiment, the electrically conductive material can be deposited using an organometallic precursor selected from the group consisting of alkyl metal, metal decyl amines, and metal halides.

該等電極層的厚度能視需要或沉積製程變化。舉例來說,若藉由ALD來沉積,該等電極層的厚度常常為10至20nm。 The thickness of the electrode layers can vary as desired or in the deposition process. For example, if deposited by ALD, the thickness of the electrode layers is often 10 to 20 nm.

關於適用於沉積該電極材料的ALD或MOCVD沉積、製程、前驅物包括,舉例來說,(2,4-二甲基戊二烯基)(乙基環戊二烯基)釕、雙(2,4-二甲基戊二烯基)釕、(2,4-二甲基戊二烯基)(甲基環戊二烯基)釕、雙(乙基環戊二烯基)釕;羰基金屬例如六羰基第三丁基乙炔二鈷(CCTBA)或二羰基環戊二烯基鈷(CpCo(CO)2)、Ru3(CO)12;金屬醯胺類例如肆(二甲基胺基)鋯(TDMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基叁(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、雙(第三丁基亞 胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢;金屬鹵化物類例如四氯化鉿、五氯化鉭及六氯化鎢。 ALD or MOCVD deposition, processes, precursors suitable for depositing the electrode material include, for example, (2,4-dimethylpentadienyl)(ethylcyclopentadienyl)anthracene, bis (2) ,4-dimethylpentadienyl)fluorene, (2,4-dimethylpentadienyl)(methylcyclopentadienyl)fluorene, bis(ethylcyclopentadienyl)fluorene; carbonyl a metal such as hexacarbonylthrum butylacetylene dicobalt (CCTBA) or dicarbonylcyclopentadienyl cobalt (CpCo(CO) 2 ), Ru 3 (CO) 12 ; a metal decyl amine such as hydrazine (dimethylamino group) Zirconium (TDMAZ), decyl (dimethylamino) ruthenium (TDMAH), ruthenium (diethylamino) titanium (TDEAT), ruthenium (ethylmethylamino) titanium (TEMAT), tert-butyl Iminoindole (diethylamino)phosphonium (TBTDET), tert-butylimidophosphonium (dimethylamino)phosphonium (TBTDMT), tert-butylimidoguanidine (ethylmethylamine)钽)(TBTEMT), ethylimidophosphonium (diethylamino)phosphonium (EITDET), ethylimidophosphonium (dimethylamino)phosphonium (EITDMT), ethylimidopyrene Ethylmethylamino) oxime (EITEMT), third amyl imino guanidine (dimethylamino) ruthenium (TAIMAT), third amyl imino ruthenium (diethylamino) ruthenium (dimethylamino) hydrazine , a third pentylimine oxime (ethylmethylamino) ruthenium, bis (tert-butylimido) bis(dimethylamino) tungsten (BTBMW), bis (t-butylimine) Bis(diethylamino)tungsten, bis(t-butylimino)bis(ethylmethylamino)tungsten; metal halides such as antimony tetrachloride, antimony pentachloride and hexachloro Tungsten.

接下來,本研究的方法包含使多孔電阻性記憶體材料層形成於該第一電極上的步驟,其中該多孔電阻性記憶體層係藉由下列方式形成:(i)沉積包含矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物。 Next, the method of the present study comprises the step of forming a porous resistive memory material layer on the first electrode, wherein the porous resistive memory layer is formed by: (i) depositing a germanium precursor comprising The gaseous composition of the pore former and, after deposition, (ii) the porogen precursor is removed by exposing the composition to UV radiation.

再參照圖1,本研究的方法提供一種多孔性含矽材料或膜,其係用作電阻性記憶體材料層16。較佳地,該經沉積的多孔電阻性記憶體材料層16係選自由氧化矽、摻碳的氧化矽、氧氮化矽、氮化矽、摻碳的氮化矽、多孔性氧化矽、多孔性摻碳的氧化矽所組成的群組,其可利用習用化學氣相沉積方法,例如低壓化學氣相沉積(LPCVD)、化學氣相沉積(CVD)或電漿強化化學氣相沉積(PECVD),藉著矽前驅物例如四乙氧基矽烷或任何其他矽前驅物來沉積。 Referring again to Figure 1, the method of the present study provides a porous ruthenium containing material or film that is used as the resistive memory material layer 16. Preferably, the deposited porous resistive memory material layer 16 is selected from the group consisting of cerium oxide, carbon-doped cerium oxide, cerium oxynitride, tantalum nitride, carbon-doped tantalum nitride, porous cerium oxide, porous a group of carbon-doped cerium oxides, which may utilize conventional chemical vapor deposition methods such as low pressure chemical vapor deposition (LPCVD), chemical vapor deposition (CVD), or plasma enhanced chemical vapor deposition (PECVD). Deposition by a ruthenium precursor such as tetraethoxy decane or any other ruthenium precursor.

較佳地,該(等)多孔性含矽膜能利用電漿強化化學氣相沉積(PECVD)或原子層沉積(ALD)製程來沉積。較佳為PECVD。該等多孔性含矽膜可能是一層或多層。在一些具體實施例中,該多孔性含矽膜係利用PECVD製程由包含矽前驅物及致孔劑前驅物的組合物沉積,其中該碳的量係透過矽前驅物及致孔劑的選擇來控制以獲得具有最佳末端甲基;最佳橋聯碳;對多孔性膜最佳的非晶形碳之膜。將碳含量及類型最佳化以提供結果產生的膜的後固化,其往往具有提供最佳 電成形條件(electroforming condition)的缺陷密度(例如,介於該等電極之間的最低外加電壓)。 Preferably, the (or other) porous ruthenium-containing film can be deposited by a plasma enhanced chemical vapor deposition (PECVD) or atomic layer deposition (ALD) process. It is preferably PECVD. The porous ruthenium containing films may be one or more layers. In some embodiments, the porous ruthenium-containing film is deposited by a PECVD process from a composition comprising a ruthenium precursor and a porogen precursor, wherein the amount of carbon is selected through the choice of ruthenium precursor and porogen. Control to obtain a film of the amorphous carbon with the best terminal methyl group; the best bridged carbon; and the best for the porous film. Optimizing the carbon content and type to provide the resulting post-cure of the film, which tends to provide the best The defect density of an electroforming condition (eg, the lowest applied voltage between the electrodes).

該多孔性含矽膜的PECVD沉積能經調整以控制該沉積膜的細孔密度。與其他沉積技術相比關於PECVD的細孔大小本來是小的或微孔性。將沉積最佳化以控制細孔密度及從而細孔連接長度(interconnectivity length)使結果產生的電阻性記憶體材料的切換性能提高,使電成形電位降低,而且使該設備的設置(set)和復歸電位(reset potential)降低。在此或可供選擇的具體實施例中,該多孔性含矽膜的細孔密度能藉由包括矽前驅物/致孔劑混合比在內的沉積參數控制。 The porous ruthenium-containing PECVD deposition can be adjusted to control the pore density of the deposited film. The pore size for PECVD is inherently small or microporous compared to other deposition techniques. Optimizing the deposition to control the pore density and thus the interconnectivity length results in improved switching performance of the resulting resistive memory material, lowering the electroforming potential, and setting the device and The reset potential is reduced. In this or alternative embodiments, the pore density of the porous ruthenium containing membrane can be controlled by deposition parameters including the ruthenium precursor/porogen mixing ratio.

該多孔性含矽材料或膜(亦即,電阻性記憶體材料層16)使用包含矽前驅物及致孔劑前驅物的氣態混合物之組合物來沉積。示範矽前驅物包括,但不限於,四乙氧基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、二第三戊氧基甲基矽烷、二第三丁氧基矽烷、二第三戊氧基矽烷、甲基三乙醯氧基矽烷、二甲基乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙氧基矽烷、新己基三乙氧基矽烷、新戊基二甲氧基矽烷、二乙醯氧基甲基矽烷、苯基二甲氧基矽烷、苯基二乙氧基矽烷、苯基三乙氧基矽烷、苯基二甲氧基矽烷、苯基甲基二甲氧基矽烷、1,3,5,7-四甲基四環矽氧烷、八甲基四環矽氧烷、1,1,3,3-四甲基二矽氧烷、1-新己基-1,3,5,7-四甲基環四矽氧烷、六甲基二矽氧烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲-1,2-二乙醯氧基-1,2- 二乙氧基二矽烷、1,3-二甲基-1,3-二乙氧基二矽氧烷、1,3-二甲基-1,3-二乙醯氧基二矽氧烷、1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙氧基二矽烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷、甲基乙醯氧基第三丁氧基矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、六甲基二矽烷、四甲基二矽烷、二甲基二矽烷、六甲基二矽氧烷(HMDSO)、八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、雙(三乙氧基矽烷基)甲烷、雙(三乙氧基矽烷基)乙烷、雙(二甲氧基矽烷基)甲烷、雙(二甲氧基矽烷基)乙烷、雙(二乙氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)乙烷、雙(甲基二乙氧基矽烷基)甲烷、(二乙氧基甲基矽烷基)(二乙氧基矽烷基)甲烷及其混合物。 The porous ruthenium containing material or film (i.e., resistive memory material layer 16) is deposited using a composition comprising a gaseous mixture of a ruthenium precursor and a porogen precursor. Exemplary ruthenium precursors include, but are not limited to, tetraethoxy decane, diethoxymethyl decane, dimethoxymethyl decane, di-t-butoxymethyl decane, di-third pentoxymethyl Decane, di-tert-butoxydecane, di-p-pentyloxydecane, methyltriethoxydecane, dimethylacetoxydecane, dimethyldiethoxydecane, dimethyldi Methoxy decane, dimethyl diethoxy decane, methyl triethoxy decane, neohexyl triethoxy decane, neopentyl dimethoxy decane, diethyl methoxy methyl decane, phenyl Dimethoxydecane, phenyldiethoxydecane, phenyltriethoxydecane, phenyldimethoxydecane, phenylmethyldimethoxydecane, 1,3,5,7-tetramethyl Tetracyclic oxirane, octamethyltetracyclodecane, 1,1,3,3-tetramethyldioxane, 1-new hexyl-1,3,5,7-tetramethylcyclotetra Oxane, hexamethyldioxane, 1,3-dimethyl-1-ethyloxy-3-ethoxydioxane, 1,2-dimethyl-1,2-diethyl醯oxy-1,2- Diethoxydioxane, 1,3-dimethyl-1,3-diethoxydioxane, 1,3-dimethyl-1,3-diethoxydecyloxydioxane, 1,2-Dimethyl-1,1,2,2-tetraethoxymethoxydioxane, 1,2-dimethyl-1,1,2,2-tetraethoxydioxane, 1,3 - dimethyl-1-acetoxy-3-ethoxydioxane, 1,2-dimethyl-1-ethenyloxy-2-ethoxydioxane, methyl ethoxylated Third butoxy decane, methyl decane, dimethyl decane, trimethyl decane, tetramethyl decane, hexamethyldioxane, tetramethyldioxane, dimethyl dioxane, hexamethyldiazine Oxane (HMDSO), octamethylcyclotetraoxane (OMCTS), tetramethylcyclotetraoxane (TMCTS), bis(triethoxydecyl)methane, bis(triethoxydecyl) Ethane, bis(dimethoxydecyl)methane, bis(dimethoxydecyl)ethane, bis(diethoxymethyldecyl)methane, bis(diethoxymethyldecyl) Ethane, bis(methyldiethoxydecylalkyl)methane, (diethoxymethyldecylalkyl)(diethoxydecylalkyl)methane, and mixtures thereof.

多孔層的較佳厚度係介於40至60nm之間。該範圍可能更薄或更厚-有可能20至120nm,端視預期膜厚度而定。遠低於20nm可能漏電太多。比100至120nm更厚許多要被軟性電擊穿可能挑戰性更高。 The preferred thickness of the porous layer is between 40 and 60 nm. This range may be thinner or thicker - possibly 20 to 120 nm, depending on the desired film thickness. Far less than 20nm may leak too much. Thicker than 100 to 120 nm many can be more challenging to be penetrated by soft electric.

適用於本研究用途的矽前驅物包括美國專利第6,846,515號、美國專利第7,384,471號、美國專利第7,943,195號、美國專利第8,293,001號、美國專利第9,061,317號、美國專利第8,951,342號、美國專利第7,404,990號、美國專利第7,470,454號、美國專利第7,098,149號及美國專利第7,468,290中所揭示者,在此以引用的方式將其揭示內容併入。 The ruthenium precursors suitable for use in the present study include U.S. Patent No. 6,846,515, U.S. Patent No. 7,384,471, U.S. Patent No. 7,943,195, U.S. Patent No. 8,293,001, U.S. Patent No. 9,061,317, U.S. Patent No. 8,951,342, U.S. Patent No. 7,404,990 No. 7,470,454, U.S. Patent No. 7,098,149, and U.S. Patent No. 7,468,290, the disclosure of which is incorporated herein by reference.

在較佳具體實施例中,該矽前驅物係四乙氧基矽 烷、二第三丁氧基矽烷或其混合物。 In a preferred embodiment, the ruthenium precursor is tetraethoxy ruthenium. An alkane, a di-t-butoxydecane or a mixture thereof.

較佳地,與該矽前驅物混合的致孔劑前驅物係選自由以下所組成的群組中之至少一者:α-萜品烯、薴烯、環己烷、環辛烷、γ-萜品烯、莰烯、二甲基己二烯、乙基苯、原冰片二烯、環氧環戊烯(cyclopentene oxide)、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、莰烯、金剛烷、1,3-丁二烯、經取代的二烯類及十氫萘。在較佳具體實施例中,該致孔劑前驅物係選自由原冰片二烯、環辛烷及其混合物所組成的群組。 Preferably, the porogen precursor mixed with the ruthenium precursor is selected from at least one of the group consisting of: alpha-terpinene, terpene, cyclohexane, cyclooctane, gamma- Terpinene, terpene, dimethylhexadiene, ethylbenzene, norbornadiene, cyclopentene oxide, 1,2,4-trimethylcyclohexane, 1,5- Dimethyl-1,5-cyclooctadiene, terpene, adamantane, 1,3-butadiene, substituted dienes and decalin. In a preferred embodiment, the porogen precursor is selected from the group consisting of borneol, cyclooctane, and mixtures thereof.

在另一具體實施例中,該多孔性含矽材料能使用包含二或更多矽前驅物及致孔劑前驅物的組合物來沉積。在這些具體實施例中,該致孔劑係選自由以下所組成的群組中之至少一者:α-萜品烯、薴烯、環己烷、環辛烷、γ-萜品烯、莰烯、二甲基己二烯、乙基苯、原冰片二烯、環氧環戊烯、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、莰烯、金剛烷、1,3-丁二烯、經取代的二烯類及十氫萘;該等矽前驅物係選自上述化合物清單。 In another embodiment, the porous ruthenium-containing material can be deposited using a composition comprising two or more ruthenium precursors and a porogen precursor. In these embodiments, the porogen is selected from at least one of the group consisting of: alpha-terpinene, terpene, cyclohexane, cyclooctane, gamma-terpinene, anthracene Alkene, dimethylhexadiene, ethylbenzene, norbornadiene, epoxycyclopentene, 1,2,4-trimethylcyclohexane, 1,5-dimethyl-1,5-ring Octadiene, decene, adamantane, 1,3-butadiene, substituted dienes, and decahydronaphthalene; the ruthenium precursors are selected from the list of compounds listed above.

當使用時,該介電材料及該電阻性記憶體材料能使用相同矽前驅物在相同製程條件或不同製程條件之下沉積。在其他具體實施例中,該介電材料及該電阻性記憶體材料能使用不同矽前驅物在相同製程條件或不同製程條件之下沉積。 When used, the dielectric material and the resistive memory material can be deposited using the same hafnium precursor under the same process conditions or under different process conditions. In other embodiments, the dielectric material and the resistive memory material can be deposited using different germanium precursors under the same process conditions or different process conditions.

在另一具體實施例中,該多孔性含矽膜能藉由在多孔性含矽膜的PECVD沉積期間添加摻雜劑而被摻雜。該等 摻雜劑能選自由II至VI族元素所組成的群組,該等元素包括,但不限於,Zn、Mg、B、P、As、S、Se及Te。這樣的摻雜劑有可能共沉積成為烷氧化物(硼酸三甲酯、硼酸三乙酯、磷酸三甲酯、亞磷酸三甲酯)、氫化物(AsH3、PH3、H2Se、H2Te)、二甲基鋅、二甲基鎂、二甲基碲、二甲基硒、三甲基膦、三甲基胂或繫鏈於含前驅物的摻雜劑,例如二乙氧基甲基矽烷基膦。 In another embodiment, the porous ruthenium-containing film can be doped by the addition of a dopant during PECVD deposition of the porous ruthenium-containing film. The dopants can be selected from the group consisting of Group II to Group VI elements including, but not limited to, Zn, Mg, B, P, As, S, Se, and Te. Such dopants may co-deposit as alkoxides (trimethyl borate, triethyl borate, trimethyl phosphate, trimethyl phosphite), hydrides (AsH 3 , PH 3 , H 2 Se, H) 2 Te), dimethyl zinc, dimethyl magnesium, dimethyl hydrazine, dimethyl selenium, trimethyl phosphine, trimethyl hydrazine or a tether containing a precursor, such as diethoxy Methyl decylphosphine.

在另一具體實施例中,可以把金屬或金屬氧化物加於該等多孔性含矽膜以便改善該等多孔性含矽膜的電阻性質。儘管物理氣相沉積(PVD)及金屬氧化物化學氣相沉積(MOCVD)能用以沉積金屬,但是較佳為PVD或ALD,因為該氧化物的細孔通常小於10nm。加於該等多孔性含矽膜的金屬濃度能受控制以在當成RRAM裝置運轉的情形中維持低導電狀態與高導電狀態之間的電阻率差異。能使用的示範金屬前驅物包括,但不限於,烷基金屬例如二乙基鋅、三甲基鋁、(2,4-二甲基戊二烯基)(乙基環戊二烯基)釕、雙(2,4-二甲基戊二烯基)釕、2,4-二甲基戊二烯基)(甲基環戊二烯基)釕、雙(乙基環戊二烯基)釕;羰基金屬例如六羰基第三丁基乙炔二鈷(CCTBA)或二羰基環戊二烯基鈷(CpCo(CO)2)、Ru3(CO)12;金屬醯胺類例如肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基叁 (二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢;金屬鹵化物例如四氯化鉿、五氯化鉭、六氯化鎢。 In another embodiment, a metal or metal oxide may be applied to the porous ruthenium containing films to improve the electrical resistance properties of the porous ruthenium containing films. Although physical vapor deposition (PVD) and metal oxide chemical vapor deposition (MOCVD) can be used to deposit metals, it is preferably PVD or ALD because the pores of the oxide are typically less than 10 nm. The concentration of metal added to the porous ruthenium containing film can be controlled to maintain a resistivity difference between a low conductive state and a high conductive state in the case of operation as an RRAM device. Exemplary metal precursors that can be used include, but are not limited to, alkyl metales such as diethyl zinc, trimethyl aluminum, (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) fluorene , bis(2,4-dimethylpentadienyl)anthracene, 2,4-dimethylpentadienyl)(methylcyclopentadienyl)anthracene, bis(ethylcyclopentadienyl)羰; a metal carbonyl such as hexacarbonyl tert-butyl acetylene dicobalt (CCTBA) or dicarbonyl cyclopentadienyl cobalt (CpCo(CO) 2 ), Ru 3 (CO) 12 ; metal decyl amines such as hydrazine Zirconium (TDMAZ), cerium (diethylamino) zirconium (TDEAZ), cerium (ethylmethylamino) zirconium (TEMAZ), hydrazine (dimethylamino) hydrazine (TDMAH), hydrazine (Diethylamino) hydrazine (TDEAH) and hydrazine (ethylmethylamino) hydrazine (TEMAH), hydrazine (dimethylamino) titanium (TDMAT), bismuth (diethylamino) titanium (TDEAT) ), 肆 (ethyl methylamino) titanium (TEMAT), tert-butylimine ruthenium (diethylamino) ruthenium (TBTDET), tert-butylimine ruthenium (dimethylamino group)钽(TBTDMT), tert-butylimine fluorene (ethylmethylamino) hydrazine (TBTEMT), ethylimido ruthenium (diethylamino) fluorene (EITDET), ethyl imine叁(dimethylamino) 钽 (EITDMT), B Eminoimyl hydrazide (ethylmethylamino) hydrazine (EITEMT), third amyl imino guanidine (dimethylamino) hydrazine (TAIMAT), third amyl imino guanidine (diethyl) Amino) anthracene, dimethyl (dimethylamino) hydrazine, a third pentyl imido fluorenyl (ethylmethylamino) hydrazine, bis (t-butyl imino) bis (dimethylamino) Tungsten (BTBMW), bis(t-butylimino)bis(diethylamino)tungsten, bis(t-butylimino)bis(ethylmethylamino)tungsten; metal halide For example, antimony tetrachloride, antimony pentachloride, and tungsten hexachloride.

還有,在另一具體實施例中,該多孔性含矽材料或層16能包含第二含矽層,該第二含矽層能被併入或選擇性地毗鄰該等多孔性含矽膜。在此具體實施例中,該含矽層能藉由循環式化學氣相沉積(CCVD)或原子層沉積來沉積。在一特定具體實施例中,該第二含矽層包含由SiH3或SiH2基團所組成的單層或膜,亦即,藉由引進第二含矽前驅物以與該多孔性含矽材料內部的細孔表面反應而將Si-OH轉化成Si-O-SiH3或Si-O-SiH2,其能在後繼製程中藉由電形成方法轉化成奈米矽粒子。沉積該第二含矽層的第二含矽前驅物的實例包括,但不限於,(a)氯矽烷類例如單氯矽烷及單氯二矽烷;(b)有機胺基矽烷類例如二異丙基胺基矽烷、二第二丁基胺基矽烷、二異丙基胺基二矽烷、二第二丁基胺基二矽烷、雙(第三丁基胺基)矽烷、雙(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、雙(乙基甲基胺基)矽烷;(c)三甲矽烷基胺及其衍生 物;及(d)雙(二甲矽烷基胺基)矽烷H2Si((NSiH3)2)2。在某些具體實施例中,經固化沉積的緻密有機矽酸鹽玻璃能用以產生能依數種方式達成的變化碳含量之膜。 Still, in another embodiment, the porous ruthenium containing material or layer 16 can comprise a second ruthenium containing layer that can be incorporated or selectively adjacent to the porous ruthenium containing film . In this embodiment, the ruthenium containing layer can be deposited by cyclic chemical vapor deposition (CCVD) or atomic layer deposition. In a specific embodiment, the second ruthenium-containing layer comprises a single layer or film composed of SiH 3 or SiH 2 groups, that is, by introducing a second ruthenium-containing precursor to the porous ruthenium containing The pore surface inside the material reacts to convert Si-OH into Si-O-SiH 3 or Si-O-SiH 2 , which can be converted into nanoparticle by electroforming in a subsequent process. Examples of the second ruthenium-containing precursor for depositing the second ruthenium-containing layer include, but are not limited to, (a) chlorodecanes such as monochlorodecane and monochlorodioxane; (b) organic amine decanes such as diisopropyl Amino decane, di-tert-butylamino decane, diisopropylamino dioxane, di-second butylamino dioxane, bis(t-butylamino) decane, bis(dimethylamine) Base) decane, bis(diethylamino) decane, bis(ethylmethylamino) decane; (c) trimethyl decylamine and its derivatives; and (d) bis (dimethyl decylamino) Decane H 2 Si((NSiH 3 ) 2 ) 2 . In some embodiments, the solidified deposited dense organic tellurite glass can be used to produce a film of varying carbon content that can be achieved in several ways.

以下為使該等多孔性含矽膜形成或最佳化的示範方法:(a)使用寬帶UV輻射及臭氧產生細孔並且滌除所有揮發性殘餘物,於是造成具有極低消光係數<0.001的多孔性含矽膜;(b)使用寬帶UV結合H2電漿產生細孔並且滌除Si-CH3,換成鍵結於Si的氫。這樣的Si-H鍵總是當作降低活化必需電位的電形成製程中的潛在缺陷部位;及/或(c)使用EUV(<176nm)產生細孔並且滌除Si-CH3,換成Si-H。這樣的Si-H鍵總是當作降低活化必需電位的電形成製程中的潛在缺陷部位。 The following are exemplary methods for forming or optimizing such porous ruthenium-containing films: (a) the use of broadband UV radiation and ozone to produce pores and to remove all volatile residues, thus resulting in a porous having a very low extinction coefficient < 0.001 (b) using a broadband UV in combination with H 2 plasma to produce pores and to remove Si-CH 3 and exchange for hydrogen bonded to Si. Such Si-H bonds are always considered as potential defects in the electroforming process that reduces the necessary potential for activation; and/or (c) use EUV (<176 nm) to create pores and remove Si-CH 3 to Si- H. Such Si-H bonds are always considered as potential defects in the electroforming process that reduces the necessary potential for activation.

在下列條件之下進行從有機矽酸鹽膜選擇性去除致孔劑的光固化。 Photocuring of the porogen is selectively removed from the organophthalate film under the following conditions.

環境可能是惰性(例如,氮、CO2、稀有氣體(He、Ar、Ne、Kr、Xe)等等)、氧化性(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原性(例如,稀釋或濃縮烴類、氫等等)。溫度較佳為周遭至500℃。功率較佳為0至5000W。波長較佳為IR、可見光、UV或深UV(波長<200nm)。總固化時間較佳為0.01分鐘至12小時。 The environment may be inert (eg, nitrogen, CO 2 , rare gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (eg, oxygen, air, dilute oxygen, oxygen-rich environment, ozone, mono-oxidation) Nitrogen, etc.) or reducing (eg, diluting or concentrating hydrocarbons, hydrogen, etc.). The temperature is preferably from ambient to 500 °C. The power is preferably from 0 to 5000 W. The wavelength is preferably IR, visible light, UV or deep UV (wavelength < 200 nm). The total curing time is preferably from 0.01 minute to 12 hours.

該沉積膜中的致孔劑與引進反應艙的致孔劑可能是或可能不是相同形式。同樣地,該致孔劑去除製程可從 該膜釋出該致孔劑或其斷片。本質上,該致孔劑試劑、該預備膜中的致孔劑及待去除的致孔劑皆可能是或可能不是相同物種,但是較佳為其全源於該致孔劑試劑(或致孔劑取代基)。不管該致孔劑是否在整個發明製程中保持不變,用於本文時該措辭“致孔劑”意欲包含造孔試劑(或造孔取代基)及其衍生物,無論在本發明整個製程期間見到的任何形式。 The porogen in the deposited film may or may not be in the same form as the porogen introduced into the reaction chamber. Similarly, the porogen removal process can be The membrane releases the porogen or its fragments. Essentially, the porogen reagent, the porogen in the preparation membrane, and the porogen to be removed may or may not be the same species, but preferably are derived entirely from the porogen reagent (or porogen) Agent substituent). Regardless of whether the porogen remains unchanged throughout the process of the invention, the phrase "porogen" as used herein is intended to include a pore-forming reagent (or pore-forming substituent) and derivatives thereof, throughout the entire process of the invention. Any form of seeing.

該電阻性記憶體材料的總孔隙率可為5至75%,取決於製程條件及預期的最終膜性質。這樣的膜較佳地具有低於2.0g/ml的密度,或低於1.5g/ml或低於1.25g/ml。較佳地,本研究的電阻性記憶體材料具有比沒用致孔劑所製造的類似含矽膜更低至少10%,更佳為更低至少20%。 The total porosity of the resistive memory material can range from 5 to 75%, depending on process conditions and the desired final film properties. Such films preferably have a density of less than 2.0 g/ml, or less than 1.5 g/ml or less than 1.25 g/ml. Preferably, the resistive memory material of the present study has at least 10% lower, more preferably at least 20% lower than a similar ruthenium containing film made without the porogen.

本研究的方法也包括將第二電極18沉積於該多孔電阻性記憶體材料層16頂部上的步驟。上述與該第一電極14相關的相同製程及導電材料皆能用以沉積該第二電極18。 The method of the present study also includes the step of depositing a second electrode 18 on top of the porous resistive memory material layer 16. The same process and conductive material associated with the first electrode 14 can be used to deposit the second electrode 18.

用於該設備內形成本文所述的沉積方法的某些具體實施例使用一或更多洗淨氣體洗掉沒消耗掉的反應物及/或反應副產物。適合的洗淨氣體係不會與用以沉積該裝置的前驅物反應的氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖、氫(H2)及其混合物。 Certain embodiments for forming the deposition methods described herein in the apparatus use one or more purge gases to wash away unconsumed reactants and/or reaction by-products. A suitable purge system will not react with the gases used to deposit the precursor of the apparatus. Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N 2 ), helium (He), helium, hydrogen (H 2 ), and mixtures thereof.

把能量施加於該含矽前驅物、致孔劑前驅物、含氧來源、含氮來源、還原劑、其他前驅物及/或其組合中的至少其一以引發反應並且形成該含矽膜或塗層於該基材上。此能量可藉由以下提供,但不限於,熱、電漿、微波電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、 電子束、光子、遠距電漿方法及其組合。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特徵。在該沉積涉及電漿的具體實施例中,該電漿產生的製程可包含直接電漿產生製程,其中該電漿直接在該反應器中產生,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生製程。 Applying energy to at least one of the cerium-containing precursor, the porogen precursor, the oxygen-containing source, the nitrogen-containing source, the reducing agent, other precursors, and/or combinations thereof to initiate the reaction and form the ruthenium-containing film or A coating is applied to the substrate. This energy can be provided by, but not limited to, heat, plasma, microwave plasma, pulsed plasma, spiral plasma, high density plasma, induced coupling plasma, X-ray, Electron beam, photon, remote plasma methods and combinations thereof. In some embodiments, a secondary RF frequency source can be used to alter the plasma characteristics at the surface of the substrate. In a specific embodiment where the depositing involves plasma, the plasma generated process can include a direct plasma generation process in which the plasma is produced directly in the reactor, or plasma is produced outside the reactor and supplied to the reactor The remote plasma within the reactor produces a process.

該等前驅物可依各式各樣的方式輸送給該反應艙,例如PECVD或ALD反應器。在一具體實施例中,可利用液體輸送系統。在一可供選用的具體實施例中,可運用合併液體輸送和閃蒸的處理單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性和在特定最終用途應用中有優點的溶劑組分以於基材上形成一膜。 The precursors can be delivered to the reaction chamber in a variety of ways, such as a PECVD or ALD reactor. In a specific embodiment, a liquid delivery system can be utilized. In an alternative embodiment, a processing unit incorporating liquid delivery and flashing, such as, for example, a turbine vaporizer manufactured by MSP, Inc. of Shoreville, Minn., may be utilized to provide low volatility. The material can be transported by volume, resulting in reproducible transport and deposition without thermally decomposing the precursor. In liquid delivery formulations, the precursors described herein can be delivered in pure liquid form, or can be employed in a solvent formulation or a combination thereof. Thus, in certain embodiments, the precursor formulations can include suitable components that may be desirable and solvent components that are advantageous in particular end use applications to form a film on the substrate.

在某些具體實施例中,從前驅物藥罐連至該反應艙的氣體管道係依據製程要求加熱至一或更多溫度而且該至少一含矽前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含該至少一含矽前驅物的溶液注入保持於一或更多供直接液體注射用的溫度下之汽化器。 In some embodiments, the gas conduit from the precursor cartridge to the reaction chamber is heated to one or more temperatures as required by the process and the at least one vessel containing the ruthenium precursor is maintained for foaming. One or more temperatures. In other embodiments, the solution comprising the at least one cerium-containing precursor is injected into a vaporizer maintained at one or more temperatures for direct liquid injection.

用於沉積的反應器或沉積艙溫度可介於下列端點中之其一:周遭溫度或25℃;100℃;200℃;250℃;300℃; 350℃;400℃;450℃;500℃及其任何組合。關此,用於沉積的反應器或沉積艙溫度可介於周遭溫度至1000℃、約150℃至約400℃、約200℃至約400℃、約300℃至600℃,或本文所述的溫度端點的任何組合。 The reactor or deposition chamber temperature for deposition may be one of the following endpoints: ambient temperature or 25 ° C; 100 ° C; 200 ° C; 250 ° C; 300 ° C; 350 ° C; 400 ° C; 450 ° C; 500 ° C and any combination thereof. Accordingly, the reactor or deposition chamber temperature for deposition can range from ambient temperature to 1000 ° C, from about 150 ° C to about 400 ° C, from about 200 ° C to about 400 ° C, from about 300 ° C to 600 ° C, or as described herein. Any combination of temperature endpoints.

該反應器或沉積艙壓力可介於約0.1托耳至約760托耳、較佳為低於10托耳。供應該等前驅物、氧來源、氮來源、及/或其他前驅物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變結果產生的含矽膜的化學計量組成。 The reactor or deposition chamber pressure can range from about 0.1 Torr to about 760 Torr, preferably less than 10 Torr. Separate steps for supplying such precursors, sources of oxygen, sources of nitrogen, and/or other precursors, source gases, and/or reagents can be carried out by varying the period during which they are supplied to change the resulting ruthenium-containing chemistry Measurement composition.

能藉由本研究的方法製造的裝置之組態實例可於美國專利第9,129,676號中見到,在此以引用的方式將其供併入本文。 An example of a configuration of a device that can be made by the method of the present study can be found in U.S. Patent No. 9,129,676, the disclosure of which is incorporated herein by reference.

本發明將參照下列實施例更詳細地舉例說明,但是咸應了解不欲依任何方式限制彼。 The invention will be illustrated in more detail with reference to the following examples, but it should be understood that they are not intended to be limited in any way.

實施例 Example

下列實施例將會顯示相應於用以沉積膜而且產生該膜中的細孔之製程條件所獲得的裝置結果。 The following examples will show the results of the device obtained corresponding to the process conditions used to deposit the film and produce the pores in the film.

所有實驗皆於裝配著Advance Energy 2000射頻產生器的200mm DXZ艙中,靠Applied Materials Precision 5000系統,利用未摻雜的TEOS製程套組進行。該方法涉及下列基礎步驟:氣流的初始設定及穩定化、沉積及在晶圓移除之前的艙洗淨/抽空。 All experiments were performed in a 200 mm DXZ chamber equipped with an Advance Energy 2000 RF generator, using an Applied Materials Precision 5000 system, using an undoped TEOS process kit. The method involves the following basic steps: initial setting and stabilization of the gas stream, deposition, and tank wash/vacuum before wafer removal.

一旦膜沉積時,便按下列方式將記憶體試驗結構 建構於該等晶圓上。將金製頂部電極沉積於該多孔性氧化物上。拿低電阻率矽基材用作底部電極。總共建構5個記憶體單元陣列,而且各自橫過該晶圓含有20個單元。 Once the film is deposited, the memory test structure is as follows Built on these wafers. A gold top electrode is deposited on the porous oxide. A low resistivity ruthenium substrate is used as the bottom electrode. A total of five memory cell arrays are constructed and each contains 20 cells across the wafer.

每個晶圓的所有100個單元或裝置皆利用橫過該多孔性介電質的電流-電壓掃描來測試。用電流對比於電壓的分佈來測定該等裝置是否運轉得像記憶體切換單元一樣,在該介電質的硬性擊穿之前一直保持非導電性,或於低外加電壓時為導電性或漏電性。這3個條件中之其二(硬性擊穿、漏電單元)往往表示損壞的裝置。具有清晰設置及複位點的磁滯電壓-電流掃描往往表示有效的可切換記憶體裝置。圖2舉例說明用於獲得電流-電壓掃描的試驗結構。圖3A至C顯示以下就單元所獲得的3個反應:a)在發生硬性電擊穿以前沒有足夠的導電性,b)於低外加電壓時導電性或漏電性太強,或c)顯示適合當切換記憶體裝置的磁滯電流-電壓掃描。明確地說,圖3A舉例說明在外加高電位及該SiOx膜中發展出硬性電擊穿或短路以前沒顯示導電性提高的正向電壓掃描。因為電流密度在往回掃描至0伏特的期間維持於高值,該反向掃描顯示該短路的影響。圖3B舉例說明該正向掃描於極低外加電壓時顯示明顯提高的導電度,表示該SiOx膜漏電性或導電性太強,造成極低電位下的硬性擊穿。圖3C舉例說明一磁滯電流-電壓掃描,其顯示電阻性記憶體裝置的磁滯電流-電壓分佈。 All 100 cells or devices of each wafer were tested using a current-voltage sweep across the porous dielectric. Using current versus voltage distribution to determine whether the devices operate like a memory switching unit, remain non-conductive until the hard breakdown of the dielectric, or conductivity or leakage at low applied voltage . Two of these three conditions (hard breakdown, leakage unit) often indicate a damaged device. Hysteresis voltage-current sweeps with clear settings and reset points tend to represent effective switchable memory devices. Figure 2 illustrates a test structure for obtaining a current-voltage sweep. Figures 3A to C show the following three reactions obtained for the unit: a) insufficient conductivity before hard electrical breakdown occurs, b) conductivity or leakage is too strong at low applied voltage, or c) suitable for when Switching the hysteresis current-voltage sweep of the memory device. In particular, Figure 3A illustrates a forward voltage sweep that does not exhibit improved conductivity prior to the application of a high potential and the development of a hard electrical breakdown or short circuit in the SiOx film. Since the current density is maintained at a high value during the scan back to 0 volts, the reverse scan shows the effect of the short circuit. Figure 3B illustrates that the forward scan exhibits a significantly improved conductivity at very low applied voltages, indicating that the SiOx film is too leaky or conductive, resulting in a hard breakdown at very low potentials. Figure 3C illustrates a hysteresis current-voltage sweep showing the hysteresis current-voltage distribution of a resistive memory device.

基材調理:用於此研究工作的基材係低電阻率p-型矽(0.005Ω-cm)。於室溫下這些基材含有大約8至10Å的表 面原生氧化物,其係無缺陷的高品質熱氧化物。假定此原生氧化物可防止缺陷驅使導電途徑連至該矽基材。在沉積SiOx膜以前,先為某些晶圓去除該緻密熱SiOx原生氧化物表面。所評估的第一去除方法是使用稀(5%)HF溶液的濕式蝕刻。將晶圓浸於稀HF溶液中經過10分鐘的時期同時攪動,接著於去離子水中水洗並且乾燥。其後在原生氧化物滌除的5分鐘以內將這些晶圓送去該P5000系統沉積,以防止該表面再氧化。 Substrate conditioning: The substrate used for this work was a low resistivity p-type 矽 (0.005 Ω-cm). These substrates contain a table of about 8 to 10 Å at room temperature A native oxide that is a defect-free, high-quality thermal oxide. It is assumed that this native oxide prevents defects from driving the conductive pathway to the tantalum substrate. The dense thermal SiOx native oxide surface is removed for certain wafers prior to deposition of the SiOx film. The first removal method evaluated was wet etching using a dilute (5%) HF solution. The wafer was immersed in a dilute HF solution while stirring for a period of 10 minutes, followed by water washing in deionized water and drying. These wafers are then sent to the P5000 system for deposition within 5 minutes of the native oxide strip to prevent re-oxidation of the surface.

用HF去除原生氧化物之一可供選用的方法是使用以就地電漿或遠距電漿來源(RPS)為基礎的電漿以產生能蝕刻該原生氧化物的F自由基。在此製程中該晶圓能被置於該沉積艙及就地點燃且用以滌除該原生氧化物的NF3或RPS NF3電漿中。如以下表I所示,已測出該用於去除原生氧化物之電漿為基礎的方法使切換記憶體裝置的生產量獲得顯著改善。 One alternative to removing native oxides with HF is to use a plasma based on in-situ plasma or remote plasma source (RPS) to produce F radicals capable of etching the native oxide. In this process, the wafer can be placed in the deposition chamber and in the NF 3 or RPS NF 3 plasma ignited in place to remove the native oxide. As shown in Table I below, the plasma-based method for removing native oxide has been found to achieve a significant improvement in the throughput of the switched memory device.

Example 1:原生氧化物去除製程的比較係藉由沉積SiOx膜,使用以下製程條件進行:850mg/min環辛烷流量;150mg/min DEMS流量;100sccm CO2載氣;20sccm O2;700瓦的外加電漿功率;8托耳的艙壓;300℃的感知器溫度;沉積時間90秒,產生45至55nm的預UV固化膜。評估3種基材調理方法:稀HF濕式蝕刻、就地NF3電漿、沒滌除原生氧化物。將兩組20個裝置的陣列之測試結果列於表I:用以去除原生氧化物的就地NF3電漿自每陣列20個裝置裡提供最高的生產量。 Example 1: The comparison of the native oxide removal process was carried out by depositing a SiOx film using the following process conditions: 850 mg/min cyclooctane flow rate; 150 mg/min DEMS flow rate; 100 sccm CO 2 carrier gas; 20 sccm O 2 ; 700 watts. Additional plasma power; chamber pressure of 8 Torr; sensor temperature of 300 ° C; deposition time of 90 seconds, producing a pre-UV cured film of 45 to 55 nm. Three substrate conditioning methods were evaluated: dilute HF wet etching, in situ NF 3 plasma, and no removal of native oxide. The results of the array of two sets of 20 devices are listed in Table I: In situ NF 3 plasma to remove native oxide provides the highest throughput from 20 devices per array.

實施例2:靠電氣切換性質所做的膜孔隙率比較係藉由使用3個不同的結構形成劑對致孔劑混合比進行。這些包括70%致孔劑/30%結構形成劑;80%致孔劑/20%結構形成劑;90%致孔劑/10%結構形成劑。咸認為提高SiOx膜的傳導作用必需產生足夠的缺陷密度以使電流能通過該膜。有兩種達成此目的的方法係以細孔大小或細孔密度為基礎。使用直徑為5至10nm的介孔(mesopore)能創造使該電極與另一電極相互連接的連續多孔性網狀結構。運用PECVD沉積的多孔性膜通常產生介孔或直徑<2nm的細孔。為了建立導電途徑,使較小細孔大小、細孔密度或多孔性容積,通常被表示成孔隙率百分比,變得更加重要。以PECVD應用於多孔性SiOx膜時,細孔密度能藉由其他因子例如結構形成劑對致孔劑比率的選定來控制。若存有不足的細孔密度,介於電極之間的導電途徑將無法建立而且該膜終將歷經硬性電擊穿。若該孔隙率太高,這將與影響導電度的其他因子(包括該膜中的碳量 及類型在內)結合,造成以SiOx為基礎的多孔性膜於低外加電位或短路時具有導電性,或電流能在關閉狀態下於電極之間洩漏(洩漏電流太高)。最佳的孔隙率將提供磁滯電流-電壓掃描於較低電壓設置,於較高電壓復歸,且當該外加電壓變動時能來回切換的膜。下列3膜在類似條件之下沉積:使用1000mg/min總前驅物流量。在70:30的案例中,這由700mg/min環辛烷及300mg/min TEOS組成;80:20-800mg/min環辛烷及200mg/min TEOS;90:10-900mg/min環辛烷及100mg/min TEOS。TEOS及環辛烷載劑各自使用100sccm CO2的流量;20sccm的O2流量;電漿功率為700瓦;艙壓8托耳;300℃的沉積溫度。厚度為45至55nm的膜就全部3條件沉積而且其後接著用寬帶UV來源退火90秒以去除致孔劑並且產生細孔。藉由橢圓偏光測孔法(EP)測定該等膜孔隙容量並且藉由X-射線光電子光譜(XPS)測定碳含量,得到以下表II所含的值。如預期該製程最高的致孔劑對結構形成劑比率(90:10)含有最高孔隙率及碳含量。這3膜係用以構建記憶體裝置並且按上述方式測試。將各膜所得的電流-電壓分佈顯示於圖4A至C。明確地說,圖4A顯示該介電質於28V的外加電位下的硬性擊穿。該等膜具有大約25%的細孔密度及非常低的殘餘碳量。圖4B顯示電阻性記憶體切換裝置的磁滯電流-電壓分佈。此膜具有>25%的細孔密度及<10%的碳含量。圖4C顯示於極低外加電位下電擊穿而且絕緣性不足以當作記憶體切換裝置的膜之分佈。此膜具有>30%的孔隙率及>20%的殘餘碳量。高孔隙率及殘餘碳量的組合導致於低外加電 位下的過早電擊穿。 Example 2: Film porosity comparisons made by electrical switching properties were performed on the porogen mixing ratio using three different structure forming agents. These include 70% porogen / 30% structure former; 80% porogen / 20% structure former; 90% porogen / 10% structure former. It is believed that increasing the conduction of the SiOx film necessitates sufficient defect density to allow current to pass through the film. There are two ways to achieve this goal based on pore size or pore density. The use of a mesopore having a diameter of 5 to 10 nm creates a continuous porous network structure interconnecting the electrode and the other electrode. Porous membranes deposited using PECVD typically produce mesopores or pores <2 nm in diameter. In order to establish a conductive path, it is more important to have a smaller pore size, pore density or porosity volume, which is usually expressed as a percentage of porosity. When PECVD is applied to a porous SiOx film, the pore density can be controlled by the selection of a ratio of porogen by other factors such as a structure forming agent. If there is insufficient pore density, the conductive path between the electrodes will not be established and the film will eventually undergo a hard electrical breakdown. If the porosity is too high, this will combine with other factors affecting conductivity, including the amount and type of carbon in the film, causing the SiOx-based porous film to have conductivity at low applied potential or short circuit. , or the current can leak between the electrodes in the off state (leakage current is too high). The optimum porosity will provide a hysteresis current-voltage sweep at a lower voltage setting, a higher voltage reset, and a film that can switch back and forth as the applied voltage changes. The following 3 membranes were deposited under similar conditions: a total precursor flow of 1000 mg/min was used. In the case of 70:30, this consisted of 700 mg/min cyclooctane and 300 mg/min TEOS; 80:20-800 mg/min cyclooctane and 200 mg/min TEOS; 90:10-900 mg/min cyclooctane and 100 mg/min TEOS. The TEOS and cyclooctane carriers each used a flow rate of 100 sccm CO 2 ; an O 2 flow rate of 20 sccm; a plasma power of 700 watts; a tank pressure of 8 Torr; and a deposition temperature of 300 ° C. Films having a thickness of 45 to 55 nm were deposited in all three conditions and then annealed with a broadband UV source for 90 seconds to remove the porogen and produce fine pores. The film pore capacities were measured by ellipsometry (EP) and the carbon content was determined by X-ray photoelectron spectroscopy (XPS) to obtain the values contained in Table II below. The highest porogen to composition forming agent ratio (90:10) is expected to have the highest porosity and carbon content. These 3 membranes were used to construct a memory device and tested in the manner described above. The current-voltage distribution obtained for each film is shown in Figures 4A to C. In particular, Figure 4A shows the hard breakdown of the dielectric at an applied potential of 28V. These films have a pore density of about 25% and a very low residual carbon content. Figure 4B shows the hysteresis current-voltage distribution of the resistive memory switching device. The film has a pore density of >25% and a carbon content of <10%. Figure 4C shows electrical breakdown at very low applied potential and insufficient insulation to act as a distribution of the film of the memory switching device. The film has a porosity of >30% and a residual carbon content of >20%. The combination of high porosity and residual carbon leads to premature electrical breakdown at low applied potentials.

該等裝置結果指示在具有不足孔隙率的膜中,例如圖3A所示,並沒有發生缺陷驅使軟性擊穿,而且該膜的硬性擊穿如該電流-電壓分佈所示般變成不可逆短路結果。該等裝置結果也指示具有高孔隙率及高殘餘碳含量的膜會於低外加電位下變得太易於導電或漏電。具有>25%的孔隙率及<20%的碳含量之膜示範記憶體切換能力。該膜的孔隙率及碳含量係根據用以沉積及固化該等膜的沉積及固化條件來調整。 The results of such devices indicate that in a film having insufficient porosity, such as shown in Figure 3A, no defect occurs to drive soft breakdown, and the hard breakdown of the film becomes an irreversible short circuit as shown by the current-voltage distribution. The results of these devices also indicate that films with high porosity and high residual carbon content will become too conductive or leaky at low applied potentials. A membrane with >25% porosity and <20% carbon content demonstrates memory switching capability. The porosity and carbon content of the film are adjusted according to the deposition and curing conditions used to deposit and cure the films.

實施例3:等到發現必需的基材調理及充分的細孔密度使導電途徑能橫越該膜的整個厚度之後,使用了80:20及85:15的致孔劑對結構形成劑比率來沉積並且測試該等膜。使這些膜固化經過夠長的時期以使碳含量降至20%。該等沉積條件由以下組成:結構形成劑TEOS(150或200mg/min)和環辛烷(850或800mg/min)的1000mg/min總前驅物流量、各前驅物的100sccm CO2載劑、20sccm的O2流量、700瓦 頻功率、8托耳艙壓、300℃沉積溫度。厚度為45至60nm的膜係沉積而且利用寬頻UV來源進行UV固化90秒。該等膜在其後用以構建如圖2所示的記憶體裝置。藉著圖5A和5B所示的代表性電流-電壓掃描分佈來評估該等膜的掃描能力,其示範了用80:20(5A)及85:15(5B)的致孔劑對結構形成劑比率所沉積之多孔性PECVD為基礎的SiOx膜之磁滯特性分佈。二膜皆顯示大約3.5至4.5V的軟性擊穿及大約10V的去活化。 Example 3: After the necessary substrate conditioning and sufficient pore density were found to allow the conductive pathway to traverse the entire thickness of the film, 80:20 and 85:15 porogen to structure forming agent ratios were used for deposition. And test the membranes. Curing these films for a long enough period to reduce the carbon content 20%. The deposition conditions consist of a structure former of TEOS (150 or 200 mg/min) and cyclooctane (850 or 800 mg/min) of 1000 mg/min total precursor flow, 100 sccm of CO 2 carrier for each precursor, 20 sccm O 2 flow, 700 watts frequency power, 8 Torr chamber pressure, 300 ° C deposition temperature. Films having a thickness of 45 to 60 nm were deposited and UV cured using a broadband UV source for 90 seconds. These films are then used to construct a memory device as shown in FIG. The scanning capabilities of the films were evaluated by the representative current-voltage sweep profiles shown in Figures 5A and 5B, which demonstrate the use of porogen pairing agents at 80:20 (5A) and 85:15 (5B). The hysteresis characteristic distribution of the porous PECVD-based SiOx film deposited by the ratio. Both films showed a soft breakdown of about 3.5 to 4.5 V and a deactivation of about 10 V.

二膜所顯示的磁滯切換性質皆指示能用作電阻性記憶體切換裝置的電位。將特定膜性質孔隙率及碳含量顯示於以下表III中。 The hysteresis switching properties exhibited by the two films all indicate potentials that can be used as resistive memory switching devices. The specific film properties porosity and carbon content are shown in Table III below.

實施例4:成功研發多孔性PECVD SiOx為基礎的膜之一重要構成成分係維持預定導電度或延長時間的開啟-關閉狀態的能力。此記憶體保持力係於圖5B靠沉積膜所製造的裝置測試並且顯示於圖6A。於1V的外加電位下測量電流時,>104Acm-2的電流密度差值保持了經過105秒的時期。 Example 4: Successful development of a porous PECVD One of the important constituents of a SiOx-based film is the ability to maintain a predetermined conductivity or an extended on-off state for a prolonged period of time. This memory retention force was tested in Figure 5B by the device fabricated by the deposited film and is shown in Figure 6A. When the current was measured at an applied potential of 1 V, the current density difference of >10 4 Acm -2 was maintained for a period of 10 5 seconds.

成功研發多孔性PECVD SiOx為基礎的膜之另一 重要構成成分係使眾多數目的切換周期從導電性切換成非導電性狀態的能力。該以PECVD為基礎的多孔性SiOx膜之規劃能力係藉著於1V下測到的電流從導電性或開啟狀態重複切換成絕緣性或關閉狀態而測試。將各狀態的測量電流顯示於圖6B,其中見到該裝置提供經過103個切換周期所獲得的介於導電狀態之間的>103電流密度差值。 Another important component of the successful development of porous PECVD SiOx-based films is the ability to switch a large number of switching cycles from conductivity to non-conductive state. The planning capability of the PECVD-based porous SiOx film was tested by repeatedly switching the current measured at 1 V from the conductive or open state to the insulating or closed state. The measured current for each state is shown in Figure 6B, where it is seen that the device provides a >10 3 current density difference between the conductive states obtained over 10 3 switching cycles.

本說明書中舉例說明而且討論的具體實施例僅意欲教導熟悉此技藝者發明人已知之創作並且應用本發明的方式。本說明書不得被視為限制本發明的範疇。所提供的所有實施例皆為代表性而且非限制性。有鑑於以上的教導使熟悉此技藝者明白,本發明的上述具體實施例可被修飾或改變,而不會悖離本發明。儘管本發明關聯寬口容器描述,但是根據本發明的面板曲率之功能應該能藉著標準成品(亦即,不是寬口頸部的成品)產生。因此咸了解在申請專利範圍及其等效物的範疇內,本發明皆可實行而不需另行具體描述。 The specific examples illustrated and discussed in this specification are intended to be illustrative of the embodiments of the present invention and the invention. This description is not to be taken as limiting the scope of the invention. All of the examples provided are representative and non-limiting. The above-described embodiments of the present invention may be modified or changed without departing from the invention. Although the present invention is described in connection with a wide mouth container, the function of the curvature of the panel according to the present invention should be produced by standard finished products (i.e., finished products that are not wide necked). Therefore, the invention may be practiced without departing from the scope of the invention and the scope of the invention.

Claims (14)

一種用於形成電阻性隨機存取記憶體裝置之方法,該方法包含以下步驟:將第一電極沉積於基材上;使多孔電阻性記憶體材料層形成於該第一電極上,其係藉由:(i)沉積包含矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物,及(iii)沉積第二含矽層;以及將第二電極沉積於該多孔電阻性記憶體材料層頂部上。 A method for forming a resistive random access memory device, the method comprising the steps of: depositing a first electrode on a substrate; forming a porous resistive memory material layer on the first electrode, By: (i) depositing a gaseous composition comprising a hafnium precursor and a porogen precursor and, after deposition, (ii) removing the porogen precursor by exposing the composition to UV radiation, and Iii) depositing a second germanium-containing layer; and depositing a second electrode on top of the porous resistive memory material layer. 如申請專利範圍第1項之方法,其中該矽前驅物係選自由以下所組成的群組中之至少一者:四乙氧基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、二第三戊氧基甲基矽烷、二第三丁氧基矽烷、二第三戊氧基矽烷、甲基三乙醯氧基矽烷、二甲基乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙氧基矽烷、新己基三乙氧基矽烷、新戊基二甲氧基矽烷、二乙醯氧基甲基矽烷、苯基二甲氧基矽烷、苯基二乙氧基矽烷、苯基三乙氧基矽烷、苯基二甲氧基矽烷、苯基甲基二甲氧基矽烷、1,3,5,7-四甲基四環矽氧烷、八甲基四環矽氧烷、1,1,3,3-四甲基二矽氧烷、1-新己基-1,3,5,7-四甲基環四矽氧烷、六甲基二矽氧烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲-1,2-二乙醯氧基-1,2-二乙氧基二矽烷、1,3-二甲基-1,3-二乙氧基二矽氧烷、1,3-二甲基-1,3-二乙醯氧基二 矽氧烷、1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙氧基二矽烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷、甲基乙醯氧基第三丁氧基矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、六甲基二矽烷、四甲基二矽烷、二甲基二矽烷、六甲基二矽氧烷(HMDSO)、八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、雙(三乙氧基矽烷基)甲烷、雙(三乙氧基矽烷基)乙烷、雙(二甲氧基矽烷基)甲烷、雙(二甲氧基矽烷基)乙烷、雙(二乙氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)乙烷、雙(甲基二乙氧基矽烷基)甲烷、(二乙氧基甲基矽烷基)(二乙氧基矽烷基)甲烷及其混合物。 The method of claim 1, wherein the ruthenium precursor is selected from at least one of the group consisting of tetraethoxy decane, diethoxymethyl decane, dimethoxymethyl Decane, di-tert-butoxymethyl decane, di-p-pentyloxymethyl decane, di-t-butoxy decane, di-third pentoxy decane, methyl triethoxy decane, dimethyl Ethoxy decane, dimethyl diethoxy decane, dimethyl dimethoxy decane, dimethyl diethoxy decane, methyl triethoxy decane, neohexyl triethoxy decane, Neopentyl dimethoxydecane, diethoxymethoxymethyl decane, phenyl dimethoxy decane, phenyl diethoxy decane, phenyl triethoxy decane, phenyl dimethoxy decane, Phenylmethyldimethoxydecane, 1,3,5,7-tetramethyltetracyclodecane, octamethyltetracyclodecane, 1,1,3,3-tetramethyldioxine Alkane, 1-new hexyl-1,3,5,7-tetramethylcyclotetraoxane, hexamethyldioxane, 1,3-dimethyl-1-ethenyloxy-3-B Oxydioxane, 1,2-dimethyl-1,2-diethyloxy-1,2-diethoxydioxane 1,3-Dimethyl-1,3-diethoxydioxane, 1,3-dimethyl-1,3-diethoxycarbonyl Oxane, 1,2-dimethyl-1,1,2,2-tetraethoxymethoxydioxane, 1,2-dimethyl-1,1,2,2-tetraethoxydioxane , 1,3-dimethyl-1-acetoxy-3-ethoxydioxane, 1,2-dimethyl-1-acetoxy-2-ethoxydioxane, A Ethylene ethoxylated tert-butoxy decane, methyl decane, dimethyl decane, trimethyl decane, tetramethyl decane, hexamethyldioxane, tetramethyl dioxane, dimethyl dioxane, six Methyldioxane (HMDSO), octamethylcyclotetraoxane (OMCTS), tetramethylcyclotetraoxane (TMCTS), bis(triethoxydecyl)methane, bis(triethoxy) Ethylene alkyl) ethane, bis(dimethoxydecyl)methane, bis(dimethoxydecyl)ethane, bis(diethoxymethyldecyl)methane, bis(diethoxymethyl) Base alkyl) ethane, bis(methyldiethoxydecyl)methane, (diethoxymethyldecyl)(diethoxydecylalkyl)methane, and mixtures thereof. 如申請專利範圍第2項之方法,其中該矽前驅物係選自由二第三丁氧基矽烷、二第三戊氧基矽烷、四乙氧基矽烷(TEOS)、四甲氧基矽烷及其混合物所組成的群組。 The method of claim 2, wherein the ruthenium precursor is selected from the group consisting of di-t-butoxy decane, di-third pentoxy decane, tetraethoxy decane (TEOS), tetramethoxy decane, and A group of mixtures. 如申請專利範圍第1項之方法,其中該致孔劑係選自由以下所組成的群組中之至少一者:α-萜品烯、薴烯、環己烷、環辛烷、γ-萜品烯、莰烯、二甲基己二烯、乙基苯、原冰片二烯、環氧環戊烯(cyclopentene oxide)、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、莰烯、金剛烷、1,3-丁二烯、經取代的二烯類及十氫萘。 The method of claim 1, wherein the porogen is selected from at least one of the group consisting of: α-terpinene, terpene, cyclohexane, cyclooctane, γ-萜Terpene, terpene, dimethylhexadiene, ethylbenzene, norbornadiene, cyclopentene oxide, 1,2,4-trimethylcyclohexane, 1,5-di Methyl-1,5-cyclooctadiene, terpene, adamantane, 1,3-butadiene, substituted dienes and decalin. 如申請專利範圍第3項之方法,其中該致孔劑包含原冰片 二烯、α-萜品烯或環辛烷。 The method of claim 3, wherein the porogen comprises raw borneol Diene, alpha-terpinene or cyclooctane. 如申請專利範圍第1項之方法,其中該多孔電阻性記憶體材料層的沉積係藉由電漿強化化學氣相沉積(PECVD)或電漿強化循環式化學氣相沉積(PECCVD)製程實行。 The method of claim 1, wherein the depositing of the porous resistive memory material layer is performed by a plasma enhanced chemical vapor deposition (PECVD) or a plasma enhanced cyclic chemical vapor deposition (PECCVD) process. 如申請專利範圍第1項之方法,其中該基材係選自由以下所組成的群組之材料:矽、鍺、氧化矽、氮化矽、碳化矽、碳氮化矽、摻碳的氧化矽、摻硼的矽、摻磷的矽、摻硼的氧化矽、摻磷的氧化矽、摻硼的氮化矽、摻磷的矽、氮化矽、銅、鎢、鋁、鈷、鎳、鉭、氮化鈦、氮化鉭、金屬氧化物、GaAs、InP、GaP及GaN及其組合。 The method of claim 1, wherein the substrate is selected from the group consisting of cerium, lanthanum, cerium oxide, cerium nitride, cerium carbide, cerium carbonitride, carbon-doped cerium oxide. Boron-doped cerium, phosphorus-doped cerium, boron-doped cerium oxide, phosphorus-doped cerium oxide, boron-doped cerium nitride, phosphorus-doped cerium, tantalum nitride, copper, tungsten, aluminum, cobalt, nickel, lanthanum Titanium nitride, tantalum nitride, metal oxide, GaAs, InP, GaP, and GaN, and combinations thereof. 如申請專利範圍第1項之方法,其中該第一電極係由選自由烷基金屬、金屬醯胺類、金屬烷氧化物及金屬鹵化物所組成的群組之前驅物所沉積的金屬。 The method of claim 1, wherein the first electrode is a metal deposited from a group precursor of an alkyl metal, a metal amide, a metal alkoxide, and a metal halide. 如申請專利範圍第1項之方法,其另外包含在該多孔電阻性記憶體材料層沉積的期間添加摻雜劑。 The method of claim 1, further comprising adding a dopant during deposition of the layer of porous resistive memory material. 如申請專利範圍第9項之方法,其中該摻雜劑係選自由Zn、Mg、B、P、As、S、Se及Te所組成的群組。 The method of claim 9, wherein the dopant is selected from the group consisting of Zn, Mg, B, P, As, S, Se, and Te. 如申請專利範圍第1項之方法,其另外包含在該多孔電阻 性記憶體材料層沉積的期間添加金屬或金屬氧化物前驅物。 The method of claim 1, further comprising the porous resistor A metal or metal oxide precursor is added during the deposition of the layer of memory material. 如申請專利範圍第11項之方法,其中該金屬或金屬氧化物係選自由以下所組成的群組:二乙基鋅、三甲基鋁、(2,4-二甲基戊二烯基)(乙基環戊二烯基)釕、雙(2,4-二甲基戊二烯基)釕、(2,4-二甲基戊二烯基)(甲基環戊二烯基)釕、雙(乙基環戊二烯基)釕、六羰基第三丁基乙炔二鈷(CCTBA)或二羰基環戊二烯基鈷(CpCo(CO)2)、Ru3(CO)12;金屬醯胺類例如肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基叁(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢、四氯化鉿、五氯化鉭及六氯化鎢。 The method of claim 11, wherein the metal or metal oxide is selected from the group consisting of diethyl zinc, trimethyl aluminum, (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) fluorene, bis(2,4-dimethylpentadienyl)fluorene, (2,4-dimethylpentadienyl)(methylcyclopentadienyl)fluorene , bis(ethylcyclopentadienyl)phosphonium, hexacarbonylth-butyl acetylene dicobalt (CCTBA) or dicarbonylcyclopentadienyl cobalt (CpCo(CO) 2 ), Ru 3 (CO) 12 ; metal Indoleamines such as yttrium (dimethylamino) zirconium (TDMAZ), yttrium (diethylamino) zirconium (TDEAZ), yttrium (ethylmethylamino) zirconium (TEMAZ), hydrazine (dimethylamine)铪(TDMAH), 肆(diethylamino) hydrazine (TDEAH) and hydrazine (ethylmethylamino) hydrazine (TEMAH), hydrazine (dimethylamino) titanium (TDMAT), bismuth (two Ethylamino)titanium (TDEAT), iridium (ethylmethylamino)titanium (TEMAT), tert-butylimidophosphonium (diethylamino) hydrazine (TBTDET), tert-butylimine Base (dimethylamino) ruthenium (TBTDMT), tert-butylimine ruthenium (ethylmethylamino) ruthenium (TBTEMT), ethyl imino ruthenium (diethylamino) ruthenium ( EITDET), ethylimidoguanidine (dimethylamine) Ethyl (钽) (EITDMT), ethyl imino ruthenium (ethylmethylamino) ruthenium (EITEMT), third amyl imino guanidine (dimethylamino) ruthenium (TAIMAT), third amyl Iminoindole (diethylamino) fluorene, dimethyl (dimethylamino) hydrazine, third amyl phosphinyl hydrazide (ethylmethylamino) hydrazine, bis (tert-butyl imino group) Bis(dimethylamino)tungsten (BTBMW), bis(t-butylimino)bis(diethylamino)tungsten, bis(t-butylimino)bis(ethylmethyl) Amino) tungsten, antimony tetrachloride, antimony pentachloride and tungsten hexachloride. 如申請專利範圍第1項之方法,其中該第二含矽層係藉著至少一第二含矽前驅物的沉積形成,該至少一第二含矽前驅物係選自由單氯矽烷、單氯二矽烷、二異丙基胺基矽烷、二第二丁基胺基矽烷、二異丙基胺基二矽烷、二第二丁基胺基二矽烷、雙(第三丁基胺基)矽烷、雙(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、雙(乙基甲基胺基)矽烷、三甲矽烷基胺及其衍生物、雙(二甲矽烷基胺基)矽烷及H2Si((NSiH3)2)2所組成的群組。 The method of claim 1, wherein the second ruthenium-containing layer is formed by deposition of at least one second ruthenium-containing precursor selected from the group consisting of monochlorodecane, monochloro Dioxane, diisopropylaminodecane, di-tert-butylaminodecane, diisopropylaminodioxane, di-tert-butylaminodioxane, bis(t-butylamino)decane, Bis(dimethylamino)decane, bis(diethylamino)decane, bis(ethylmethylamino)decane, trimethyldecylamine and its derivatives, bis(dimethylmercaptoalkylamino)decane And a group consisting of H 2 Si((NSiH 3 ) 2 ) 2 . 如申請專利範圍第1項之方法,其中該多孔電阻性記憶體材料層係選自由SiOx、SiOxH、Si、OxNy、SiOxNyH、SiOxCz、SiOxCzH及其組合所組成的群組,其中x、y及z各自等於或大於1或等於或小於2。 The method of claim 1, wherein the porous resistive memory material layer is selected from the group consisting of SiO x , SiO x H, Si, O x N y , SiO x N y H, SiO x C z , SiO x C A group consisting of z H and combinations thereof, wherein each of x, y, and z is equal to or greater than 1 or equal to or less than 2.
TW105107261A 2015-03-09 2016-03-09 Process for depositing organosilicate glass films for use as resistive random access memory TWI652842B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562130251P 2015-03-09 2015-03-09
US62/130,251 2015-03-09

Publications (2)

Publication Number Publication Date
TW201707250A TW201707250A (en) 2017-02-16
TWI652842B true TWI652842B (en) 2019-03-01

Family

ID=55809165

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105107261A TWI652842B (en) 2015-03-09 2016-03-09 Process for depositing organosilicate glass films for use as resistive random access memory

Country Status (8)

Country Link
US (1) US20180047898A1 (en)
EP (1) EP3268997A1 (en)
JP (1) JP6748098B2 (en)
KR (1) KR102517882B1 (en)
CN (1) CN107636852B (en)
IL (1) IL254225B2 (en)
TW (1) TWI652842B (en)
WO (1) WO2016144960A1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102306612B1 (en) 2014-01-31 2021-09-29 램 리써치 코포레이션 Vacuum-integrated hardmask processes and apparatus
EP3791231A4 (en) * 2018-05-11 2022-01-26 Lam Research Corporation Methods for making euv patternable hard masks
JP2022507368A (en) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション How to make a hard mask useful for next generation lithography
JP7170921B2 (en) * 2019-08-09 2022-11-14 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング Composition for producing low dielectric constant siliceous film, method for producing cured film, and electronic device using the same
WO2021050798A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dialkoxysilanes and dense organosilica films made therefrom
JP7189375B2 (en) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション Underlayer for photoresist adhesion and dose reduction
CN111725398B (en) * 2020-05-27 2022-03-15 北京航空航天大学 Preparation method of double-layer porous oxide structure based on artificial nerve synapse function
US11647680B2 (en) 2020-06-11 2023-05-09 International Business Machines Corporation Oxide-based resistive memory having a plasma-exposed bottom electrode
JP2022051104A (en) * 2020-09-18 2022-03-31 キオクシア株式会社 Switching element
KR102429240B1 (en) * 2020-10-21 2022-08-03 성균관대학교산학협력단 Memristor and resistive memory device having the memristor
US11915926B2 (en) 2021-09-27 2024-02-27 International Business Machines Corporation Percolation doping of inorganic-organic frameworks for multiple device applications
TWI773596B (en) * 2021-11-24 2022-08-01 國立清華大學 Lead-free metallic halide memristor and use thereof
CN114671710B (en) * 2022-03-10 2023-04-07 西北工业大学 Double-period multilayer TaC/HfC ultrahigh-temperature ceramic anti-ablation coating and preparation method thereof
CN115959671A (en) * 2022-12-28 2023-04-14 电子科技大学 Porous carbon network modified silicon monoxide composite negative electrode material and preparation and application thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140014892A1 (en) 2009-08-14 2014-01-16 Intermolecular, Inc. Resistive-Switching Memory Element

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) * 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
KR101078125B1 (en) * 2005-02-07 2011-10-28 삼성전자주식회사 Nonvolatile Nano-channel Memory Device using Mesoporous Material
KR100668333B1 (en) * 2005-02-25 2007-01-12 삼성전자주식회사 Phase-change RAM and fabrication method of the same
JP2007318067A (en) * 2006-04-27 2007-12-06 National Institute For Materials Science Insulating film material, film forming method using the same, and insulating film
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US8592791B2 (en) 2009-07-31 2013-11-26 William Marsh Rice University Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof
JP5692085B2 (en) * 2009-11-11 2015-04-01 日本電気株式会社 Resistance change element, semiconductor device, and method of forming resistance change element
KR20110058031A (en) 2009-11-25 2011-06-01 삼성전자주식회사 Manufacturing method of nonvolatile memory device
JP5617915B2 (en) * 2010-03-19 2014-11-05 日本電気株式会社 Resistance change element, semiconductor device including the same, and manufacturing method thereof
WO2012071100A1 (en) 2010-09-08 2012-05-31 William Marsh Rice University Siox-based nonvolatile memory architecture
JP5788274B2 (en) * 2011-09-14 2015-09-30 ルネサスエレクトロニクス株式会社 Resistance variable nonvolatile memory device, semiconductor device, and variable resistance nonvolatile memory device manufacturing method
US20130175680A1 (en) * 2012-01-10 2013-07-11 International Business Machines Corporation Dielectric material with high mechanical strength
US9200167B2 (en) * 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US8890109B2 (en) * 2012-12-20 2014-11-18 Intermolecular, Inc. Resistive random access memory access cells having thermally isolating structures
US20140306172A1 (en) * 2013-04-12 2014-10-16 Sony Corporation Integrated circuit system with non-volatile memory and method of manufacture thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140014892A1 (en) 2009-08-14 2014-01-16 Intermolecular, Inc. Resistive-Switching Memory Element

Also Published As

Publication number Publication date
EP3268997A1 (en) 2018-01-17
JP6748098B2 (en) 2020-08-26
CN107636852B (en) 2021-06-25
KR102517882B1 (en) 2023-04-03
IL254225B2 (en) 2024-03-01
CN107636852A (en) 2018-01-26
WO2016144960A1 (en) 2016-09-15
IL254225A0 (en) 2017-10-31
KR20170127497A (en) 2017-11-21
US20180047898A1 (en) 2018-02-15
JP2018517274A (en) 2018-06-28
IL254225B1 (en) 2023-11-01
TW201707250A (en) 2017-02-16

Similar Documents

Publication Publication Date Title
TWI652842B (en) Process for depositing organosilicate glass films for use as resistive random access memory
KR102363103B1 (en) Novel formulation for deposition of silicon-doped hafnium oxide as ferroelectric material
US7862857B2 (en) Scalable lead zirconium titanate (PZT) thin film material and deposition method, and ferroelectric memory device structures comprising such thin film material
US7825043B2 (en) Method for fabricating capacitor in semiconductor device
US20070014919A1 (en) Atomic layer deposition of noble metal oxides
JP2005314713A (en) Method for manufacturing ruthenium film or ruthenium oxide film
TW201835372A (en) New Formulation for Deposition of Silicon Doped Hafnium Oxide as Ferroelectric Materials
US20130071984A1 (en) Atomic layer deposition of hafnium and zirconium oxides for memory applications
Fröhlich TiO2-based structures for nanoscale memory applications
US7499259B2 (en) Capacitor with hafnium, lanthanum and oxygen mixed dielectric and method for fabricating the same
Dingemans et al. Merits of batch ALD
US20110014770A1 (en) Methods of forming a dielectric thin film of a semiconductor device and methods of manufacturing a capacitor having the same
KR19990012246A (en) Semiconductor device with metal barrier film by atomic layer deposition method and method for manufacturing same
KR101628843B1 (en) Method for forming ruthenium containing thin film by atomic layer deposition
KR20150101380A (en) Method for forming ruthenium containing thin film by atomic layer deposition
KR100753037B1 (en) Capacitor and method for forming using the same
CN115992349A (en) Method and system for depositing boron nitride using pulsed chemical vapor deposition
JP2005166965A (en) Method for manufacturing thin film
CN115968501A (en) Carbon-free laminated hafnium oxide/zirconium oxide film for ferroelectric memory
CN114555859A (en) Formulations for depositing silicon-doped hafnium oxide
KR101046757B1 (en) Capacitor of semiconductor device and manufacturing method thereof
KR100367237B1 (en) deposition method of electrode structure for semiconductor memory
Park ALD2023 Session AF-MoP: ALD Fundamentals Poster Session
KR100306387B1 (en) electrode structure for semiconductor memory and deposition method thereof
Weber et al. Improving cmos performance by AVD® grown high-k dielectrics and advanced metal electrodes