TWI624567B - Electrofill vacuum plating cell - Google Patents

Electrofill vacuum plating cell Download PDF

Info

Publication number
TWI624567B
TWI624567B TW102145646A TW102145646A TWI624567B TW I624567 B TWI624567 B TW I624567B TW 102145646 A TW102145646 A TW 102145646A TW 102145646 A TW102145646 A TW 102145646A TW I624567 B TWI624567 B TW I624567B
Authority
TW
Taiwan
Prior art keywords
plating
electrolyte
substrate
electroplating
storage tank
Prior art date
Application number
TW102145646A
Other languages
Chinese (zh)
Other versions
TW201439385A (en
Inventor
R 馬修 史多維
馮敬斌
大衛 波特
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201439385A publication Critical patent/TW201439385A/en
Application granted granted Critical
Publication of TWI624567B publication Critical patent/TWI624567B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/04Removal of gases or vapours ; Gas or pressure control
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/16Regeneration of process solutions
    • C25D21/18Regeneration of process solutions of electrolytes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

揭露之實施例相關於方法與設備,用於在次大氣壓狀態下將基板浸入電鍍槽中之電解液中以降低或消除當基板浸入時之氣泡形成或截留。揭露各種不同的電解液再循環迴路,以對電鍍槽提供電解液。再循環迴路可包含泵、脫氣器、感應器、閥門等。揭露之實施例允許將基板快速地浸入,因而大量減少與電鍍期間之氣泡形成及不均的電鍍時間相關之問題。 The disclosed embodiments relate to methods and equipment for immersing a substrate in an electrolyte in a plating bath under a sub-atmospheric state to reduce or eliminate bubble formation or entrapment when the substrate is immersed. Various electrolyte recycling circuits are exposed to provide electrolyte to the plating tank. The recirculation loop may include pumps, degassers, sensors, valves, and the like. The disclosed embodiments allow rapid immersion of the substrate, thereby greatly reducing issues related to bubble formation and uneven plating time during plating.

Description

真空電鍍槽 Vacuum plating tank

本申請案主張於西元2012年12月11日申請之美國專利暫時申請案第61/735,971的優先權,該美國專利暫時申請案的名稱為”ELECTROFILL VACUUM PLATING CELL”,本申請案亦主張於西元2013年3月6日申請之美國專利暫時申請案第61/773,725號的優先權,該美國專利暫時申請案的名稱為”ELECTROFILL VACUUM PLATING CELL”,其內容於此藉由參照全體納入作為本案揭示內容的一部分以及用於所有目的。本申請案也為於西元2010年1月8日申請之美國專利申請案第12/684,787號與第12/684,792號之部份連續案,其專利名稱分別為”APPARATUS FOR WETTING PRETREATMENT FOR ENHANCED DAMASCENE METAL FILLING”及”WETTING PRETREATMENT FOR ENHANCED DAMASCENE METAL FILLING”,其內容於此藉由參照全體納入作為本案揭示內容的一部分以及用於所有目的。 This application claims the priority of US Patent Provisional Application No. 61 / 735,971 filed on December 11, 2012. The name of this US patent provisional application is "ELECTROFILL VACUUM PLATING CELL". This application also claims Priority of US Patent Provisional Application No. 61 / 773,725, filed on March 6, 2013, the name of this US Patent Provisional Application is "ELECTROFILL VACUUM PLATING CELL", the content of which is hereby incorporated by reference as a whole. Part of the content and for all purposes. This application is also a part of US Patent Application Nos. 12 / 684,787 and 12 / 684,792 filed on January 8, 2010. The patent names are "APPARATUS FOR WETTING PRETREATMENT FOR ENHANCED DAMASCENE METAL "FILLING" and "WETTING PRETREATMENT FOR ENHANCED DAMASCENE METAL FILLING", the contents of which are hereby incorporated by reference as a part of the disclosure of this case and for all purposes.

本發明係關於將金屬電鍍至基板表面上的方法和設備。 The present invention relates to a method and apparatus for electroplating a metal onto a substrate surface.

基於各種的原因,可將欲電鍍之晶圓於浸入至電鍍槽中時傾斜成非水平角度。因此,相對於在基板上填充特徵部所耗費的總時間(如:現今技術節點晶圓結構可填充於約1-2s,在部份情形中約小於500ms),用於電鍍之一些現有的方法與設備要求基板需浸入在電鍍液中一段相當長的時間週期(如:由前緣進入溶液的時間到後緣完全地浸入的時間之間的120-200ms)。因基板的前緣進入電鍍液中且於基板的後緣之前開始電鍍,故相對長的浸入時間(定義成基板的整個電鍍面浸入在電鍍液中所花費的時間)會導致不一致的特徵部填充。一開始的電鍍不均勻性可能會在整個 電鍍製程期間持續存在,因而導致不均勻的填充。當業界由300mm發展到450mm的晶圓時,這些影響會更加被惡化。期望不侷限於動作的任何特定理論或機制,整個晶圓之電鍍的開始時間差可能會導致如促進劑、抑制劑、及均勻劑之添加劑的不均勻吸附情況,因而可以導致整個晶圓表面之不均勻的鍍層。因此,具有相對於填充較小特徵部所花費時間之較短的浸入時間係實質上較佳,以使整個晶圓之填充開始時間的差異可以具有最小的影響,使得特徵部的填充及鍍層的均勻度可以最大化。 For various reasons, the wafer to be plated can be tilted to a non-horizontal angle when immersed in a plating bath. Therefore, relative to the total time it takes to fill features on the substrate (eg, current technology node wafer structures can be filled in about 1-2s, in some cases less than 500ms), some existing methods for electroplating And the equipment requires that the substrate needs to be immersed in the plating solution for a relatively long period of time (for example: 120-200ms between the time when the leading edge enters the solution and the time when the trailing edge is completely immersed). Because the leading edge of the substrate enters the plating solution and plating begins before the trailing edge of the substrate, a relatively long immersion time (defined as the time it takes for the entire plating surface of the substrate to be immersed in the plating solution) will cause inconsistent feature filling . Initial plating inhomogeneities may Persistent during the plating process, resulting in uneven filling. As the industry moves from 300mm to 450mm wafers, these effects will be worsened. The expectation is not limited to any particular theory or mechanism of action. The difference in the start time of plating of the entire wafer may lead to uneven adsorption of additives such as accelerators, inhibitors, and leveling agents, which may cause unevenness of the entire wafer surface Uniform plating. Therefore, it is substantially better to have a shorter immersion time relative to the time it takes to fill the smaller features, so that the difference in fill start time of the entire wafer can have the smallest impact, so that the filling of the features and the plating Uniformity can be maximized.

最小化浸入時間的代價係氣泡會形成在電鍍液和基板間的界面。在晶圓浸入至電鍍電解液中期間,氣泡可能被截留於晶圓的電鍍側(活性側或電鍍面)下方。如果過於快速地浸入基板,氣泡截留的問題可能會惡化。截留在晶圓電鍍面上之空氣氣泡會導致諸多問題。在氣泡所出現的地方,氣泡會遮蓋住晶圓的電鍍面而使晶圓的電鍍面無法接觸到電解液,因此產生電鍍無法發生的區域。根據氣泡開始截留於晶圓上的時間及氣泡於晶圓上維持受截留狀態的時間長度,所產生的鍍層缺陷可以表現成無鍍層的區域或鍍層厚度減少的區域。因此,在現今的電鍍方法下,若浸入時間過快,則可能發生顯著的鍍層缺陷。 The cost of minimizing the immersion time is that bubbles will form at the interface between the plating solution and the substrate. During the immersion of the wafer into the plating electrolyte, bubbles may be trapped below the plated side (active side or plated side) of the wafer. If the substrate is dipped too quickly, the problem of air bubble trapping may worsen. Air bubbles trapped on the plated side of the wafer can cause problems. Where the bubbles appear, the bubbles will cover the plated surface of the wafer and prevent the plated surface of the wafer from contacting the electrolyte, thereby creating areas where plating cannot occur. According to the time when the bubbles start to be trapped on the wafer and the length of time that the bubbles remain trapped on the wafer, the resulting plating defects can be manifested as areas without plating or areas with reduced coating thickness. Therefore, under the current plating method, if the immersion time is too fast, significant plating defects may occur.

本文的實施例係與用於將材料電鍍至基板上的方法與設備相關。在揭露的實施例中,於低壓下將基板浸入於電解液中,以減少或消除當浸入基板時氣泡截留在基板下的風險。在揭露實施例的一實施態樣中,提供一種將金屬電鍍至基板上之方法,該方法包含:將電解液流過一電鍍再循環迴路,該電鍍再循環迴路包含一電解液貯槽、一泵、一電鍍槽、及用於將電解液在導入電鍍槽中前加以脫氣的一脫氣器;將該基板浸入在電鍍槽中之電解液中,其中在浸入期間之電鍍槽中的壓力係約100Torr以下;將材料電鍍至基板上;及將該基板由電解液中搬離。 The embodiments herein relate to methods and equipment for plating materials onto substrates. In the disclosed embodiment, the substrate is immersed in the electrolyte at a low pressure to reduce or eliminate the risk of air bubbles trapping under the substrate when immersed in the substrate. In an embodiment of the disclosed embodiment, a method for electroplating a metal onto a substrate is provided. The method includes flowing an electrolyte through a plating recirculation circuit, the electroplating recirculation circuit including an electrolyte storage tank, a pump, and the like. A plating tank and a degasser for degassing the electrolyte before being introduced into the plating tank; the substrate is immersed in the electrolyte in the plating tank, wherein the pressure in the plating tank during the immersion is Below about 100 Torr; plating the material onto a substrate; and removing the substrate from the electrolyte.

在部份實施例中,浸入期間之電鍍槽中的壓力係至少約20Torr。將基板浸入在電解液中的步驟可能會發生在一段約255ms以下的期間,其中基板具有約150mm以上之直徑。在部份情形中,浸入持續時間可能會更短。譬如:將基板浸入在電解液中的步驟可能會發生在一段約50ms 以下的期間,其中該基板具有約150mm以上之直徑。在這些或其他實施例中,將基板浸入在電解液中的步驟發生在具有第一持續時間之期間,且將材料電鍍以填充基板上之一特徵部的步驟發生在具有第二持續時間之期間,其中第一持續時間係該第二持續時間的約10%以下。在部份情形中,如依體積測量,該特徵部為基板上的一最小的特徵部。如依體積測量,該特徵部也可為基板上之中尺寸的特徵部。 In some embodiments, the pressure in the plating bath during immersion is at least about 20 Torr. The step of immersing the substrate in the electrolyte may occur during a period of about 255 ms or less, where the substrate has a diameter of about 150 mm or more. In some cases, the immersion duration may be shorter. For example: the step of immersing the substrate in the electrolyte may take about 50ms In the following periods, the substrate has a diameter of about 150 mm or more. In these or other embodiments, the step of immersing the substrate in the electrolyte occurs during a period having a first duration, and the step of plating the material to fill a feature on the substrate occurs during a period having a second duration , Where the first duration is less than about 10% of the second duration. In some cases, as measured by volume, the feature is the smallest feature on the substrate. If measured by volume, the feature may also be a feature with a medium size on the substrate.

可以一個角度浸入基板,且在部份實施例中,該基板以介於約0.25-10度/秒的擺動速度來擺向一水平方向。電鍍槽中之低壓至少會存在於浸入期間,且可持續一段較長的時間。在部份實施例中,電鍍槽中之壓力於電鍍的至少一開始約10ms期間仍維持於約100Torr或以下。在某些實例中,電鍍槽中之壓力一直到電鍍停止後為止仍維持在約100Torr或以下。在部份實施例中可使用負載鎖室。在此情況中,本方法更可包含:將基板插入負載鎖室中且將負載鎖室中之壓力降低到約100Torr以下。 The substrate can be immersed at an angle, and in some embodiments, the substrate is swung in a horizontal direction at a swing speed of about 0.25-10 degrees / second. The low pressure in the plating bath will exist at least during the immersion period and may last for a long time. In some embodiments, the pressure in the plating tank is maintained at about 100 Torr or less during about 10 ms from the beginning of the plating. In some examples, the pressure in the plating tank is maintained at about 100 Torr or less until after the plating is stopped. Load lock chambers may be used in some embodiments. In this case, the method may further include: inserting the substrate into the load lock chamber and reducing the pressure in the load lock chamber to below about 100 Torr.

該方法也可包含:在將電解液脫氣之後,及將電解液導入電鍍槽之前,將氣體注入電解液中之步驟。注入的氣體可以為氧氣。可將氧注入達到約10ppm以下的電解液濃度。在一些情形中,可將氧注入達到約1ppm以下的電解液濃度。 The method may further include a step of injecting a gas into the electrolytic solution after the electrolytic solution is degassed and before the electrolytic solution is introduced into the plating tank. The injected gas may be oxygen. Oxygen can be injected to an electrolyte concentration of less than about 10 ppm. In some cases, oxygen can be injected to an electrolyte concentration below about 1 ppm.

在一些實施例中,該方法更包含:將電解液流過氣體控制再循環迴路,該氣體控制再循環迴路包含:電解液貯槽、及溶解氣體感應器,其中溶解氣體控制器依據來自溶解氣體感應器的輸入控制氣體注入單元,以調節電解液中之溶解氣體的濃度。電鍍再循環迴路可以和氣體控制再循環迴路分隔開來。在一些實施方式中,於電鍍期間,藉由通過旁通導管,電解液可繞過電鍍再循環迴路的電解液貯槽。當電鍍沒有發生時,電解液也可流過一大氣壓再循環迴路,其中該大氣壓再循環迴路包含:電解液貯槽、大氣壓電解液貯槽、及大氣壓迴路泵。該方法也可包含:將於脫氣電解液貯槽中之電解液脫氣;及將電解液流過脫氣再循環迴路及大氣壓再循環迴路,其中脫氣再循環迴路包含:電解液貯槽、脫氣迴路泵、及脫氣電解液貯槽,且其中大氣壓再循環迴路包含:脫氣電解液貯槽、大氣壓迴路泵、及大氣壓電解液貯槽。 In some embodiments, the method further comprises: flowing the electrolyte through a gas-controlled recirculation loop, the gas-controlled recirculation loop comprising: an electrolyte storage tank, and a dissolved gas sensor, wherein the dissolved gas controller The input of the controller controls the gas injection unit to adjust the concentration of dissolved gas in the electrolyte. The electroplating recirculation circuit can be separated from the gas control recirculation circuit. In some embodiments, the electrolyte can bypass the electrolyte storage tank of the electroplating recirculation loop by passing the bypass conduit during electroplating. When electroplating does not occur, the electrolyte can also flow through an atmospheric pressure recirculation circuit, wherein the atmospheric pressure recirculation circuit includes an electrolyte storage tank, an atmospheric pressure electrolyte storage tank, and an atmospheric pressure circuit pump. The method may also include: degassing the electrolyte in a degassed electrolyte storage tank; and flowing the electrolyte through a degassing recirculation circuit and an atmospheric pressure recirculation circuit, wherein the degassing recirculation circuit includes: An air circuit pump and a degassed electrolyte storage tank, wherein the atmospheric pressure recirculation circuit includes: a degassed electrolyte storage tank, an atmospheric pressure circuit pump, and an atmospheric pressure electrolyte storage tank.

在揭露實施例之另一實施態樣中,將提供一種用於將金屬電鍍至基板上之設備,該設備包含:一電鍍槽,配置成承受約100Torr以下的壓力,且該電鍍槽包含:一基板夾持具、一電解液容納槽、及一基板定位系統,該基板定位系統能夠控制基板浸入電解液容納槽中時的基板方向;一電鍍再循環迴路包含:一電解液貯槽、一泵、一脫氣器、及一電鍍槽,其中在該電鍍再循環迴路中將該脫氣器設置在該電解液貯槽後及電鍍槽前;及一電鍍控制器,用以在電鍍製程期間且當基板浸入電解液容納槽中時,將壓力維持在約100Torr以下。 In another aspect of the disclosed embodiment, an apparatus for electroplating metal onto a substrate is provided. The apparatus includes: a plating tank configured to withstand a pressure of about 100 Torr or less, and the plating tank includes: a A substrate holder, an electrolyte storage tank, and a substrate positioning system capable of controlling the direction of the substrate when the substrate is immersed in the electrolyte storage tank; a plating recirculation circuit includes: an electrolyte storage tank, a pump, A degasser, and a plating tank, wherein the degasser is disposed after the electrolyte storage tank and in front of the plating tank in the plating recycling circuit; and a plating controller for use during the plating process and when the substrate is When immersed in the electrolyte storage tank, the pressure was maintained below about 100 Torr.

在某些實施例中,基板定位系統能夠控制基板的移動、傾斜、及轉動。該設備也可包含一溶解氣體感應器。在一些情形中,溶解氣體控制器可結合溶解氣體感應器及氣體注入器來使用,其中溶解氣體控制器依據來自溶解氣體感應器的測量結果控制氣體注入器。 In some embodiments, the substrate positioning system can control the movement, tilt, and rotation of the substrate. The device may also include a dissolved gas sensor. In some cases, the dissolved gas controller may be used in combination with a dissolved gas sensor and a gas injector, where the dissolved gas controller controls the gas injector based on the measurement results from the dissolved gas sensor.

旁通導管可使用於部份實施方式中,其中電鍍控制器係用於在電鍍期間將電解液流過旁通導管,因而繞過電解液貯槽。在一些實施例中,可使用一大氣壓再循環迴路,該大氣再循環迴路包含:一電解液貯槽、一大氣壓迴路泵、及一大氣壓電解液貯槽,其中電鍍控制器係用於避免大氣再循環迴路於電鍍期間流通。在部份實施方式中,該設備可包含:一脫氣電解液再循環迴路及一大氣壓再循環迴路,其中該脫氣電解液再循環迴路包含:一電解液貯槽、一脫氣迴路泵、及一脫氣電解液貯槽,且該大氣再循環迴路包含:一脫氣電解液貯槽、一大氣迴路泵、及一大氣壓電解液貯槽,其中電鍍控制器係用於確保該脫氣電解液再循環迴路於電鍍期間不會流通。在各種不同的情形中,該設備包含一個以上的附加電鍍槽,該附加電鍍槽配置成在約100Torr以下運作,其中該等附加電鍍槽與該電解液貯槽流體連通。 The bypass conduit can be used in some embodiments, where the plating controller is used to flow the electrolyte through the bypass conduit during plating, thereby bypassing the electrolyte storage tank. In some embodiments, an atmospheric pressure recirculation circuit may be used. The atmospheric recirculation circuit includes an electrolyte storage tank, an atmospheric pressure circuit pump, and an atmospheric pressure electrolyte storage tank, wherein the electroplating controller is used to avoid the atmospheric recirculation circuit. Circulate during plating. In some embodiments, the device may include a degassed electrolyte recycling circuit and an atmospheric pressure recycling circuit, wherein the degassed electrolyte recycling circuit includes: an electrolyte storage tank, a degassing circuit pump, and A degassed electrolyte storage tank, and the atmospheric recirculation circuit includes: a degassed electrolyte storage tank, an atmospheric circuit pump, and an atmospheric pressure electrolyte storage tank, wherein the plating controller is used to ensure the degassed electrolyte recycling circuit It will not circulate during plating. In various cases, the apparatus includes more than one additional plating tank configured to operate below about 100 Torr, wherein the additional plating tanks are in fluid communication with the electrolyte storage tank.

參照相關附圖於以下描述這些和其他的特徵。 These and other features are described below with reference to the associated drawings.

101‧‧‧電鍍系統 101‧‧‧Plating System

103/322‧‧‧晶圓 103 / 322‧‧‧ Wafer

104‧‧‧夾持具 104‧‧‧Clamp

105‧‧‧液槽 105‧‧‧ liquid tank

107‧‧‧電解液 107‧‧‧ Electrolyte

109‧‧‧氣泡 109‧‧‧ Bubble

112‧‧‧浸入情境 112‧‧‧immersion situation

301/601‧‧‧電鍍槽 301 / 601‧‧‧plating tank

304‧‧‧貯槽 304‧‧‧ storage tank

306/606/706/806/824/906/916/926‧‧‧泵 306/606/706/806/824/906/916 / 926‧‧‧Pump

308‧‧‧脫氣器 308‧‧‧Degasser

302‧‧‧再循環迴路 302‧‧‧Recirculation circuit

310‧‧‧真空泵 310‧‧‧Vacuum pump

312‧‧‧氣體控制迴路 312‧‧‧Gas control circuit

314‧‧‧溶解氣體感應器 314‧‧‧Dissolved gas sensor

318‧‧‧壓力感應器 318‧‧‧pressure sensor

323‧‧‧陽極 323‧‧‧Anode

350‧‧‧控制器 350‧‧‧ Controller

355‧‧‧氣體注入單元 355‧‧‧Gas injection unit

402‧‧‧晶圓升降組件 402‧‧‧wafer lifting assembly

404‧‧‧晶圓傾斜組件 404‧‧‧wafer tilt assembly

406‧‧‧晶圓轉動組件 406‧‧‧Wafer Rotation Assembly

410‧‧‧槽 410‧‧‧slot

408‧‧‧真空頂板 408‧‧‧Vacuum top plate

422‧‧‧錐體 422‧‧‧ cone

424‧‧‧夾持具 424‧‧‧Clamp

500‧‧‧電鍍設備 500‧‧‧plating equipment

510‧‧‧清洗裝置 510‧‧‧washing device

512‧‧‧噴嘴臂致動器 512‧‧‧Nozzle arm actuator

513‧‧‧噴嘴臂 513‧‧‧nozzle arm

514‧‧‧噴嘴 514‧‧‧Nozzle

522‧‧‧脣式密封件 522‧‧‧lip seal

524‧‧‧杯體 524‧‧‧ cup body

525‧‧‧杯底 525‧‧‧bottom

526‧‧‧錐體 526‧‧‧ cone

528‧‧‧杯柱 528‧‧‧cup post

530‧‧‧電鍍槽 530‧‧‧plating tank

532‧‧‧容積 532‧‧‧volume

533‧‧‧頂帽 533‧‧‧Top hat

534‧‧‧流體 534‧‧‧fluid

535‧‧‧位準 535‧‧‧level

560‧‧‧回收遮罩 560‧‧‧Recycling Mask

570‧‧‧沖洗遮罩 570‧‧‧Flushing Mask

602‧‧‧電鍍流體迴路 602‧‧‧plating fluid circuit

604‧‧‧電鍍流體貯槽 604‧‧‧Plating fluid storage tank

608/708/808/908‧‧‧脫氣器 608/708/808 / 908‧‧‧ Deaerator

610‧‧‧真空泵 610‧‧‧vacuum pump

612‧‧‧流體感應迴路 612‧‧‧fluid sensing circuit

614‧‧‧溶解氣體感應器 614‧‧‧Dissolved gas sensor

618‧‧‧壓力感應器 618‧‧‧pressure sensor

616‧‧‧預濕器 616‧‧‧ pre-humidifier

701/801/901‧‧‧真空電鍍槽 701/801 / 901‧‧‧vacuum plating tank

702‧‧‧電鍍迴路 702‧‧‧plating circuit

704‧‧‧電鍍液貯槽 704‧‧‧Plating bath

720‧‧‧流體迴路 720‧‧‧fluid circuit

722/822/922/923‧‧‧閥門 722/822/922 / 923‧‧‧ Valve

804/904‧‧‧真空電鍍液貯槽 804 / 904‧‧‧Vacuum plating bath

825/940‧‧‧大氣壓電鍍液貯槽 825 / 940‧‧‧ Atmospheric Plating Bath

930‧‧‧真空脫氣槽 930‧‧‧Vacuum degassing tank

1001A/1001B‧‧‧裝置 1001A / 1001B‧‧‧ device

1062A/1062B‧‧‧晶圓 1062A / 1062B‧‧‧ Wafer

1007A/1007B‧‧‧晶圓夾持具 1007A / 1007B‧‧‧Wafer Holder

1044‧‧‧真空大氣界面 1044‧‧‧Vacuum Atmospheric Interface

1200‧‧‧電沉積設備 1200‧‧‧Electrodeposition equipment

1202/1204/1206/1212/1214/1216‧‧‧模組 1202/1204/1206/1212/1214 / 1216‧‧‧ Module

1222‧‧‧化學品稀釋模組 1222‧‧‧ Chemical dilution module

1224‧‧‧電沉積腔室 1224‧‧‧electrodeposition chamber

1226‧‧‧給劑系統 1226‧‧‧Dosing system

1228‧‧‧泵單元 1228‧‧‧Pump unit

1230‧‧‧系統控制器 1230‧‧‧System Controller

1232‧‧‧搬運站 1232‧‧‧Transportation Station

1242/1244‧‧‧卡匣 1242 / 1244‧‧‧ Cassette

1240/1246‧‧‧移轉工具 1240 / 1246‧‧‧ Transfer Tool

1248‧‧‧對準器 1248‧‧‧ Aligner

1250‧‧‧傳送站 1250‧‧‧Transfer Station

1300‧‧‧電沉積設備 1300‧‧‧electrodeposition equipment

1302‧‧‧機器人 1302‧‧‧ Robot

1302a‧‧‧機器人軌道 1302a‧‧‧Robot track

1303‧‧‧主軸 1303‧‧‧ Spindle

1304/1308‧‧‧可接取站 1304 / 1308‧‧‧Accessible Station

1309‧‧‧安裝支架 1309‧‧‧Mounting bracket

1306‧‧‧基板 1306‧‧‧ substrate

1307‧‧‧電鍍槽 1307‧‧‧Plating Tank

1301‧‧‧FOUP 1301‧‧‧FOUP

圖1及圖2顯示於水平浸入(圖1)和斜角浸入(圖2)期間將基板浸入至電鍍槽中之電解液時之基板的視圖。 1 and 2 show views of a substrate when the substrate is immersed in an electrolyte in a plating bath during horizontal immersion (FIG. 1) and oblique immersion (FIG. 2).

圖3顯示具有電鍍再循環迴路及氣體控制再循環迴路之電 鍍系統。 Figure 3 shows electricity with a plating recirculation loop and a gas controlled recirculation loop. Plating system.

圖4根據部份實施例描述一真空電鍍槽。 FIG. 4 illustrates a vacuum plating bath according to some embodiments.

圖5顯示根據部份實施例之真空電鍍槽的橫剖面圖。 FIG. 5 shows a cross-sectional view of a vacuum plating tank according to some embodiments.

圖6描繪根據不同實施例之電鍍系統。 FIG. 6 depicts a plating system according to various embodiments.

圖7顯示具有旁通導管的電鍍系統。 Figure 7 shows a plating system with a bypass duct.

圖8說明具有二個電解液貯槽與二個再循環迴路之電鍍系統。 FIG. 8 illustrates a plating system having two electrolyte storage tanks and two recycling circuits.

圖9顯示具有三個電解液貯槽與三個再循環迴路之電鍍系統。 Figure 9 shows a plating system with three electrolyte storage tanks and three recirculation circuits.

圖10顯示具有一負載鎖室之電鍍槽。 Figure 10 shows a plating tank with a load lock chamber.

圖11顯示在次大氣壓及大氣壓下所進行的電鍍製程實驗結果之圖表。 FIG. 11 is a graph showing the results of an electroplating process experiment performed at sub-atmospheric pressure and atmospheric pressure.

圖12及13描繪根據部份實施例之多重工具電鍍設備之替代實施例。 12 and 13 depict an alternative embodiment of a multi-tool plating apparatus according to some embodiments.

在本申請案中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部份製造之積體電路(partially fabricated integrated circuit)」可互換使用。熟習此技術領域者將能理解術語「部份製造之積體電路」可代表積體電路製造之許多階段中之任一階段的矽晶圓。使用在半導體裝置工業中之晶圓或基板一般具有200mm、或300mm、或450mm的直徑。此外,術語「電解液」、「電鍍浴」、「浸浴」、及「電鍍液」可互換使用。下列的詳細敘述假設本發明係實現於晶圓。然而,本發明並非僅限於此。工作件可為各種形狀、尺寸、及材料。除半導體晶圓外,可利用本發明的其他工作件包含各種物件,例如印刷電路板等。 In this application, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate", and "partially fabricated integrated circuit" are used interchangeably. Those skilled in the art will understand that the term "partially manufactured integrated circuit" may represent a silicon wafer at any of the many stages of integrated circuit manufacturing. The wafer or substrate used in the semiconductor device industry generally has a diameter of 200 mm, or 300 mm, or 450 mm. In addition, the terms "electrolyte", "plating bath", "immersion bath", and "plating bath" are used interchangeably. The following detailed description assumes that the present invention is implemented on a wafer. However, the present invention is not limited to this. Work pieces can be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that can utilize the present invention include various objects, such as printed circuit boards.

在下面敘述中,提出了許多特定細節以提供對於本發明實施例的全面瞭解。在沒有部份或全部此些細節的情況下亦可施行所揭露之實施例。在其他情況下,不詳細說明眾所周知的製程操作以免不必要地模糊所揭露之實施例。雖然配合特定實施例來說明所揭露的實施例,但應瞭解,這些特定實施例並不限制所揭露的實施例。 In the following description, numerous specific details are provided to provide a thorough understanding of embodiments of the invention. The disclosed embodiments may be practiced without some or all of these details. In other cases, well-known process operations are not described in detail so as not to unnecessarily obscure the disclosed embodiments. Although the disclosed embodiments are described in conjunction with specific embodiments, it should be understood that these specific embodiments do not limit the disclosed embodiments.

於在真空狀態中電鍍基板之方法與設備的背景下,提供本揭露內容。此處所揭露之真空輔助方法與相關硬體允許快速地浸入基板(如:約小於50ms、約小於35ms、約小於20ms、約小於10ms、或5-15ms之間)卻不會形成有害的氣泡。此外,部份所揭露之真空實施例允許在浸入期間將基板浸入而不對基板施加電偏壓。此外,部份實施例允許以些許傾斜或無傾斜的方式將基板浸入,以讓基板的所有部份實質上同時與電鍍液接觸。諸多不同的實施例於各種不同之應用中可係有用的,上述應用包含鑲嵌互連(提供此功能性工具之範例為來自Lam Research Corporation of Fremont,California的SabreTM NExTTM,SabreTM ExtremeTM,SabreTM ExcelTM,SabreTM MaxTM等)、晶圓級封裝(WLP)、直通矽穿孔(TSV,提供此功能性工具之範例係Sabre-3DTM)、及無電鍍沉積(ELD)。 This disclosure is provided in the context of a method and apparatus for electroplating a substrate in a vacuum state. The vacuum-assisted method and related hardware disclosed herein allow rapid immersion into the substrate (eg, less than about 50 ms, less than 35 ms, about less than 20 ms, less than about 10 ms, or 5-15 ms) without forming harmful bubbles. In addition, some of the disclosed vacuum embodiments allow the substrate to be immersed during the immersion without applying an electrical bias to the substrate. In addition, some embodiments allow the substrate to be immersed in a slightly inclined or non-inclined manner so that all portions of the substrate are in contact with the plating solution substantially simultaneously. Many different embodiments may be useful in a variety of applications, including applications that include mosaic interconnects (examples of providing this functional tool are Sabre TM NExT TM , Sabre TM Extreme TM from Lam Research Corporation of Fremont, California, Sabre (TM) Excel (TM) , Sabre (TM) Max (TM ), etc.), wafer level package (WLP), through silicon via (TSV, examples of this functional tool are Sabre-3D (TM )), and electroless deposition (ELD).

本文的實施例藉由在浸入前或浸入期間消除基板/流體界面處的氣體,且藉由仔細地控制晶圓的進入輪廓(entry profile)(例如:垂直進入速度、傾斜角度、及轉動速度)而大幅度地降低氣泡的形成。如此允許基板較快地進入電鍍液中,且因此達到整個基板的電鍍面上較高品質及更均勻的電鍍/塡充。此外,電鍍環境中之氧的脫去在晶圓表面處降低金屬侵蝕的有害效應。 Embodiments herein eliminate gas at the substrate / fluid interface before or during immersion, and by carefully controlling the entry profile of the wafer (e.g., vertical entry speed, tilt angle, and rotation speed) And greatly reduce the formation of bubbles. This allows the substrate to enter the plating solution faster, and thus achieves higher quality and more uniform plating / filling on the plating surface of the entire substrate. In addition, the removal of oxygen in the plating environment reduces the deleterious effects of metal erosion at the wafer surface.

如上述,氣泡形成導致顯著的電鍍缺陷,該缺陷包含在氣泡出現位置處之減少的鍍層或無鍍層。當沿著垂直浸入軌道將晶圓以水平或大致水平的定向(平行於以電解液表面所界定的平面)浸入時,氣泡非常可能形成。圖1顯示發生在電鍍系統101中之典型氣泡截留情境的橫剖面圖。將由晶圓夾持具104所固持的水平方向晶圓103沿著垂直的Z軸朝著液槽105中的電解液107下降,且最後浸入於電解液107中。水平方向晶圓103的垂直浸入產生截留於晶圓103底面(鍍層面)的氣泡109。在一倒置(面向下)的配置中,浮力往往會將氣泡向上拉起而至晶圓的活性面上。因電鍍槽不具有圍繞晶圓邊緣驅動氣泡的內在機制,而晶圓周圍係唯一離開晶圓表面之路經,故將這些氣泡從晶圓表面移除係相當困難。此外,部份實施例係在晶圓邊緣處使用一種夾持夾具(如:圖1的晶圓夾持具104),該夾持夾具於浸入期間支撐晶圓,該夾持具因具有會阻礙氣泡離開晶圓表 面的垂直於晶圓表面之表面,故更加惡化氣泡的截留狀態。一般而言,晶圓103繞著穿過其中心且垂直於其鍍層面的軸轉動。如此的轉動透過離心力促使氣泡離開,但許多的較小氣泡對晶圓的附著係相當頑強,且無法藉由轉動移除。 As described above, bubble formation results in significant plating defects that include reduced plating or non-plating at the location where the bubbles occur. When the wafer is immersed in a horizontal or substantially horizontal orientation (parallel to a plane defined by the surface of the electrolyte) along a vertical immersion track, bubbles are likely to form. FIG. 1 shows a cross-sectional view of a typical bubble trapping scenario that occurs in a plating system 101. The horizontal wafer 103 held by the wafer holder 104 is lowered toward the electrolytic solution 107 in the liquid tank 105 along the vertical Z axis, and is finally immersed in the electrolytic solution 107. The vertical immersion of the wafer 103 in the horizontal direction generates bubbles 109 trapped on the bottom surface (plating layer) of the wafer 103. In an inverted (downward facing) configuration, buoyancy tends to pull the bubbles up to the active side of the wafer. Because the plating tank does not have an internal mechanism to drive bubbles around the wafer edge, and the only way around the wafer is to leave the wafer surface, it is quite difficult to remove these bubbles from the wafer surface. In addition, some embodiments use a clamping fixture (such as wafer holder 104 of FIG. 1) at the edge of the wafer, which supports the wafer during immersion. Bubble leaves wafer table The surface is perpendicular to the surface of the wafer surface, so the trapped state of the bubbles is worsened. Generally speaking, the wafer 103 rotates around an axis passing through its center and perpendicular to its plating layer. Such rotation causes the bubbles to leave through centrifugal force, but the attachment of many smaller bubbles to the wafer is quite tenacious and cannot be removed by rotation.

有角度浸入Angled immersion

處理數個上述問題的方法係使用有角度的晶圓浸入。在此方法中,將晶圓相對於電解液表面所界定之平面傾斜,且同時將晶圓沿著垂直路徑(沿著Z軸)引進電解液中。圖2描繪如此的浸入情境112,其中將晶圓103沿著Z軸浸入電解液107中,且同時也將晶圓相對於電解液107之表面以例如角度θ傾斜。因使用有角度的浸入,原本可能截留於晶圓表面上的氣泡會經由浮力的幫助及被由前浸入緣朝著後浸入緣前進之波推進,且因此因為晶圓係傾斜狀態故氣泡不會再被截留而可以脫離到大氣。此外,也會建立單一濕鋒,因此不會有集合濕鋒的問題存在。在美國專利案第6,551,487號,及於西元2012年4月30日申請之美國專利申請案第13/460,423,號,專利名稱為”WETTING WAVE FRONT CONTROL FOR REDUCED AIR ENTRAPMENT DURING WAFER ENTRY INTO ELECTROPLATING BATH”中,更詳細地描述「有角度的晶圓浸入」,且其內容於此藉由參照全部納入作為本案揭示內容的一部分。轉動速度可與有角度的浸入相配,以降低氣泡的形成。如上述,晶圓夾持具可使氣泡的截留加劇。 One approach to addressing several of these issues is the use of angled wafer immersion. In this method, the wafer is tilted relative to a plane defined by the surface of the electrolyte, and at the same time the wafer is introduced into the electrolyte along a vertical path (along the Z axis). FIG. 2 depicts an immersion scenario 112 in which a wafer 103 is immersed into the electrolytic solution 107 along the Z axis, and at the same time, the wafer is also inclined with respect to the surface of the electrolytic solution 107 at an angle θ, for example. Due to the use of angled immersion, bubbles that might have been trapped on the surface of the wafer will be propelled by the help of buoyancy and the wave that advances from the front immersion edge toward the rear immersion edge, and therefore the bubbles will not It can be trapped again to escape from the atmosphere. In addition, a single wet front will also be established, so there will be no problem with collective wet fronts. In US Patent No. 6,551,487, and US Patent Application No. 13 / 460,423, filed on April 30, 2012, the patent name is "WETTING WAVE FRONT CONTROL FOR REDUCED AIR ENTRAPMENT DURING WAFER ENTRY INTO ELECTROPLATING BATH" , "Angle Wafer Immersion" is described in more detail, and its content is hereby incorporated by reference as part of the disclosure of this case. The rotation speed can be matched with the angled immersion to reduce the formation of air bubbles. As described above, the wafer holder can increase the trapping of bubbles.

雖然有角度的浸入於大氣壓下操作之電鍍槽中顯著地降低氣泡形成,但造成了一種難題。基板的電鍍面在一段時間期間接觸溶液,而該電鍍面的若干區域在該電鍍面的其他區域之前接觸該溶液。因此,有些區域可建立電鍍添加劑(促進劑、抑制劑和/或均勻劑)之完全發展的濃度與吸附分布,然而其他區域卻剛與添加劑接觸。當電鍍開始時,具有完全發展之添加劑分布的這些區域比不帶有完全發展之添加劑分布的其他區域電鍍進行較佳。此外,當基板於進入期間被施加電偏壓時,最初就與電鍍液接觸之基板區域在較晚接觸電鍍液的基板區域電鍍之前很久就開始電鍍。因此,在電鍍的過程中,基板前緣部份會比後緣部份更大程度的電鍍。 在大氣壓的電鍍槽中,有角度浸入所需的時間量可占電鍍期間填充凹陷特徵部所需的總時間相當顯著的比例,特別是相對較小的特徵部。所產生的不均勻度係非所期望的。 Although angled immersion in a plating bath operating at atmospheric pressure significantly reduces bubble formation, it poses a problem. The plated surface of the substrate is in contact with the solution over a period of time, and several areas of the plated surface are in contact with the solution before other areas of the plated surface. As a result, some areas can establish fully developed concentrations and adsorption profiles of plating additives (accelerators, inhibitors, and / or homogenizers), while other areas have just come into contact with the additives. When electroplating begins, these areas with the fully developed additive distribution perform better than other areas without the fully developed additive distribution. In addition, when the substrate is electrically biased during entry, the area of the substrate that was initially in contact with the plating solution begins plating long before the area of the substrate that is later in contact with the plating solution is electroplated. Therefore, during the electroplating process, the leading edge portion of the substrate is electroplated to a greater extent than the trailing edge portion. In an atmospheric pressure electroplating bath, the amount of time required for angled immersion can be a fairly significant proportion of the total time required to fill the recessed features during electroplating, especially relatively small features. The resulting unevenness is undesirable.

在真空槽的若干實施方式中,於浸入期間的晶圓角度可與水平面間約小於3度。在部份實施例中,該角度係約2度以下,在一些實施例中,角度係約1度以下。 In some embodiments of the vacuum chamber, the wafer angle during the immersion may be less than about 3 degrees from the horizontal plane. In some embodiments, the angle is less than about 2 degrees, and in some embodiments, the angle is less than about 1 degree.

在某些實施例中,晶圓傾斜的角度在浸入協議(immersion protocol)期間會改變。此可以造成氣泡截留的降低。在這些實施例中,可控制「擺動速度」,即晶圓由θ傾斜至水平的速度(反之亦然),以不製造出紊流而因此造成不期望的空氣截留。然而,如同高產量環境中的諸多現象,會存在著效能和產量之間的取捨。特別是,如果擺動速度過慢,產量會變差,而如果擺動速度過快,可能會造成紊流。在一實施例中,晶圓的擺動速度約介於每秒0.25-10度之間。在另一實施例中,擺動速度約介於每秒0.25-1.5度之間。在另一實施例中,擺動速度約介於每秒0.5-1度之間。在又一實施例中,擺動速度約係每秒1度以上。為降低氣泡的截留,有效傾斜角度之控制可以獨立於Z-速度變化而使用,或與Z-速度變化結合而使用。在一些實施例中,當晶圓以第一角度傾斜於水平面時,晶圓前緣接觸電鍍液;接著增加晶圓的傾角至第二角度,接著降低至例如0度。在其他實施例中,當晶圓以第一角度傾斜於水平面時,晶圓前緣會接觸到電鍍液,接著在最終將傾斜角度降低成0度之前,將傾斜角度降低成較小的傾斜角度。 In some embodiments, the angle at which the wafer is tilted changes during the immersion protocol. This can cause a reduction in bubble entrapment. In these embodiments, the "swing speed" may be controlled, that is, the speed at which the wafer is tilted from θ to horizontal (and vice versa) so as not to create turbulence and thus cause unwanted air entrapment. However, like many phenomena in a high-yield environment, there are trade-offs between efficiency and yield. In particular, if the swing speed is too slow, the yield will deteriorate, and if the swing speed is too fast, turbulence may be caused. In one embodiment, the wafer swing speed is between about 0.25-10 degrees per second. In another embodiment, the swing speed is between about 0.25-1.5 degrees per second. In another embodiment, the swing speed is between about 0.5-1 degrees per second. In another embodiment, the swing speed is about 1 degree or more per second. In order to reduce the entrapment of bubbles, the control of the effective tilt angle can be used independently or in combination with the Z-velocity change. In some embodiments, when the wafer is inclined to a horizontal plane at a first angle, the leading edge of the wafer contacts the plating solution; then the tilt angle of the wafer is increased to a second angle, and then lowered to, for example, 0 degrees. In other embodiments, when the wafer is tilted to the horizontal plane at a first angle, the leading edge of the wafer will contact the plating solution, and then the tilt angle is reduced to a smaller tilt angle before the tilt angle is finally reduced to 0 degrees. .

在某些實施方式中,傾斜角度會建立在浸入之前,且於浸入製程期間保持不變。 In some embodiments, the angle of inclination is established before immersion and remains unchanged during the immersion process.

晶圓也可於浸入期間轉動。如同傾斜一樣,晶圓的轉動可沿著於晶圓對電解液的垂直軌道於任何時間點實施,只要在進入電解液時晶圓為轉動狀態。在一實施例中,對浸入晶圓之步驟而言,200mm直徑之晶圓的轉動速度約介於10-180RPM間,300mm直徑之晶圓的轉動速度約介於5-180RPM間,及450mm直徑之晶圓的轉動速度約介於5-150RPM間。不同的轉度速度可用於浸入步驟(第一轉動速度)與電鍍步驟(第二轉度速度),以及電鍍後步驟(另外的電鍍速度)。例如:晶圓可以以特別的速度轉動, 以便自槽中移除晶圓後,及例如當由經電鍍的晶圓清洗電解液時,而由晶圓回收電解液。在美國專利案第6,551,487中更詳細地描述這些轉動的細節,及用於執行有角度浸入方法之例示性硬體,且其內容於此藉由參照納入本案揭示內容。 The wafer can also be rotated during immersion. Like tilting, the wafer can be rotated at any time along the vertical track of the wafer to the electrolyte, as long as the wafer is rotated when entering the electrolyte. In an embodiment, for the step of immersing the wafer, the rotation speed of a 200mm diameter wafer is between about 10-180RPM, the rotation speed of a 300mm diameter wafer is between about 5-180RPM, and a 450mm diameter The rotation speed of the wafer is between about 5-150 RPM. Different rotation speeds can be used for the immersion step (first rotation speed) and the plating step (second rotation speed), and the post-plating step (additional plating speed). For example: wafers can rotate at special speeds, This allows the electrolyte to be recovered from the wafer after the wafer has been removed from the tank and, for example, when the electrolyte is cleaned from a plated wafer. The details of these rotations, as well as exemplary hardware for performing an angled immersion method, are described in more detail in U.S. Patent No. 6,551,487, and the contents of which are incorporated herein by reference.

在浸入期間,晶圓的垂直進入速度可係不變或可變。可將垂直速度改變,以提供晶圓的最佳濕分布。例如:在某些實施方式中,在至少一部份的浸入製程期間,將晶圓加速及/或減速,以控制電解液的濕波鋒。 During immersion, the vertical entry speed of the wafer may be constant or variable. The vertical speed can be changed to provide the best wet distribution of the wafer. For example, in some embodiments, during at least a part of the immersion process, the wafer is accelerated and / or decelerated to control the wet wave front of the electrolyte.

在一些實施例中,可以使用合適的機械控制系統同時地調整晶圓的轉動、垂直移動、及傾斜其中二或三者。調整機制可以運作於位在電鍍槽之真空元件外部的一部份的晶圓夾持具。當晶圓移動、傾斜、及/或轉動時,晶圓夾持具主軸或其他轉動/傾斜/移動元件可經由波紋管、真空軸承及/或維持真空狀態之其他合適介面而與電鍍槽上之真空密封壁或蓋囓合。 In some embodiments, two or three of the wafer's rotation, vertical movement, and tilt can be adjusted simultaneously using a suitable mechanical control system. The adjustment mechanism can operate on a portion of the wafer holder located outside the vacuum element of the plating bath. When the wafer is moved, tilted, and / or rotated, the wafer holder spindle or other rotating / tilting / moving components can be connected to the plating tank via a bellows, vacuum bearing, and / or other suitable interface to maintain vacuum. The vacuum seal wall or cover engages.

真空下的浸入Immersion under vacuum

於本文揭露之不同的實施例中,將晶圓於真空下浸入。在習知的電鍍技術下,會存在(1)快速地浸入晶圓;與(2)降低空氣截留;二者間的取捨。然而,真空電鍍槽的使用允許快速地浸入而不帶有氣泡的形成,這是因為在晶圓浸入時實際上沒有空氣被截留在晶圓下方。因為在真空下氣泡顯著地較不可能在基板表面上形成,故本文的實施例允許較快的浸入時間,該浸入時間大約為用於添加劑吸附作用(約100ms)及成核作用(約50ms)的時間範圍數量級,且在許多實例中較該時間範圍為快。浸入的總時間可以係相當重要,舉例來說,這是因為在浸入期間,一部份的晶圓會接觸電解液,而另一部份沒有。根據電鍍條件、及晶種層(seed layer)厚度等,將晶圓盡可能的快速浸入通常係相當重要。快速的浸入時間將在整個基板上產生較均勻鍍層與較均勻的特徵部填充物。 In different embodiments disclosed herein, the wafer is immersed under vacuum. Under the conventional electroplating technology, there are (1) rapid immersion into the wafer; and (2) reduced air trapping; a trade-off between the two. However, the use of a vacuum plating bath allows rapid immersion without the formation of air bubbles, because virtually no air is trapped under the wafer during wafer immersion. Because bubbles are significantly less likely to form on the substrate surface under vacuum, the examples herein allow for a faster immersion time, which is approximately used for additive adsorption (about 100 ms) and nucleation (about 50 ms) Is in the order of magnitude, and in many instances is faster than that time range. The total immersion time can be quite important. For example, this is because during the immersion, part of the wafer will come into contact with the electrolyte, while the other part will not. Depending on the plating conditions and the thickness of the seed layer, it is often important to immerse the wafer as quickly as possible. The fast immersion time will result in a more uniform plating layer and a more uniform filling of features on the entire substrate.

當使用大氣壓之電鍍槽與有角度的進入時,300mm晶圓的浸入時間可以約小於150ms。450mm晶圓的浸入時間可以約小於225ms。當在其中電解液上方的孔隙空間具有低壓(如:大氣壓以下的壓力)的一槽中進行浸入步驟時,氣泡形成的風險會大幅度地下降,特別是在高速進入速度下。在一些情形中,當使用次大氣壓電鍍槽時,浸入時間不超過填充 電鍍基板上之最小特徵部之總時間的約10%(或不超過填充電鍍基板上之中尺寸特徵部之總時間的約10%)。如上述,在一些實施例中,浸入時間可以約少於50ms,約少於35ms,約少於20ms,約少於10ms,或約介於5-15ms之間。這些速度對直徑300mm之晶圓及/或直徑450mm之晶圓可以係合適的。在部份情形中,基板的垂直進入速度約介於200-400mm/s之間。本文描述之原理容許比使用在習知方法中之典型進入速度還快的垂直進入速度。 When using an atmospheric pressure plating bath and angled entry, the immersion time of a 300mm wafer can be less than about 150ms. The 450mm wafer immersion time can be less than about 225ms. When the immersion step is performed in a tank in which the pore space above the electrolyte has a low pressure (e.g., a pressure below atmospheric pressure), the risk of bubble formation is greatly reduced, especially at high entry speeds. In some cases, when sub-atmospheric plating baths are used, the immersion time does not exceed filling About 10% of the total time of the smallest feature on the plated substrate (or no more than about 10% of the total time of filling the size feature on the plated substrate). As mentioned above, in some embodiments, the immersion time may be less than about 50 ms, less than about 35 ms, less than about 20 ms, less than about 10 ms, or about 5-15 ms. These speeds may be suitable for wafers with a diameter of 300 mm and / or wafers with a diameter of 450 mm. In some cases, the vertical entry speed of the substrate is between 200-400mm / s. The principles described herein allow faster vertical entry speeds than typical entry speeds used in conventional methods.

在不同的實施方式中,將帶有電鍍液及晶圓(或其他基板)的電鍍槽在真空下(例如:像是約100Torr以下、約介於30-100Torr之間、約介於40-80Torr之間、或約介於30-50Torr之間的次大氣壓)操作。至少在晶圓浸入期間,壓力應維持在次大氣壓的位準下。在一些實施例中,在電鍍製程的開始部分之期間(如:在電鍍時間至少一開始的約0.5%或1%期間,在電鍍一開始約10ms或20ms的期間,或當電鍍最初的約0.5Å或1Å的金屬時),壓力也會維持在次大氣壓的位準下。在部份實施方式中,壓力會維持在次大氣壓的位準下,一直到電鍍停止為止。 In different embodiments, the plating bath with the plating solution and the wafer (or other substrate) is under vacuum (for example, about 100 Torr or less, about 30-100 Torr, or about 40-80 Torr) (Or sub-atmospheric pressure between 30-50 Torr). The pressure should be maintained at a sub-atmospheric level at least during wafer immersion. In some embodiments, during the beginning of the plating process (eg, during about 0.5% or 1% of the beginning of the plating time, during a period of about 10ms or 20ms at the beginning of the plating, or when the plating is initially about 0.5% Å or 1Å metal), the pressure is also maintained at the sub-atmospheric level. In some embodiments, the pressure is maintained at a sub-atmospheric level until the plating stops.

為了更換晶圓、更新電鍍液等,將電鍍槽週期性地曝露至較高壓力(如:環境壓力)可能是必須的。在一些實施例中,全部的電鍍製程在次大氣壓下進行,且僅當無晶圓進行電鍍時才將電鍍槽曝露至環境壓力。如果使用負載鎖室(將參照圖10於以下更詳細地討論),則當以未電鍍的晶圓更換已電鍍的晶圓時,可能能夠在不破壞真空的情況下操作電鍍槽。 In order to replace the wafer, refresh the plating solution, etc., it may be necessary to periodically expose the plating bath to a higher pressure (such as environmental pressure). In some embodiments, the entire plating process is performed at sub-atmospheric pressure, and the plating bath is exposed to ambient pressure only when no wafers are being plated. If a load lock chamber is used (to be discussed in more detail below with reference to FIG. 10), when replacing a plated wafer with an unplated wafer, it may be possible to operate the plating bath without breaking the vacuum.

通常,電鍍槽會與電鍍系統的其他元件流體連通(fluidic communication)。此等其他的元件包含:電鍍液的貯槽、組成電鍍液的溶液來源、不同的感應器、過濾器、及在部份的實施方式中用於從電鍍液及/或預濕溶液中移除溶解氣體的脫氣器。當電鍍槽在次大氣壓下運作時,與電鍍槽直接流體接觸的其他系統元件應同樣地會於次大氣壓下運作。圖中所示之不同實施例提供諸多機構,該等機構用以在電鍍期間於與電鍍槽流體連通之全部的元件維持真空。其他的元件在電鍍期間可仍然暴露至大氣壓。這些非真空的元件僅在特定的期間會與真空元件界接,特別是當電鍍槽本身無曝露至真空時。 Generally, the plating bath is in fluidic communication with other components of the plating system. These other components include: a storage tank for the plating solution, a source of the solution that makes up the plating solution, different sensors, filters, and in some embodiments for removing the dissolution from the plating solution and / or the pre-wet solution Degasser for gas. When the plating tank is operated at sub-atmospheric pressure, other system components in direct fluid contact with the plating tank should also be operated at sub-atmospheric pressure. The different embodiments shown in the figures provide mechanisms for maintaining a vacuum during electroplating of all components in fluid communication with the plating bath. Other components may still be exposed to atmospheric pressure during plating. These non-vacuum components will only interface with vacuum components during certain periods, especially when the plating bath itself is not exposed to vacuum.

溶解氣體之控制Control of dissolved gas

在不同實施例中,藉由使用在真空下操作的脫氣器實質上移除電解液中之全部的溶解氣體,控制電解液中之一種以上氣體的濃度。倘若電鍍液在進入真空電鍍環境之前並無加以脫氣,溶液則容易冒泡,冒泡為一種對生產高品質鍍層無益的狀態。在一些實施例中,藉由以特定應用之預定濃度將氣體選擇性地注入回脫氣的電解液中而進一步地控制電鍍液中之溶解氣體的濃度。應以相當低的濃度添加該一種以上氣體,以避免電鍍液於真空下冒泡。在某些實施例中,以每百萬分之個位數或十億分之幾大小的濃度(如:約10ppm以下,或約1ppm以下)添加氧。分子氧被認為在有機電鍍添加劑(被稱為促進劑)的活性中發揮一定的作用。在一些實施例中,將電解液中之全部或部份氣體的濃度降低到低ppb的範圍或更低的大小(如:超出使用現今工具偵測的大小)。此可藉由將電解液通過在真空下操作的脫氣器來完成。在於西元2010年1月8日申請的美國專利申請案第12/684,787號及12/684,792號中描述脫氣器和真空技術,且其內容先前藉由參照全體納入本案揭示內容。 In various embodiments, the concentration of one or more gases in the electrolyte is controlled by using a degasser operating under vacuum to substantially remove all of the dissolved gas in the electrolyte. If the plating solution is not degassed before entering the vacuum plating environment, the solution will easily bubbling, and bubbling is a state that is not beneficial to the production of high-quality plating. In some embodiments, the concentration of the dissolved gas in the plating solution is further controlled by selectively injecting the gas back into the degassed electrolyte at a predetermined concentration for a particular application. More than one of these gases should be added at a relatively low concentration to avoid bubbling of the plating solution under vacuum. In some embodiments, oxygen is added at a concentration of single digits per billion or parts per billion (eg, below about 10 ppm, or below about 1 ppm). Molecular oxygen is thought to play a role in the activity of organic plating additives (called promoters). In some embodiments, the concentration of all or part of the gas in the electrolyte is reduced to a range of low ppb or lower (eg, exceeding the size detected using current tools). This can be done by passing the electrolyte through a degasser operated under vacuum. Degasser and vacuum technology are described in US Patent Application Nos. 12 / 684,787 and 12 / 684,792, filed on January 8, 2010, and the contents of which were previously incorporated by reference into the disclosure of this case by reference.

在某些實施例中,吾人期望具有與陽極(陽極電解液)與陰極(陰極電解液)接觸的不同電鍍液。陽極電解液與陰極電解液可具有不同濃度的相同物種(如:不同濃度的銅離子),及/或陰極電解液與陽極電解液可具有存在溶液中的不同物種(如:可存在陰極電解液且不存在陽極電解液中的有機電鍍添加劑,例如促進劑)。因此,一些實施例利用部份或完全分隔的流體迴路於陰極電解液與陽極電解液。陰極電解液與陽極電解液以此方式可以獨立地最佳化。 In some embodiments, we would like to have different plating solutions in contact with the anode (anolyte) and the cathode (calyte). The anolyte and catholyte may have the same species at different concentrations (eg, copper ions of different concentrations), and / or the catholyte and anolyte may have different species in the solution (eg, catholyte may be present) And there are no organic plating additives in the anolyte, such as accelerators). Therefore, some embodiments utilize a partially or completely separated fluid circuit between the catholyte and the anolyte. Catholyte and anolyte can be independently optimized in this way.

至少部份分隔的流體迴路之一個優點係當氧輸送至電鍍槽中時,氧的濃度可在陰極電解液與陽極電解液中維持不同的位準。在一些實施方式中,吾人期望陰極電解液中的氧濃度係0ppm,或盡可能接近0ppm(或0ppb),且同時將陽極電解液中的氧濃度維持在低、非0(如:0.2-2ppm)的位準。因為氧的存在於浸入期間增加晶種層溶解/氧化的程度與可能性,故在部份情形中具有存在陰極電解液中之零氧的情形係較佳。具有存在陽極電解液中之少量氧的情形可係期望的。 One advantage of the at least partially separated fluid circuit is that the oxygen concentration can be maintained at different levels in the catholyte and anolyte when the oxygen is delivered to the plating bath. In some embodiments, we expect the oxygen concentration in the catholyte to be 0 ppm, or as close to 0 ppm (or 0 ppb) as possible, and at the same time to maintain the oxygen concentration in the anolyte at a low, non-zero (eg, 0.2-2 ppm) ). Because the presence of oxygen increases the degree and possibility of dissolution / oxidation of the seed layer during immersion, it is better to have zero oxygen in the catholyte in some cases. It may be desirable to have a small amount of oxygen in the anolyte.

具有至少部份分隔流體迴路的相關優點為消除與陰極電解液連接的氧伺服裝置(servo)的需求。在習知的電鍍中,可使用二個氧伺服裝置:一個為控制陰極電解液中之氧量的伺服裝置,及一個為控制陽極電解液中之氧量的伺服裝置。在本文的實施方式中,因為,脫氣器/真空槽可以降低陰極電解液中之氧位準到約零ppm,故沒有控制陰極電解液中之氧量的伺服裝置的需求。 A related advantage with having at least partially separated fluid circuits is to eliminate the need for an oxygen servo connected to the catholyte. In conventional electroplating, two oxygen servo devices can be used: one is a servo device that controls the amount of oxygen in the catholyte, and one is a servo device that controls the amount of oxygen in the anolyte. In the embodiment herein, because the deaerator / vacuum tank can reduce the oxygen level in the catholyte to about zero ppm, there is no need for a servo device that controls the amount of oxygen in the catholyte.

在一些實施例中,吾人期望具有陰極電解液中之小的、仔細控制的氧量。此可藉由例如以一個預定的濃度將氧氣選擇性地注入回脫氣的陰極電解液中而加以達成。這樣的情形可藉由在包含一次大氣壓電鍍槽的流體迴路中將氧注入器設置於脫氣器的下游而達成。不侷限於任何特定的理論或動作機制,吾人相信少量的氧可促進某些添加劑轉換成其有用的形式(如:巰基丙烷磺酸(mercaptopropane sulfonic acid,MPS)轉變成二巰丙磺酸(dimercaptopropane sulfonic acid,(SPS))。在西元2011年9月9日申請的美國專利申請案第13/229,615號,專利名稱為”By-Product Mitigation in Through-Silicon-Via Plating”,及在西元2011年12月13日申請的美國專利申請案第13/324,890號,專利名稱為”Configuration and Method of Operation of an Electrodeposition System for Improved Process Stability and Performance”,進一步地討論溶解氧之控制與其對添加劑效能的關聯,上述文獻藉由參照全體納入本案揭示內容。 In some embodiments, we would like to have a small, carefully controlled amount of oxygen in the catholyte. This can be achieved, for example, by the selective injection of oxygen back into the degassed catholyte at a predetermined concentration. Such a situation can be achieved by placing an oxygen injector downstream of the degasser in a fluid circuit including a primary atmospheric plating tank. Not limited to any particular theory or action mechanism, I believe that a small amount of oxygen can promote the conversion of certain additives into their useful forms (such as: mercaptopropane sulfonic acid (MPS) into dimercaptopropane sulfonic acid (SPS)). US Patent Application No. 13 / 229,615 filed on September 9, 2011, with the patent name "By-Product Mitigation in Through-Silicon-Via Plating", and in 2011 U.S. Patent Application No. 13 / 324,890, filed on December 13, entitled "Configuration and Method of Operation of an Electrodeposition System for Improved Process Stability and Performance", further discusses the relationship between the control of dissolved oxygen and its effectiveness on additives The above documents are incorporated into the disclosure of this case by reference to the entirety.

本文的實施例也允許於流體迴路中之不同位置處的不同的溶解氣體(如:氧)濃度。在電解液中之氧濃度可在例如電鍍液容納槽及電鍍槽之間變化。脫氣器、真空電鍍槽、電解液貯槽及其他元件(閥門、真空泵等)以組合的方式運作,以在裝置的不同部份提供期望的氣體含量。例如:圖3將描繪允許如此控制的裝置。 The embodiments herein also allow different dissolved gas (eg, oxygen) concentrations at different locations in the fluid circuit. The oxygen concentration in the electrolytic solution can be changed between, for example, a plating solution storage tank and a plating tank. The degasser, vacuum plating tank, electrolyte storage tank, and other components (valves, vacuum pumps, etc.) operate in a combined manner to provide the desired gas content in different parts of the device. For example: Figure 3 will depict a device that allows such control.

圖3顯示能夠控制電鍍液之溶解氣體含量的真空電鍍槽設備之實作。在此實施例中,真空電鍍槽301包含一壓力感應器318,且於再循環迴路302中,真空電鍍槽301係與真空電鍍液貯槽304、泵306、脫氣器308連續性的流體連通。該脫氣器可以與真空泵310連接。真空電鍍液貯槽304係維持於真空下,且進一步地與氣體控制迴路312連接。氣體控制迴路312可包含溶解氣體感應器314、控制器350、及氣體注入單元355。舉例 來說,控制器350可為伺服裝置控制器。如上述,在部份實施方式中,吾人期望存在於電鍍液中之一個以上氣體的特定位準。氣體控制迴路312允許溶解氣體的量及種類依所期望地操控。首先,溶解氣體感應器314感應存在電鍍液中的溶解氣體量。接著,控制器350使用溶解氣體測量結果來決定是否應注入更多的氣體至電鍍液中。倘若一個以上溶解氣體之位準過低,控制器350會指示氣體注入單元355去注入期望的氣體於電鍍液中。控制迴路312使電鍍液中之溶解氣體量會隨著時間而被密切地監控及控制。因為一旦全部或接近全部的溶解氣體被移除,達成所期望的氣體含量則相對容易,故氣體控制迴路312在這些實施方式中係特別有利的。脫氣電鍍液提供一種「白板狀態(blank slate)」,該白板狀態易於藉由以所期望的氣體濃度注入所期望的氣體中而加以定制。 Figure 3 shows the implementation of a vacuum plating tank equipment capable of controlling the dissolved gas content of the plating solution. In this embodiment, the vacuum plating tank 301 includes a pressure sensor 318, and in the recirculation circuit 302, the vacuum plating tank 301 is in continuous fluid communication with the vacuum plating solution storage tank 304, the pump 306, and the deaerator 308. This degasser may be connected to the vacuum pump 310. The vacuum plating solution storage tank 304 is maintained under vacuum, and is further connected to the gas control circuit 312. The gas control circuit 312 may include a dissolved gas sensor 314, a controller 350, and a gas injection unit 355. For example In other words, the controller 350 may be a servo device controller. As mentioned above, in some embodiments, we expect a specific level of more than one gas in the plating solution. The gas control circuit 312 allows the amount and kind of dissolved gas to be manipulated as desired. First, the dissolved gas sensor 314 senses the amount of dissolved gas present in the plating solution. Next, the controller 350 uses the measurement results of the dissolved gas to determine whether more gas should be injected into the plating solution. If the level of more than one dissolved gas is too low, the controller 350 will instruct the gas injection unit 355 to inject the desired gas into the plating solution. The control circuit 312 enables the amount of dissolved gas in the plating solution to be closely monitored and controlled over time. Because once or all of the dissolved gas is removed, it is relatively easy to achieve the desired gas content, the gas control loop 312 is particularly advantageous in these embodiments. The degassing plating solution provides a "blank slate", which is easily customized by injecting it into a desired gas at a desired gas concentration.

圖3的真空電鍍槽301顯示在陰極/晶圓322及陽極323間無分隔結構。當使用無分隔的結構時,所顯示的流體通道相當於電解液的流體通道。因為二種流體係相同而其中使用無分隔結構,故不具有陰極與陽極的分隔開的通道。然而,當將一膜或其他分隔結構設置於晶圓322與陽極323之間,分隔的流體迴路則可用於陰極電解液及陽極電解液。除非另有說明,本文揭露的流體迴路可涉及整體的電解液流體迴路、陰極電解液流體迴路、或陽極電解液流體迴路。例如:倘若圖3之電鍍槽301包含晶圓322與陽極323之間的分隔膜,於是所顯示流體通道可對應陰極電解液的流體通道。儘管在部份實施方式中陽極電解液之流體通道可較為簡單,但也可對陽極電解液設置相似或相同的流體通道。 The vacuum plating tank 301 in FIG. 3 shows that there is no partition structure between the cathode / wafer 322 and the anode 323. When an undivided structure is used, the fluid channels shown correspond to the fluid channels of the electrolyte. Because the two flow systems are the same and a non-separated structure is used therein, there are no separate channels for the cathode and anode. However, when a membrane or other separation structure is disposed between the wafer 322 and the anode 323, the separated fluid circuit can be used for catholyte and anolyte. Unless otherwise stated, the fluid circuits disclosed herein may relate to an integral electrolyte fluid circuit, a catholyte fluid circuit, or an anolyte fluid circuit. For example, if the plating tank 301 in FIG. 3 includes a separation film between the wafer 322 and the anode 323, the fluid channel shown may correspond to the catholyte fluid channel. Although the fluid channel of the anolyte may be relatively simple in some embodiments, similar or identical fluid channels may be provided for the anolyte.

在一個實施例中,以相當低的位準提供氧於次大氣電鍍槽中,且以略較高的濃度提供氧於貯槽或次大氣電鍍槽外之系統的其他部份。在此情形中,電鍍添加劑可在貯槽中「重整(reconditioned)」。基於貯槽的重整允許電鍍槽於不可能發生如此回復情形的氧濃度位準下運作,藉此最小化晶種層的溶解。 In one embodiment, oxygen is supplied to the subatmospheric plating tank at a relatively low level and oxygen is provided to the rest of the system outside the storage tank or subatmospheric plating tank at a slightly higher concentration. In this case, the plating additive can be "reconditioned" in the storage tank. Tank-based reforming allows the plating tank to operate at an oxygen concentration level where such recovery is unlikely to occur, thereby minimizing dissolution of the seed layer.

進入期間對基板的電力Power to the substrate during entry

因晶圓相當快速的進入電解液中,故可顯著地降低或消除定電位(potentiostatic)晶圓進入的需求。在諸多習知的電鍍技術中,控制器 或其他電源供應器在浸入期間對晶圓提供電能以幫助達成均勻的鍍層。譬如:在浸入前及浸入期間,控制器可對晶圓施加恆定的陰極電位或電流,以保護晶種層免於溶解。此技術被稱作為定電位晶圓進入,且在於西元2000年11月16日申請的美國專利案第6,946,065,號更進一步地對此加以討論,且其內容於此藉由參照整體納入揭示內容。定電位進入的方法需要謹慎地控制對晶圓施加的電流密度,以達成均勻的鍍層。在習知的定電位進入例子中,由於當將基板逐漸地浸入時改變的浸濕晶圓區域,故電流密度的控制係特別困難。然而,因浸入作用發生相當快速使得晶種層在浸入期間不會溶解,故本案所揭露的實施例顯著地降低或消除定電位進入的需求。因此,部份的實施例中,在浸入期間,不會對晶圓施加陰極或陽極的偏壓。因電鍍不會在浸入期間發生,故這些實施例係有利的。因此,在電鍍開始之前,有機電鍍添加劑分布可以在基板表面的全部區域中完全地建立。因為基板表面的一個區域在該基板的任何其他區域電鍍之前並無開始電鍍,故此快速的進入也係有利。此外,該電鍍控制系統較不敏感,此意味著該電鍍系統不需要如此謹慎地控制電流密度、及當使用定電位晶圓進入時的關鍵其他因子。此外,這些實施例可使用較不複雜及較不昂貴的控制器。 Because wafers enter the electrolyte fairly quickly, the need for potentiostatic wafer entry can be significantly reduced or eliminated. Among many known electroplating technologies, the controller Or other power supplies provide power to the wafer during immersion to help achieve a uniform coating. For example, before and during the immersion, the controller can apply a constant cathode potential or current to the wafer to protect the seed layer from dissolution. This technology is referred to as a potentiostatic wafer entry, and is discussed further in US Patent No. 6,946,065, filed on November 16, 2000, and its contents are incorporated herein by reference for disclosure. The method of constant potential entry requires careful control of the current density applied to the wafer to achieve a uniform coating. In the conventional constant potential entry example, the current density control system is particularly difficult because the wetted wafer area changes as the substrate is gradually immersed. However, because the immersion occurs quite quickly so that the seed layer does not dissolve during immersion, the embodiments disclosed in this case significantly reduce or eliminate the need for constant potential entry. Therefore, in some embodiments, no cathode or anode bias is applied to the wafer during immersion. These embodiments are advantageous because electroplating does not occur during immersion. Therefore, the distribution of the organic plating additive can be completely established in the entire area of the substrate surface before the start of plating. Because one area of the substrate surface does not begin plating before any other area of the substrate is plated, fast entry is also advantageous. In addition, the plating control system is less sensitive, which means that the plating system does not need to be so careful to control the current density, and other key factors when entering using a potentiostatic wafer. Moreover, these embodiments may use less complex and less expensive controllers.

系統控制器System controller

在一些實施例中,系統控制器(其可包含一個以上的物理或邏輯控制器)控制製程工具部份或全部的操作。該控制器典型地包含一個以上的記憶裝置,及一個以上的處理器。處理器可包含一中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制板、及其他類似的元件。用於實現合適控制操作的指令係執行於該處理器上。該等指令可儲存在與控制器有關的記憶裝置,或該等指令可通過網路提供。在部份實施例中,系統控制器係執行系統控制軟體。 In some embodiments, a system controller (which may include more than one physical or logical controller) controls some or all operations of the process tool. The controller typically includes more than one memory device, and more than one processor. The processor may include a central processing unit (CPU) or computer, analog and / or digital input / output connections, a stepper motor control board, and other similar components. Instructions for implementing appropriate control operations are executed on the processor. Such instructions may be stored in a memory device associated with the controller, or such instructions may be provided via a network. In some embodiments, the system controller executes system control software.

系統控制軟體可包含控制時序、電解液成份的混合物、入口壓力、電鍍槽壓力、電鍍槽溫度、晶圓溫度、施加於晶圓及任何其他電極的電流與電壓、晶圓位置、晶圓轉動、晶圓浸入速度、及由製程工具執行之特定製程的其他參數。系統控制軟體可以以任何合適的方式設定。例如:可寫入不同製程工具元件子程式或控制物件,以控制執行不同製程工具製 程所必須之製程工具元件的操作。系統控制軟體可以以任何合適的電腦可讀程式語言編碼。 The system control software can include control timing, mixture of electrolyte components, inlet pressure, plating tank pressure, plating tank temperature, wafer temperature, current and voltage applied to the wafer and any other electrodes, wafer position, wafer rotation, Wafer immersion speed and other parameters for a particular process performed by the process tool. The system control software can be set in any suitable way. For example, different process tool component subroutines or control objects can be written to control the execution of different process tool systems. The operation of process tool components necessary for the process. The system control software can be encoded in any suitable computer-readable programming language.

在一些實施例中,系統控制軟體包含用於控制以上所述之不同參數的輸入/輸出控制(IOC)序列指令。例如:電鍍製程的每個階段可包含一個以上的指令,以供系統控制器執行。設定用於浸入製程階段之製程條件的指令可包含在相對應的浸入配方階段中。在一些實施例中,電鍍配方階段可加以順序性地安排,藉以讓用於電鍍製程階段的全部指令與該製程階段同時地執行。 In some embodiments, the system control software includes input / output control (IOC) sequence instructions for controlling the different parameters described above. For example, each phase of the plating process may include more than one instruction for execution by the system controller. Instructions for setting process conditions for the immersion process stage may be included in the corresponding immersion recipe stage. In some embodiments, the plating formulation stages may be arranged sequentially, so that all instructions for the plating process stage are executed simultaneously with the process stage.

其他的電腦軟體及/或程式可使用在部份的實施例中。用於此目的程式區段或程式之例子包含:基板定位程式、電解液成份控制程式、壓力控制程式、加熱器控制程式、及電位/電流電源供應器控制程式。 Other computer software and / or programs can be used in some embodiments. Examples of program sections or programs used for this purpose include: substrate positioning program, electrolyte composition control program, pressure control program, heater control program, and potential / current power supply control program.

在一些例子中,控制器控制一個以上的以下功能:電解液中之氣體濃度、晶圓浸入(移動、傾斜、轉動)、多個槽之間的流體轉移、及流體迴路中之槽與相關元件的真空控制。藉由例如使用由溶解氣體感應器所測得的氣體濃度,以及指示氣體注入單位如所期望地導入氣體,控制器可控制氣體濃度。藉由例如指示晶圓升降組件、晶圓傾斜組件、及晶圓轉動組件如所期望地移動,可控制晶圓浸入。控制器可藉由例如指示部分的閥門開啟或關閉及部分的泵開啟或關閉而控制多個槽之間的流體轉移。控制器可依據感應器輸出(如:當電流、電流密度、電位、壓力等達到某個閾值時)、操作時序(如:在製程中於某些時間點開啟閥門),或依據所接收來自使用者的指令而控制這些實施態樣。 In some examples, the controller controls more than one of the following functions: gas concentration in the electrolyte, wafer immersion (movement, tilt, rotation), fluid transfer between multiple tanks, and tanks and related components in the fluid circuit Vacuum control. The controller can control the gas concentration by, for example, using a gas concentration measured by a dissolved gas sensor, and instructing the gas injection unit to introduce the gas as desired. The wafer immersion can be controlled by, for example, instructing the wafer lifting assembly, the wafer tilting assembly, and the wafer rotating assembly to move as desired. The controller may control fluid transfer between the plurality of tanks by, for example, indicating that a part of the valve is opened or closed and a part of the pump is opened or closed. The controller can be based on the sensor output (such as when the current, current density, potential, pressure, etc. reach a certain threshold), the operating sequence (such as: opening the valve at certain points in the process), or according to the received from the use Instructions to control these implementations.

應用application

本文揭露的實施例可提供優於習知電鍍技術之一個以上的優點。首先,真空電鍍槽允許基板相當快速地浸入電解液中。高速浸入可造成晶種層顯著較低的溶解,及在所產生的特徵部填充物中顯著較少的變化/缺陷。高速浸入也可降低進入的暫態時段,使得其相當於(且在部份實例中小於)吸附和成核的暫態時段。此外,在一些實施方式中,進行浸入卻不施加電偏壓至基板上,因而在浸入製程期間避免電鍍。其次,真空電鍍槽藉由在進入期間大幅降低(且在部份實例中消除)接近晶圓表面的氣 體而降低由氣泡形成所造成的缺陷數量。此外,藉由在進入期間降低或移除接近晶圓表面的O2,由O2造成的侵蝕型缺陷可能會減少。同樣地,使用脫氣的預濕流體於真空下進行預濕操作的步驟也可有助於降低種晶層的侵蝕。本文的實施例也提供於降低或消除來自電鍍液中之溶解氣體,其中的一個效益係更進一步地減少由O2所造成的侵蝕相關缺陷。 The embodiments disclosed herein may provide more than one advantage over conventional electroplating techniques. First, the vacuum plating bath allows the substrate to be dipped into the electrolyte fairly quickly. High-speed immersion can cause significantly lower dissolution of the seed layer and significantly fewer changes / defects in the resulting feature fill. High-speed immersion can also reduce the transient period of entry, making it equivalent to (and less than in some instances) a transient period of adsorption and nucleation. In addition, in some embodiments, immersion is performed without applying an electrical bias to the substrate, thereby avoiding plating during the immersion process. Second, the vacuum plating bath reduces the number of defects caused by bubble formation by substantially reducing (and eliminating in some instances) the gas close to the wafer surface during entry. In addition, by reducing or removing O 2 near the wafer surface during entry, the erosion-type defects caused by O 2 may be reduced. Similarly, the step of performing a pre-wetting operation under vacuum using a de-aerated pre-wetting fluid can also help reduce the erosion of the seed layer. The embodiments herein are also provided to reduce or eliminate dissolved gas from the plating solution. One of the benefits is to further reduce erosion-related defects caused by O 2 .

由電鍍液中移除溶解氣體的步驟提供一種便利的方式去控制溶液中之溶解氣體的精確量/成份。在將溶解氣體移除到可忽略的濃度點後,可將期望的氣體量注入溶液中,以便以較佳的電解液組成達成氣體濃度。可藉由使用溶解氣體感應器及伺服裝置來加強控制溶解氣體,以維持氣體濃度於特定範圍之內。 The step of removing the dissolved gas from the plating solution provides a convenient way to control the precise amount / composition of the dissolved gas in the solution. After the dissolved gas is removed to a negligible concentration point, a desired amount of gas can be injected into the solution in order to achieve a gas concentration with a better electrolyte composition. The dissolved gas sensor and servo device can be used to strengthen the control of dissolved gas to maintain the gas concentration within a specific range.

本文的部份實施例允許電鍍發生在與習知電鍍典型使用之溫度相比較低的溫度狀態中。例如:部份的實施方式允許電鍍發生於大氣壓狀態下之電鍍液的典型凍結溫度以下。此外,本文的實施例允許電鍍發生於降低的壓力下,在一些實例中,壓力會下降到電鍍液的沸點。在部份實施方式中,持續地維持電鍍腔室中的低壓條件,例如:使用負載鎖室設計的情形。在其他實施方式中,壓力在大氣壓和次大氣壓狀態間循環。 Some embodiments herein allow electroplating to occur at lower temperature states than those typically used in conventional electroplating. For example, some embodiments allow electroplating to occur below the typical freezing temperature of the plating solution at atmospheric pressure. In addition, embodiments herein allow electroplating to occur at reduced pressure, and in some instances, the pressure may drop to the boiling point of the plating solution. In some embodiments, the low-pressure conditions in the plating chamber are continuously maintained, such as when using a load lock chamber design. In other embodiments, the pressure is cycled between atmospheric and sub-atmospheric states.

真空電鍍槽可與不同的晶圓進入控制設備結合使用,如:晶圓升降機構、晶圓傾斜機構、晶圓旋轉機構、及各種的晶圓擾動機構,其包含(但不限制於此):聲波型流體擾動作用及轉動軸的周期方向改變。這些元件的每一者可促使氣泡形成減少,且它們可同時地最佳化以儘可能產生最少氣泡。 The vacuum plating tank can be used in combination with different wafer entry control equipment, such as: wafer lifting mechanism, wafer tilting mechanism, wafer rotation mechanism, and various wafer disturbance mechanisms, including (but not limited to): The sonic fluid disturbance and the periodic direction of the rotation axis change. Each of these elements can contribute to a reduction in bubble formation, and they can be optimized simultaneously to produce the fewest bubbles possible.

額外的優點係當將晶圓放置於電鍍槽上方等待浸入電鍍液之時,晶圓同時係在真空狀態中且因而不會接觸到如氧的有害的氣體。如此的情形在大氣電鍍槽中消除了可能發生於此階段之任何銅氧化的反應。 An additional advantage is that when the wafer is placed over a plating bath waiting to be immersed in the plating solution, the wafer is simultaneously in a vacuum state and thus does not come into contact with harmful gases such as oxygen. Such a situation eliminates any copper oxidation reactions that may occur at this stage in the atmospheric plating bath.

在本文的實施方式中,用於電鍍之設備包含:能於真空狀態下(即:小於大氣壓)操作的電化學槽。在諸多情形中,該設備包含一脫氣器,能夠實質上將電解液及/或預濕溶液在接觸基板前脫氣。倘若電解液/預濕流體在進入真空腔室及於真空狀態下接觸基板前並無加以脫氣,溶解氣體則可能由進入腔室時的流體中釋出。溶解氣體的釋出可導致穿孔內及/或晶圓表面上氣泡的形成。雖然不侷限於任何特定的理論或模型,但穿孔 底部具有一個負曲率,且吾人相信此種位置特別容易形成氣泡及由電解液/預濕流體釋出氣體。倘若此釋出發生,因該流體在真空狀態下氣體過飽和,故氣泡會由該流體形成。在預濕製程之後及電鍍期間,如此形成的氣泡可以停留於該處,因而在該位置抑制電鍍及造成相關的缺陷。 In an embodiment herein, the apparatus for electroplating includes an electrochemical cell capable of operating in a vacuum state (ie, less than atmospheric pressure). In many cases, the device includes a degasser capable of substantially degassing the electrolyte and / or the pre-wet solution before contacting the substrate. If the electrolyte / pre-wet fluid is not degassed before entering the vacuum chamber and contacting the substrate under vacuum, the dissolved gas may be released from the fluid when it enters the chamber. The release of dissolved gas can lead to the formation of air bubbles within the perforations and / or on the wafer surface. Although not limited to any particular theory or model, perforation The bottom has a negative curvature, and I believe that this location is particularly prone to bubble formation and gas release from the electrolyte / pre-wet fluid. If this release occurs, because the fluid is supersaturated in a vacuum, bubbles will be formed from the fluid. After the pre-wetting process and during the electroplating, the bubbles thus formed can stay there, thus suppressing electroplating and causing related defects at this location.

該設備可以包含一個以上的電鍍流體迴路,該電鍍流體迴路可將電化學槽與一個以上的電解液貯槽、泵、脫氣器、溶解氣體感應器、伺服裝置控制器或其他的控制器、氣體注入單元、及閥門連接起來。部份或全部的前述特徵可出現在部份實施例中。 The equipment can include more than one electroplating fluid circuit, which can combine the electrochemical tank with more than one electrolyte storage tank, pump, deaerator, dissolved gas sensor, servo device controller or other controller, gas The injection unit and the valve are connected. Some or all of the aforementioned features may appear in some embodiments.

圖4顯示例示性真空電鍍槽。該設備包含:一晶圓升降組件402,用以在z方向(上下)來移動基板;晶圓傾斜組件404,用以將晶圓相對於水平面傾斜;及晶圓轉動組件406,用以在電鍍期間轉動晶圓。這些元件一起運轉,以在電鍍期間控制晶圓的垂直速度、角度、及轉動速率,且它們對控制電鍍製程初期的電鍍係特別重要。其次,根據圖4中實施例之設備包含:帶有相對應真空頂板408的一真空相容電鍍槽410。這些元件410和408提供電鍍可以發生的真空環境。電化學槽410也包含一晶圓夾持具424與錐體422。當晶圓被支撐在基板夾持具424之中時,錐體422向下擠壓晶圓的背面。圖5提供額外的電鍍槽410之元件。 FIG. 4 shows an exemplary vacuum plating bath. The equipment includes: a wafer lifting assembly 402 for moving the substrate in the z direction (up and down); a wafer tilting assembly 404 for tilting the wafer relative to the horizontal plane; and a wafer rotating assembly 406 for plating During the wafer rotation. These components work together to control the vertical speed, angle, and rotation rate of the wafer during plating, and they are particularly important for controlling the plating system at the beginning of the plating process. Second, the apparatus according to the embodiment in FIG. 4 includes a vacuum-compatible plating tank 410 with a corresponding vacuum top plate 408. These elements 410 and 408 provide a vacuum environment where electroplating can occur. The electrochemical cell 410 also includes a wafer holder 424 and a cone 422. When the wafer is supported in the substrate holder 424, the cone 422 presses down the back of the wafer. FIG. 5 provides components of an additional plating bath 410.

圖5顯示根據一個實施例之電鍍設備500。首先,電鍍設備500具有:一晶圓夾持具;一電鍍槽530,具有用以容納電鍍浴流體534的容積;一陽極;及一頂帽(tophat)533,可以將電鍍設備上部圍起(例如:當基板載入時的基板夾持具)。頂帽533能夠在電鍍槽上維持真空狀態,且頂帽533對應圖4的真空頂板408。基板夾持具實質上包含:一唇式密封件(lipseal)522,安裝在具有杯底525的杯體524中;一錐體526,相對於杯體524及唇式密封件522可移動。基板夾持係用於藉由將基板(圖未顯示)壓入唇式密封件522中來固定基板。 FIG. 5 shows a plating apparatus 500 according to one embodiment. First, the plating equipment 500 has: a wafer holder; a plating tank 530 having a volume for containing a plating bath fluid 534; an anode; and a tophat 533, which can surround the upper part of the plating equipment ( For example: substrate holder when substrate is loaded). The top cap 533 can maintain a vacuum state on the plating tank, and the top cap 533 corresponds to the vacuum top plate 408 of FIG. 4. The substrate holder substantially includes: a lip seal 522 installed in the cup 524 having a cup bottom 525; and a cone 526 that is movable relative to the cup 524 and the lip seal 522. The substrate holder is used to fix the substrate by pressing the substrate (not shown) into the lip seal 522.

在部份實施例中,如圖5所示,杯體524係由杯柱528所支撐,且連接至杯部與錐體的升降部(圖未顯示,但位在錐體526之上)。杯柱528穿過一部份的錐體526,以允許錐體藉由氣動機構(未顯示此機構)來相對於杯體524而上下移動。因此,可將抓斗(clamshell)組件(或基板夾持具)關閉,以在抵住唇式密封件522的基板周緣將基板(未顯示)密 封。當錐體526係在收回/上的位置中,且因此抓斗組件(或基板夾持具)係如圖5所顯示的開放式組態時,可將一基板載入抓斗組件中,並置於唇式密封件522上。一旦將基板置於唇式密封件522上,杯柱528可被壓縮(即移動通過錐體526),使得杯體524與錐體526朝著彼此移動,以擠壓錐體526之底面抵柱基板之背面,使得基板之另一側(即:欲電鍍側)的外周受壓迫抵住唇式密封件522而形成流體密封。 In some embodiments, as shown in FIG. 5, the cup body 524 is supported by the cup post 528 and is connected to the cup portion and the lifting portion of the cone (not shown, but located above the cone 526). The cup post 528 passes through a portion of the cone 526 to allow the cone to move up and down relative to the cup 524 by a pneumatic mechanism (not shown). Therefore, the clamshell assembly (or substrate holder) can be closed to close the substrate (not shown) on the periphery of the substrate against the lip seal 522. seal. When the cone 526 is in the retracted / up position and therefore the grab assembly (or substrate holder) is an open configuration as shown in FIG. 5, a substrate can be loaded into the grab assembly and placed side by side. On the lip seal 522. Once the substrate is placed on the lip seal 522, the cup post 528 can be compressed (ie, moved through the cone 526), so that the cup 524 and the cone 526 move toward each other to squeeze the bottom surface of the cone 526 against the post The back surface of the substrate causes the outer periphery of the other side of the substrate (ie, the side to be plated) to be pressed against the lip seal 522 to form a fluid seal.

基板夾持具也典型地包含複數個電接點(圖5未顯示),在電鍍操作期間,該等電接頭藉由電鍍設備的電源供應器(圖未顯示)供電於基板。在一些實施例中,電接頭係形成為電接點指部(electrical contact finger),但其他形狀/種類之電引線亦可用於對基板供應電流。如上述,在電鍍期間,電接頭實質上受到介於基板和唇式密封件522之間形成的流體密封所保護,該流體密封在電鍍期間將電鍍液隔離於基板背側並遠離電接點。在一些實施例中,噴嘴514係用於執行電接點的清洗,舉例來說,其係藉由改變相對於電接點之噴嘴的高度,且調整清洗流體之流量、基板夾持具的轉動速率、清洗液的化學性質、及其他合適的參數而執行電接點的清洗。 The substrate holder also typically includes a plurality of electrical contacts (not shown in FIG. 5). During the plating operation, the electrical connectors are powered by the power supply (not shown) of the plating equipment to the substrate. In some embodiments, the electrical contacts are formed as electrical contact fingers, but other shapes / types of electrical leads can also be used to supply current to the substrate. As described above, during the electroplating, the electrical connector is substantially protected by a fluid seal formed between the substrate and the lip seal 522, which isolates the plating solution from the backside of the substrate and away from the electrical contacts during the electroplating. In some embodiments, the nozzle 514 is used to perform cleaning of the electrical contact. For example, it is to change the height of the nozzle relative to the electrical contact, and adjust the flow rate of the cleaning fluid and the rotation of the substrate holder. Rate, chemistry of the cleaning solution, and other suitable parameters to perform cleaning of the electrical contacts.

一但將基板載入,且密封在基板夾持具中(即:由杯體524與錐體526嚙合且抵住唇式密封件522而密封),基板夾持具(或抓斗組件)的近端則預備下降至電鍍池中(假設使用有角度的浸入)。電鍍池包含容納在電鍍設備500之電鍍槽530中的電解液,該電鍍槽530容納(或具有容納容積)電鍍浴流體534。在一些實施例中,電鍍槽530可以包含由膜部或其他分隔結構所分隔開的一陽極腔室及一陰極腔室。此外,槽530可包含離子通道型電阻板(channeled ionically resistive plate),也有時稱作高電阻虛擬陽極(high resistance virtual anode,HRVA),其作為一種電流分佈控制器及流量擴散器538,如美國專利案第7,967,969號、第7,622,024號與第8,308,931號所描述,其內容於此藉由參照全部納入作為本案揭示內容的一部分。 Once the substrate is loaded and sealed in the substrate holder (that is, sealed by the cup 524 and the cone 526 and sealed against the lip seal 522), the substrate holder (or grab assembly) The proximal end is ready to descend into the plating bath (assuming an angled immersion is used). The plating bath contains an electrolytic solution contained in a plating tank 530 of the plating equipment 500, and the plating tank 530 contains (or has a containing volume) a plating bath fluid 534. In some embodiments, the plating tank 530 may include an anode chamber and a cathode chamber separated by a membrane portion or other separation structure. In addition, the slot 530 may include a channeled ionically resistive plate, sometimes referred to as a high resistance virtual anode (HRVA), which serves as a current distribution controller and flow diffuser 538, such as the United States Patent cases Nos. 7,967,969, 7,622,024, and 8,308,931 are described, the contents of which are hereby incorporated by reference as a part of the disclosure of this case.

在電鍍操作期間,將基板夾持具下降到用於容納電鍍浴流體534的電鍍槽容積532中,藉以讓基板的工作面(向下表面)降低到電鍍浴流體/溶液534之流體位準535以下,藉此將晶圓的工作面浸入電鍍液中。 During the plating operation, the substrate holder is lowered into the plating tank volume 532 for containing the plating bath fluid 534, thereby lowering the working surface (downward surface) of the substrate to the fluid level 535 of the plating bath fluid / solution 534 Hereinafter, the working surface of the wafer is immersed in the plating solution.

電鍍設備500可選擇性地包含清洗裝置510,該清洗裝置可 包含:噴嘴514、與該噴嘴514流體連接的清洗流體供應導管、及該噴嘴514固定於其上的噴嘴臂513。在一些實施例中,清洗設備510包含一噴嘴臂致動器512,該噴嘴臂致動器機械性地連接至噴嘴臂513,且用以在收回位置和清洗位置間移動第一噴嘴514及噴嘴臂513。沖洗遮罩570可用於保護設備的元件免於清洗液的噴霧。回收遮罩560可用於幫助回收經使用的清洗液。在部份實施例中,預濕(pre-wetting)機構(圖未顯示)可用於預濕基板的電鍍面。雖使用讓預濕流體會接觸基板電鍍面的方式設置預濕機構,但預潤機構與清洗設備510可為機械性相似。在部份情形中,預濕可發生在電鍍槽530的外部。 The plating apparatus 500 may optionally include a cleaning device 510, which may It includes a nozzle 514, a cleaning fluid supply conduit fluidly connected to the nozzle 514, and a nozzle arm 513 to which the nozzle 514 is fixed. In some embodiments, the cleaning device 510 includes a nozzle arm actuator 512 mechanically connected to the nozzle arm 513 and configured to move the first nozzle 514 and the nozzle between the retracted position and the cleaning position. Arm 513. The rinse mask 570 may be used to protect the components of the device from the spray of the cleaning liquid. The recovery mask 560 may be used to help recover the used cleaning fluid. In some embodiments, a pre-wetting mechanism (not shown) can be used to pre-wet the plating surface of the substrate. Although the pre-wetting mechanism is provided so that the pre-wetting fluid will contact the plating surface of the substrate, the pre-wetting mechanism and the cleaning device 510 may be mechanically similar. In some cases, pre-wetting may occur outside the plating tank 530.

圖6顯示與電鍍流體貯槽604流體連通的真空電鍍槽601之額外的範例。該實施例與圖3中的實施例相似,但卻些許簡單。將真空電鍍槽601內的區域維持於真空的狀態。該設備包含:一脫氣器608,用以將溶解氣體從電鍍液中移除;及一泵606,用以將電鍍流體移動通過電鍍流體迴路602。在美國專利申請案第12/684,787號及第12/684,792號描述脫氣器及其使用,其先前藉由參照納入本案揭示內容。電鍍流體迴路602將真空電鍍槽601與電鍍流體貯槽604、泵606、及脫氣器608連接起來。也可具有真空泵610與脫氣器連接的情況。在圖6的實施方式中,完整的電鍍流體迴路(包含:電鍍流體貯槽604)係維持於真空的狀態。 FIG. 6 shows an additional example of a vacuum plating tank 601 in fluid communication with a plating fluid storage tank 604. This embodiment is similar to the embodiment in FIG. 3, but is a bit simple. The area in the vacuum plating tank 601 is maintained in a vacuum state. The apparatus includes: a degasser 608 for removing dissolved gas from the plating solution; and a pump 606 for moving the plating fluid through the plating fluid circuit 602. Degasser and its use are described in US Patent Application Nos. 12 / 684,787 and 12 / 684,792, which were previously incorporated by reference into the disclosure of this case. The plating fluid circuit 602 connects the vacuum plating tank 601 with the plating fluid storage tank 604, the pump 606, and the deaerator 608. The vacuum pump 610 may be connected to the deaerator. In the embodiment of FIG. 6, the complete plating fluid circuit (including: the plating fluid storage tank 604) is maintained in a vacuum state.

在本文的實施方式中,電鍍期間與真空電鍍槽為開放流體連通的任一者於電鍍期間應維持於真空的狀態,以確保真空電鍍槽中的壓力係適當地被控制。流體感應迴路612將電鍍流體貯槽604與溶解氣體感應器614連接起來。溶解氣體感應器係確保溶解氣體在用於電鍍的可接受位準下。如溶解氣體的位準過高,其可能會導致電鍍液中之氣泡的形成,因而導致不均勻的鍍層。此外,因氧會氧化銅晶種層,故溶解氧的存在對於電鍍製程可能為有害的。因此,在一些實施例中,溶解氣體感應器614係一種氧的感應器。真空電鍍槽601亦可包含一壓力感應器618。雖然熟習此技藝者能理解可使用許多類型的壓力感應器,可能使用的一種可能壓力感應器類型為Baratron壓力轉換器。該設備選擇性地包含一預濕器616,該預濕器用於對浸入電鍍流體中之前真空下之基板表面提供脫氣的去離子水或其他的預濕液。預濕器可進一步地降低在沒有氣泡形成的情況下完全將基板 浸入電鍍流體中所需的浸入時間。因為基板係顯示為在電鍍位置中(即:下降的位置,下降到電解液中),預濕器係位於晶圓的平面上方。然而,吾人明白預濕器應加以配置,使得當基板在其非電鍍位置中時讓預濕器能夠對基板的電鍍面施加預濕液。 In the embodiments herein, any one that is in open fluid communication with the vacuum plating tank during plating should be maintained in a vacuum state during plating to ensure that the pressure in the vacuum plating tank is properly controlled. The fluid sensing circuit 612 connects the plating fluid storage tank 604 with the dissolved gas sensor 614. The dissolved gas sensor ensures that the dissolved gas is at an acceptable level for electroplating. If the level of the dissolved gas is too high, it may lead to the formation of air bubbles in the plating solution, resulting in uneven plating. In addition, since oxygen oxidizes the copper seed layer, the presence of dissolved oxygen can be detrimental to the plating process. Therefore, in some embodiments, the dissolved gas sensor 614 is an oxygen sensor. The vacuum plating tank 601 may also include a pressure sensor 618. Although those skilled in the art will understand that many types of pressure sensors can be used, one possible type of pressure sensor that may be used is a Baratron pressure converter. The device optionally includes a pre-humidifier 616, which is used to provide degassed deionized water or other pre-humidifying solution to the surface of the substrate under vacuum before immersion in the plating fluid. Pre-humidifier can further reduce the need to completely remove the substrate without the formation of air bubbles Immersion time required for immersion in the plating fluid. Because the substrate system is shown in the plating position (ie, the lowered position, dropped into the electrolyte), the pre-humidifier system is located above the plane of the wafer. However, I understand that the pre-humidifier should be configured so that the pre-humidifier can apply a pre-humidifying solution to the plated surface of the substrate when the substrate is in its non-plated position.

圖7顯示與電鍍液貯槽704為流體連通的真空電鍍槽701之額外的實施例。在此實施方式中,電鍍迴路702於電鍍期間係開啟的,且將真空電鍍槽701與泵706及脫氣器708連接起來。電解液在電鍍期間繞過電解液貯槽,反而流過連接複數閥門722的導管。這些元件的每一者係維持於真空的狀態。當電鍍並無發生時,可將閥門722開啟,藉此開啟非電鍍流體迴路720。非電鍍流體迴路720將真空電鍍槽701與電鍍液貯槽704、泵706、及脫氣器708連接起來。在此實施方式中,電鍍液貯槽704係維持於大氣壓的狀態。因此,電鍍液貯槽704在電鍍期間必須與真空電鍍槽流體分隔開來。為了維持電鍍液中之添加劑的足夠位準,應週期性地更換或補充電鍍液貯槽704中之電鍍液。在圖7實施方式中之電鍍液中之溶解氣體位準約為2ppm,該位準對應在單一通過目前可用的脫氣器後可達成之溶解氣體的位準。 FIG. 7 shows an additional embodiment of a vacuum plating tank 701 in fluid communication with the plating solution storage tank 704. In this embodiment, the plating circuit 702 is opened during plating, and the vacuum plating tank 701 is connected to the pump 706 and the deaerator 708. The electrolyte bypasses the electrolyte storage tank during electroplating and instead flows through a conduit connected to a plurality of valves 722. Each of these elements is maintained in a vacuum state. When electroplating does not occur, the valve 722 can be opened, thereby opening the non-plating fluid circuit 720. The non-plating fluid circuit 720 connects the vacuum plating tank 701 with the plating solution storage tank 704, the pump 706, and the deaerator 708. In this embodiment, the plating solution storage tank 704 is maintained at the atmospheric pressure. Therefore, the plating solution storage tank 704 must be fluidly separated from the vacuum plating tank during plating. To maintain a sufficient level of additives in the plating solution, the plating solution in the plating solution storage tank 704 should be periodically replaced or replenished. The level of the dissolved gas in the plating solution in the embodiment of FIG. 7 is about 2 ppm, which corresponds to the level of the dissolved gas that can be achieved after a single pass through a currently available degasser.

圖8顯示帶有雙重貯槽系統之真空電鍍槽801的實施方式。在此實施例中,真空電鍍槽801與真空電鍍液貯槽804、泵806、及脫氣器808連續性地流體連通。真空電鍍液貯槽804係維持於真空的狀態,且與大氣壓電鍍液貯槽825、閥門822、及泵824流體連通。當電鍍沒有發生時,可將連接至大氣壓電鍍液貯槽825的流體迴路開啟,但當電鍍發生時,則應將該迴路關閉。大氣壓電鍍液貯槽825可用於介於複數電鍍操作之間對真空電鍍液貯槽804提供新的電鍍流體。電鍍槽801中之電鍍液中溶解氣體的位準可約小於1ppm。具有雙重貯槽且其中一貯槽於真空的狀態,實質上降低電鍍流體中之溶解氣體量,且提供電鍍流體成分的額外控制。 FIG. 8 shows an embodiment of a vacuum plating tank 801 with a dual tank system. In this embodiment, the vacuum plating tank 801 is in continuous fluid communication with the vacuum plating solution storage tank 804, the pump 806, and the deaerator 808. The vacuum plating solution storage tank 804 is maintained in a vacuum state, and is in fluid communication with the atmospheric pressure plating solution storage tank 825, the valve 822, and the pump 824. When electroplating does not occur, the fluid circuit connected to the atmospheric pressure plating bath 825 may be opened, but when electroplating occurs, the circuit should be closed. The atmospheric plating bath 825 can be used to provide a new plating fluid to the vacuum plating bath 804 between multiple plating operations. The level of dissolved gas in the plating solution in the plating tank 801 may be less than about 1 ppm. Having a dual storage tank and one of the tanks in a vacuum state substantially reduces the amount of dissolved gas in the plating fluid and provides additional control of the composition of the plating fluid.

圖9顯示帶有三重貯槽系統之真空電鍍槽901的實施方式。在此實施例中,真空電鍍槽901與真空電鍍液貯槽904、泵906、及脫氣器908連續性地流體連通。將真空電鍍液貯槽904維持於真空的狀態,且將其進一步地與真空脫氣槽930、泵926、及閥門922連接起來。將閥門922在電鍍期間關閉,藉以在電鍍發生時讓真空電鍍液貯槽904與真空脫氣槽930 不會流體連通。然而,當電鍍沒有發生時,可將閥門922開啟,且電鍍液可在真空電鍍液貯槽904與真空脫氣槽930之間流動。大氣壓電鍍液貯槽940可與真空脫氣槽930週期性地流體連通。可設置一泵916,使得當閥門923開啟時電鍍液在真空脫氣槽930與大氣壓電鍍液貯槽940之間流動。應週期性地開啟閥門923,以補充或交換電鍍液,及確保添加劑或其他電鍍液成分的濃度維持在它們所期望的範圍中。真空電鍍液貯槽904與真空脫氣槽930間的該組閥門922不應與真空脫氣槽930與大氣壓電鍍液貯槽940間的該組閥門923於相同的時間點開啟。如此的情形將允許真空電鍍槽901在所有時間能夠維持於真空的狀態。例如:可將此實施方式中之電鍍液中之溶解氣體控制到顯著小於1ppm的等級。 FIG. 9 shows an embodiment of a vacuum plating tank 901 with a triple storage tank system. In this embodiment, the vacuum plating tank 901 is in continuous fluid communication with the vacuum plating solution storage tank 904, the pump 906, and the deaerator 908. The vacuum plating solution storage tank 904 is maintained in a vacuum state, and is further connected to the vacuum degassing tank 930, the pump 926, and the valve 922. The valve 922 is closed during the plating, so that the vacuum plating solution storage tank 904 and the vacuum degassing tank 930 are made when the plating occurs No fluid communication. However, when electroplating does not occur, the valve 922 may be opened, and the plating solution may flow between the vacuum plating solution storage tank 904 and the vacuum degassing tank 930. The atmospheric pressure plating solution storage tank 940 may be in fluid communication with the vacuum degassing tank 930 periodically. A pump 916 may be provided so that the plating solution flows between the vacuum degassing tank 930 and the atmospheric pressure plating solution storage tank 940 when the valve 923 is opened. The valve 923 should be opened periodically to replenish or exchange the plating solution, and to ensure that the concentration of additives or other plating solution components is maintained in their desired range. The set of valves 922 between the vacuum plating solution storage tank 904 and the vacuum degassing tank 930 should not be opened at the same time as the set of valves 923 between the vacuum degassing tank 930 and the atmospheric pressure plating solution storage tank 940. Such a situation would allow the vacuum plating tank 901 to be maintained in a vacuum state at all times. For example, the dissolved gas in the plating solution in this embodiment can be controlled to a level significantly less than 1 ppm.

圖10顯示配備有負載鎖室裝置之真空電鍍槽的實施例。當以未電鍍的晶圓更換已電鍍的晶圓時,負載鎖室裝置允許電鍍槽在不打破真空的情況下運作。圖10顯示位於二個不同位置中的真空電鍍槽(即:雖然此圖顯示二個晶圓1062A及1062B,及相關的晶圓夾持具1007A及1007B,但該二個晶圓意圖呈現於二個不同位置A及B中的單一晶圓1062及夾持具1007)。當晶圓位在裝置1001A之非真空部份中的真空負載鎖室位置中時,將電鍍槽於真空狀態下密封,及可將晶圓1062載入。接著,將真空負載鎖室抽氣到真空狀態,且將在真空大氣界面1044中的狹縫閥門(圖未顯示)或其他適當的閥門開啟。晶圓1062於是通過維持於真空下之裝置1001B之真空部份中的真空電鍍槽位置,而後電鍍可發生。 Fig. 10 shows an embodiment of a vacuum plating tank equipped with a load lock chamber device. When replacing plated wafers with unplated wafers, the load lock chamber device allows the plating bath to operate without breaking the vacuum. Figure 10 shows the vacuum plating baths located in two different locations (ie, although this figure shows two wafers 1062A and 1062B and the related wafer holders 1007A and 1007B, the two wafers are intended to be presented in (A single wafer 1062 and a holder 1007) in different positions A and B). When the wafer is in the vacuum load lock chamber position in the non-vacuum part of the device 1001A, the plating bath is sealed in a vacuum state, and the wafer 1062 can be loaded. Next, the vacuum load lock chamber is evacuated to a vacuum state, and a slit valve (not shown in the figure) or other appropriate valve in the vacuum atmosphere interface 1044 is opened. The wafer 1062 then passes through the position of the vacuum plating bath in the vacuum portion of the device 1001B under vacuum, and then plating can occur.

圖11顯示為確保低壓電鍍可以成功所進行的研究之結果。研究中所探索的二個問題為(1)是否電鍍流體的非水溶性成份在低壓下將大量蒸發,及(2)是否電鍍流體在顯著高於水的預期沸點之壓力下會沸騰。因為如果非水溶性的成分在真空壓力下蒸發,或如果電鍍液在電鍍期間開始沸騰,電鍍可能失敗,故這些問題係相當重要。為了探索這些問題,將電鍍液或去離子水溶液暴露在大氣壓及真空狀態間的壓力範圍(特別係10、20、40、及760Torr)。在每個壓力下,觀察這些樣本沸騰的跡象,且由以上電鍍液中取出一氣體樣本,並以殘餘氣體分析器分析之。對水和電鍍液而言,在10Torr下觀察到沸騰狀態,且該二溶液在20Torr下間歇性地沸騰。該二溶液在40或760Torr下並無觀察到沸騰狀態。這樣的情形表示 電鍍應發生在約至少20Torr以上。在任何測試的壓力下,去離子水的RGA分析與電鍍液的RGA分析之間並無顯著的差異。此表示電鍍流體的非水溶性成分並不會大量蒸發,使低壓電鍍成為可行的選項。 Figure 11 shows the results of studies conducted to ensure that low-voltage plating can be successful. The two questions explored in the study were (1) whether the water-insoluble components of the plating fluid would evaporate in large quantities at low pressures, and (2) whether the plating fluid would boil at pressures significantly above the expected boiling point of water. These issues are important because electroplating may fail if the water-insoluble components evaporate under vacuum pressure, or if the plating solution begins to boil during electroplating. In order to explore these problems, a plating solution or a deionized aqueous solution is exposed to a pressure range between atmospheric pressure and a vacuum state (particularly 10, 20, 40, and 760 Torr). At each pressure, observe the samples for signs of boiling, and take a gas sample from the above plating solution and analyze it with a residual gas analyzer. For water and plating solutions, a boiling state was observed at 10 Torr, and the two solutions intermittently boiled at 20 Torr. No boiling was observed for the two solutions at 40 or 760 Torr. This situation indicates Electroplating should occur at least above 20 Torr. There was no significant difference between the RGA analysis of deionized water and the RGA analysis of the plating solution at any of the tested pressures. This means that the water-insoluble components of the plating fluid do not evaporate in large quantities, making low pressure plating a viable option.

揭露內容之一實施態樣涉及一電鍍設備。該電鍍設備包含:一電鍍槽,連接至將電鍍槽中之壓力降低至次大氣壓位準的機構。該設備也包含:一控制器,用以在電鍍基板浸入電鍍液期間使電鍍槽中之壓力成為次大氣壓。該設備也可包各種不同其他的特徵部,例如:具有如圖中所描述之貯槽、脫氣器、泵等的再循環迴路。這些迴路依需要可由電鍍槽次大氣壓環境中選擇性地分隔開來或是納入其中。在一些情形中,該設備係用於在約100Torr以下的壓力進行基板的浸入。在部份情形中,該設備係用於在一段約50ms以下、或約35ms以下、或約25ms以下、或約15ms以下的持續時間進行基板浸沒。在一些情形中,該設備係用於在一段持續時間進行基板浸入,該段持續時間指的是約不超過在基板電鍍面上完全地電填充平均或中尺寸特徵部所需總時間的約10%。 One aspect of the disclosure involves an electroplating apparatus. The plating equipment includes a plating tank connected to a mechanism for reducing the pressure in the plating tank to a sub-atmospheric pressure level. The apparatus also includes a controller for making the pressure in the plating tank to a sub-atmospheric pressure while the plating substrate is immersed in the plating solution. The device can also include a variety of other features, such as a recirculation circuit with a storage tank, deaerator, pump, etc. as described in the figure. These circuits can be selectively separated or incorporated into the electroplating tank at atmospheric pressure as required. In some cases, the apparatus is used for immersion of a substrate at a pressure below about 100 Torr. In some cases, the device is used for substrate immersion for a duration of about 50 ms or less, or about 35 ms or less, or about 25 ms or less, or about 15 ms or less. In some cases, the device is used for substrate immersion for a duration that refers to no more than about 10 times the total time required to completely electrically fill the average or medium-sized features on the plated surface of the substrate. %.

真空電鍍槽可整合至多重工具半導體處理設備中。該多重工具設備可以具有一個以上的真空電鍍槽、一個以上的大氣壓電鍍槽、及各種其他的元件。圖12顯示可用於實施本文實施例之例示性多重工具設備。電沉積設備1200可以包含三個分隔開的電鍍模組1202、1204、及1206。此外,三個分隔開的模組1212、1214、及1216可以用於不同的製程操作。例如:在一些實施例中,模組1212、1214、及1216中之一者以上可以係旋轉清洗乾燥(SRD,spin rinsc drying)模組。在其他實施例中,模組1212、1214、及1216中之一者以上可以係電填充後模組(PEM,post-electrofill module,),其中每一者係用於執行一種功能、例如:在基板經由電鍍模組1202、1204、及1206中之一者處理後之基板的邊斜角移除(edge beve1 removal)、背側蝕刻(backside etching)、及酸清洗。 Vacuum plating baths can be integrated into multi-tool semiconductor processing equipment. The multi-tool equipment may have more than one vacuum plating tank, more than one atmospheric pressure plating tank, and various other components. FIG. 12 shows an exemplary multi-tool device that can be used to implement the embodiments herein. The electrodeposition apparatus 1200 may include three separated plating modules 1202, 1204, and 1206. In addition, three separated modules 1212, 1214, and 1216 can be used for different process operations. For example, in some embodiments, one or more of the modules 1212, 1214, and 1216 may be a spin cleaning rinsing (SRD) module. In other embodiments, one or more of the modules 1212, 1214, and 1216 may be post-electrofill modules (PEMs), each of which is used to perform a function, such as: Edge bevel removal, backside etching, and acid cleaning of the substrate after the substrate is processed by one of the plating modules 1202, 1204, and 1206.

電沉積設備1200包含一中央電沉積腔室1224。該中央電沉積腔室1224係一種容納用作電鍍模組1202、1204、及1206中之電鍍液的化學溶液之腔室。該電沉積設備1200也包含可以儲存及輸送用於電鍍液之添加劑的給劑系統1226。化學品稀釋模組1222可以儲存及混合作為蝕刻劑使用的化學品。過濾及泵單元1228可過濾用於中央電沉積腔室1224的電鍍 液,且可將電鍍液輸送到電鍍模組。 The electrodeposition apparatus 1200 includes a central electrodeposition chamber 1224. The central electrodeposition chamber 1224 is a chamber containing a chemical solution used as a plating solution in the plating modules 1202, 1204, and 1206. The electrodeposition apparatus 1200 also includes a dosing system 1226 that can store and transport additives for plating solutions. The chemical dilution module 1222 can store and mix chemicals used as an etchant. Filter and pump unit 1228 can filter plating for central electrodeposition chamber 1224 And can transfer the plating solution to the plating module.

系統控制器1230提供操作電沉積設備1200所需的電與界面控制。系統控制器1230已於上述之系統控制器單元介紹,且進一步地於此描述。系統控制器1230(其可包含一個以上的物理或邏輯控制器)控制電鍍設備1200部份或全部的特性。系統控制器1230典型地包含一個以上的記憶裝置及一個以上的處理器。該處理器可以包含中央處理單元(CPU)或電腦、類比及/或數位輸出/輸入連接、步進器馬達控制板、及其他類似的元件。用於實現如本文描述之合適控制操作的指令可於處理器上執行。該等指令可以儲存在與系統控制器1230相關的記憶裝置,或它們可以透過網路提供。在一些實施例中,系統控制器1230執行系統控制軟體。 The system controller 1230 provides the electrical and interface controls needed to operate the electrodeposition equipment 1200. The system controller 1230 has been introduced in the above-mentioned system controller unit and is further described herein. A system controller 1230 (which may include more than one physical or logical controller) controls some or all of the characteristics of the plating equipment 1200. The system controller 1230 typically includes more than one memory device and more than one processor. The processor may include a central processing unit (CPU) or computer, analog and / or digital output / input connections, a stepper motor control board, and other similar components. Instructions for implementing suitable control operations as described herein may be executed on a processor. Such instructions may be stored in a memory device associated with the system controller 1230, or they may be provided via a network. In some embodiments, the system controller 1230 executes system control software.

電沉積設備1200中之系統控制軟體可以包含諸多指令。該等指令用於控制時序、電解液成分混合(包含:一個以上電解液成份之濃度)、電解液氣體濃度、入口壓力、電鍍槽壓力、電鍍槽溫度、基板溫度、施加於基板與任何其他電極的電流及電位、基板位置、基板轉動、及由電沉積設備1200所執行之特定製程的其他參數。 The system control software in the electrodeposition equipment 1200 may contain a number of instructions. These instructions are used to control timing, electrolyte composition mixing (including: concentration of more than one electrolyte component), electrolyte gas concentration, inlet pressure, plating tank pressure, plating tank temperature, substrate temperature, applied to the substrate and any other electrodes Current and potential, substrate position, substrate rotation, and other parameters of a particular process performed by the electrodeposition equipment 1200.

系統控制邏輯可以任何適當的方式配置。例如:可編寫各種製程工具構件副程式或控制物件,以控制執行各種製程工具處理所需之製程工具構件的操作。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。該邏輯也可實現為可編程邏輯裝置(如:FPGA)、ASIC、或其他合適載體中之硬體。 The system control logic can be configured in any suitable way. For example, various process tool component subprograms or control objects can be written to control the operations of the process tool components required to perform various process tool processing. The system control software can be encoded in any suitable computer-readable programming language. This logic can also be implemented as hardware in a programmable logic device (such as an FPGA), an ASIC, or other suitable carrier.

在部份實施例中,系統控制邏輯包括用於控制以上所描述的各種參數之輸入/輸出控制(IOC)序列指令。例如:電鍍製程的每一個階段可包含由系統控制器1230所執行之一個以上的指令。用於設定浸入製程階段之製程條件的指令可包含於相對應之浸入配方階段。在一些實施例中,電鍍配方階段可依序地排列,使得所有用於電鍍製程階段的指令與製程階段同時地執行。 In some embodiments, the system control logic includes input / output control (IOC) sequence instructions for controlling various parameters described above. For example, each stage of the plating process may include more than one instruction executed by the system controller 1230. The instructions for setting the process conditions of the immersion process stage may be included in the corresponding immersion recipe stage. In some embodiments, the electroplating recipe phases can be sequentially arranged so that all instructions for the electroplating process phase are executed simultaneously with the process phase.

在部份實施例中,控制邏輯可以劃分成不同的部份,如:程式或程式段。用於此目的之邏輯部份的範例包含:基板定位部份、電解液成分控制部份、剝離溶液成分控制部份、溶液流量控制部份、壓力控制部份、加熱器控制部份、及電位/電流電源供應控制部份。控制器可以藉由例 如指示基板夾持具如所期望的移動(轉動、升高、傾斜)執行基板定位部份。控制器可以藉由指示部份閥門於製程期間的不同時間點開啟或關閉而控制不同流體(包含電解液及剝離液,但非限制於此)的成分及流量。控制器可以藉由指示一些閥門、泵、及/或密封元件開啟或關閉而執行壓力控制程式。同樣地,控制器可以藉由例如指示一個以上的加熱及/或冷卻元件開啟或關閉執行溫度控制程式。控制器可以藉由指示電源供應器在整個處理期間提供所期望的電流/電位位準而控制電源供應。 In some embodiments, the control logic can be divided into different parts, such as programs or program segments. Examples of logic sections used for this purpose include: substrate positioning section, electrolyte composition control section, stripping solution composition control section, solution flow control section, pressure control section, heater control section, and potential / Current power supply control section. The controller can use examples If instructed, the substrate holder is moved (rotated, raised, tilted) as desired to execute the substrate positioning portion. The controller can control the composition and flow of different fluids (including but not limited to electrolytes and stripping fluids) by instructing some valves to open or close at different points in the process. The controller can execute a pressure control program by instructing some valves, pumps, and / or sealing elements to open or close. Likewise, the controller may execute a temperature control program by, for example, instructing more than one heating and / or cooling element to be turned on or off. The controller can control the power supply by instructing the power supply to provide a desired current / potential level throughout the process.

在一些實施例中,可具有與系統控制器1230相關的使用者介面。此使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、以及使用者輸入裝置,例如:指向(pointing)裝置、鍵盤、觸控螢幕、麥克風等等。 In some embodiments, there may be a user interface associated with the system controller 1230. This user interface may include a graphical software display that displays the screen, equipment and / or process conditions, and user input devices, such as: pointing devices, keyboards, touch screens, microphones, and so on.

在部份實施例中,藉由系統控制器1230所調整的參數可與製程條件相關。非限制性的例子包含溶液條件(溫度、組成、及流率)、及於不同階段的基板位置(轉動速率、直線(垂直)速度、與水平面的角度)等。這些參數可以以配方型式來提供於使用者,該配方可利用使用者介面輸入。 In some embodiments, the parameters adjusted by the system controller 1230 may be related to process conditions. Non-limiting examples include solution conditions (temperature, composition, and flow rate), and substrate position (rotation rate, linear (vertical) speed, angle from horizontal plane), etc. at different stages. These parameters can be provided to the user in the form of a recipe, which can be entered using the user interface.

可以藉由系統控制器1230之類比及/或數位輸入連接部提供來自各種製程工具感測器的用以監視製程的信號。用以控制製程的信號可在製程工具的類比與數位輸出連接部上被輸出。可被監視之製程工具感測器的非限制性範例包含:質量流量控制器、壓力感測器(例如:壓力計)、熱電偶、光學位置感應器等等。適當程式化之反饋與控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。 The analog and / or digital input connections of the system controller 1230 can be used to provide signals from various process tool sensors to monitor the process. The signals used to control the process can be output on the analog and digital output connections of the process tool. Non-limiting examples of process tool sensors that can be monitored include: mass flow controllers, pressure sensors (eg, pressure gauges), thermocouples, optical position sensors, and so on. Properly programmed feedback and control algorithms can be used with data from these sensors to maintain process conditions.

在多重工具設備的實施例中,指令可以包含:將基板插入晶圓夾持具中、傾斜基板、於浸入期間施加偏壓於基板、及在基板上電沉積一含銅結構。該等指令更可以包含將基板轉移至移除槽、將基板浸入在剝離液中、轉動基板、將剝離液由內部交叉流歧管流過晶圓面(包含:調整流率、其中之全部或部份)、及移除基板、清洗基板、乾燥基板。 In an embodiment of the multi-tool device, the instructions may include: inserting a substrate into a wafer holder, tilting the substrate, applying a bias voltage to the substrate during immersion, and electrodepositing a copper-containing structure on the substrate. These instructions may also include transferring the substrate to a removal tank, immersing the substrate in a stripping solution, rotating the substrate, and flowing the stripping liquid from the internal cross-flow manifold across the wafer surface (including: adjusting the flow rate, all or Part), and remove the substrate, clean the substrate, and dry the substrate.

移轉工具(hand-off tool)1240可以由基板卡匣中(如:卡匣1242或卡匣1244)選擇一基板。卡匣1242或卡匣1244可以為前開式晶圓傳送盒(FOUPs)。FOUP為一種封閉容器,該封閉容器設計用來將基板 牢固及安全地容納於受控的環境中,且藉由裝配合適載入口(load port)與機器人搬運系統的工具允許移動基板以進行處理及量測。移轉工具1240可以使用真空附接裝置或一些其他的附接機構固持基板。 The hand-off tool 1240 may select a substrate from a substrate cassette (such as the cassette 1242 or the cassette 1244). The cassette 1242 or the cassette 1244 may be front open wafer transfer cassettes (FOUPs). FOUP is a closed container designed to hold a substrate Reliably and securely housed in a controlled environment, and the tools are equipped with suitable load ports and robotic handling systems to allow the substrate to be moved for processing and measurement. The transfer tool 1240 may hold the substrate using a vacuum attachment device or some other attachment mechanism.

移轉工具1240可與晶圓搬運站1232、卡匣1242或1244、傳送站1250、或對準器1248交互作用。移轉工具1246可以從傳送站1250中取得基板。傳送站1250可係一種凹槽或位置,且移轉工具1240與1246可不經過對準器1248來回傳遞基板於該凹槽或該位置。然而,在一些實施例中,為確保基板在移轉工具1246上適當地對準,以精確地傳送至一電鍍模組,故移轉工具1246可以將基板對準對準器1248。移轉工具1246也可以輸送基板到電鍍模組1202、1204、或1206之一者,或用於不同製程操作之分隔開的模組1212、1214、及1216之一者。 The transfer tool 1240 may interact with a wafer handling station 1232, a cassette 1242 or 1244, a transfer station 1250, or an aligner 1248. The transfer tool 1246 may retrieve the substrate from the transfer station 1250. The transfer station 1250 can be a groove or position, and the transfer tools 1240 and 1246 can pass the substrate back and forth to the groove or the position without passing through the aligner 1248. However, in some embodiments, to ensure that the substrate is properly aligned on the transfer tool 1246 for accurate transfer to a plating module, the transfer tool 1246 can align the substrate with the aligner 1248. The transfer tool 1246 can also transport the substrate to one of the plating modules 1202, 1204, or 1206, or one of the separated modules 1212, 1214, and 1216 for different process operations.

一種用於允許高效率循環基板通過相繼的電鍍、清洗、乾燥、及PEM製程操作(如:剝離)之設備,對使用於製造環境中的實施方式係相當有用。為如達成如此設備,可以將模組1212配置成旋轉清洗乾燥室,及邊斜角移除(edge bevel removal)腔室。利用此模組1212,基板僅需於電鍍模組1204與用於銅電鍍和EBR操作的模組1212之間傳送。設備1200之一個以上的內部部份可於次大氣壓狀態下。例如:在部份實施例中,圍繞住電鍍模組1202、1204、及1206以及PEMs1212、1214、1216之全部的區域可以在真空下。在其他實施例中,僅圍繞住電鍍槽之區域係在真空下。在進一步的實施方式中,個別的電鍍槽可以在真空下。雖然電解液流體迴路並未在圖12或13中顯示,但吾人能理解本文描述的流體迴路可以實現為多重工具之部份(或與其結合)。 An apparatus for allowing a highly efficient circulating substrate to pass successive plating, cleaning, drying, and PEM process operations (such as peeling) is quite useful for implementations used in a manufacturing environment. In order to achieve such equipment, the module 1212 can be configured as a rotary washing and drying chamber, and an edge bevel removal chamber. With this module 1212, the substrate only needs to be transferred between the plating module 1204 and the module 1212 for copper plating and EBR operations. More than one internal portion of the device 1200 may be under subatmospheric pressure. For example, in some embodiments, the area surrounding all of the plating modules 1202, 1204, and 1206 and the PEMs 1212, 1214, and 1216 may be under vacuum. In other embodiments, only the area surrounding the plating bath is under vacuum. In a further embodiment, individual plating tanks can be under vacuum. Although the electrolyte fluid circuit is not shown in Figure 12 or 13, I can understand that the fluid circuit described herein can be implemented as part of (or in combination with) multiple tools.

圖13顯示可使用於實施本文實施例之多重工具設備之額外的範例。在此實施例中,電沉積設備1300具有一組電鍍槽1307,每組電鍍槽包含於成對或多重成對配置的電鍍池。除了電鍍本身,電沉積設備1300可以執行與電鍍相關的各種製程及次步驟,例如:旋轉清洗、旋轉乾燥、金屬和矽的濕蝕刻、無電鍍沉積、預濕與預化學處理、還原、退火、光阻剝離、及表面預活化。圖中電沉積設備1300係示意性地顯示由上往下觀察,且圖中僅顯示單一層級或「層」,但熟習此技藝者能容易地理解如此的設備(如:Lam Research Corporation of Fremont,CA的SabreTM 3D工具)可以具 有疊置於彼此頂部之二個以上的層級,每一者可有相同或不同種類的處理站。 FIG. 13 shows an additional example of a multi-tool device that can be used to implement the embodiments herein. In this embodiment, the electrodeposition apparatus 1300 has a set of electroplating baths 1307, each set of electroplating baths being contained in a pair or multiple pairs of plating baths. In addition to electroplating itself, the electrodeposition equipment 1300 can perform various processes and sub-steps related to electroplating, such as: spin cleaning, spin drying, wet etching of metals and silicon, electroless deposition, pre-wetting and pre-chemical treatment, reduction, annealing, Photoresist peeling and surface pre-activation. The electrodeposition equipment 1300 in the figure is schematically shown from top to bottom, and only a single level or "layer" is shown in the figure, but those skilled in the art can easily understand such equipment (such as: Lam Research Corporation of Fremont, CA's Sabre 3D tool) can have more than two levels stacked on top of each other, each of which can have the same or different kinds of processing stations.

再次參照圖13,欲電鍍的基板1306一般會經由一前端載入FOUP 1301饋送至電沉積設備1300,且在此範例中,基板由前端機器人1302從FOUP中載送至電沉積設備1300的主要基板處理區域,該前端機器人可以取回且移動基板,且係藉由主軸1303以多維的形式由可接取站的一站至另一站(此範例中顯示為二個前端可接取站1304,以及另外二個前端可接取站1308)而加以驅動。前端可接取站1304及1308可包含例如預處理站、旋轉清洗乾燥(SRD)站。該等站1304及1308也可為本文所描述之移除站。利用機器人軌道1302a達成前端機器人1302的一側到另一側的橫向移動。每個基板1306可被杯狀/錐狀組件(圖未顯示)所固持,該杯狀/錐狀組件係由連接至馬達(圖未顯示)的主軸1303所驅動,且該馬達可連接至安裝支架1309。此範例中也顯示四個雙重的電鍍槽1307(即電鍍槽1307總數為8個)。電鍍槽1307可用於對於含銅的結構電鍍銅,及對於焊接結構電鍍焊料(以及其他可能的材料)。系統控制器(圖未顯示)可連接至電沉積設備1300,以控制電沉積設備1300之部分或全部的性能。系統控制器可加以編程或以其他方式設定,以執行依據本文先前描述的製程之指令。 Referring to FIG. 13 again, the substrate 1306 to be plated is generally fed to the electrodeposition equipment 1300 via a front-loading FOUP 1301, and in this example, the substrate is carried from the FOUP by the front-end robot 1302 to the main substrate of the electrodeposition equipment 1300 Processing area, the front-end robot can retrieve and move the substrate, and it is multi-dimensionally moved from one station of the accessible station to the other by the main shaft 1303 (shown as two front-end accessible stations 1304 in this example, And the other two front ends can access the station 1308) to be driven. The front-end accessible stations 1304 and 1308 may include, for example, a pretreatment station, a spin cleaning and drying (SRD) station. These stations 1304 and 1308 may also be removal stations as described herein. The robot track 1302a is used to achieve lateral movement from one side of the front-end robot 1302 to the other. Each base plate 1306 can be held by a cup / tapered component (not shown), which is driven by a spindle 1303 connected to a motor (not shown), and the motor can be connected to a mounting Bracket 1309. This example also shows four double plating tanks 1307 (that is, a total of eight plating tanks 1307). The plating bath 1307 can be used to electroplat copper for copper-containing structures, and electroplated solder (and other possible materials) for soldered structures. A system controller (not shown) may be connected to the electrodeposition apparatus 1300 to control the performance of part or all of the electrodeposition apparatus 1300. The system controller can be programmed or otherwise configured to execute instructions according to the process previously described herein.

描述於上文中的各種不同硬體及方法的實施例可結合如用於半導體裝置、顯示器、LEDs、太陽能板等製造或生產的微影圖案化工具或製程來使用。通常,雖然非必須,這樣的工具/製程將一起使用或實施在共同的製造設施中。 The various hardware and method embodiments described above can be used in combination with lithographic patterning tools or processes used in the manufacture or production of semiconductor devices, displays, LEDs, solar panels, etc. Usually, though not necessarily, such tools / processes will be used together or implemented in a common manufacturing facility.

描述於上文中的電鍍設備/方法可結合如用於半導體裝置、顯示器、LEDs、太陽能板等製造或生產的微影圖案化工具或製程來使用。通常,雖然非必須,這樣的工具/製程將一起使用或實施在共同的製造設施中。薄膜的微影圖案化通常包含部份或全部的以下步驟(使用一些可能的工具來執行每個步驟):(1)使用旋塗或噴塗工具來將光阻塗佈在工件上(即:基板);(2)使用加熱板、爐、或UV硬化工具來硬化光阻;(3)使用像是晶圓步進機的工具來將光阻曝露於可見光、或UV光、或X射線光;(4)使用像是濕台(wet bench)的工具來顯影光阻,以便選擇性地移除光阻且藉此圖案化光阻;(5)藉由使用乾式或電漿輔助蝕刻工具來轉移光阻 圖案至下層的薄膜或工件中;及(6)使用像是RF或微波電漿光阻去除器這類的工具來移除光阻。 The electroplating equipment / method described above can be used in conjunction with lithographic patterning tools or processes, such as those used in the manufacture or production of semiconductor devices, displays, LEDs, solar panels, and the like. Usually, though not necessarily, such tools / processes will be used together or implemented in a common manufacturing facility. The lithographic patterning of a thin film usually includes some or all of the following steps (using some possible tools to perform each step): (1) using spin coating or spray tools to apply photoresist to the workpiece (ie, substrate ); (2) use a hot plate, furnace, or UV hardening tool to harden the photoresist; (3) use a tool like a wafer stepper to expose the photoresist to visible light, or UV light, or X-ray light; (4) use a tool like a wet bench to develop the photoresist to selectively remove the photoresist and thereby pattern the photoresist; (5) transfer by using a dry or plasma-assisted etching tool Photoresist Pattern into the underlying film or workpiece; and (6) use a tool such as an RF or microwave plasma resist remover to remove the resist.

Claims (27)

一種將金屬電鍍到基板上之方法,該方法包含:將一電解液流過一電鍍再循環迴路,該電鍍再循環迴路包含一電解液貯槽、一泵、一電鍍槽、及一脫氣器,該脫氣器在該電解液導入至該電鍍槽之前將該電解液脫氣;在該電解液脫氣之後且在該電解液導入至該電鍍槽中之前,將一氣體注入該電解液中;將該基板浸入該電鍍槽中的該電解液,其中浸入期間之該電鍍槽中之壓力係約100Torr以下;將材料電鍍到該基板上;及將該基板由該電解液中移開。A method for electroplating a metal onto a substrate, the method comprising: flowing an electrolyte through a plating recirculation loop, the plating recirculation loop including an electrolyte storage tank, a pump, a plating tank, and a degasser, The degasser degass the electrolyte before the electrolyte is introduced into the plating tank; after the electrolyte is degassed and before the electrolyte is introduced into the plating tank, a gas is injected into the electrolyte; The substrate is immersed in the electrolyte in the plating bath, wherein the pressure in the plating bath during immersion is about 100 Torr or less; the material is plated on the substrate; and the substrate is removed from the electrolyte. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,其中浸入期間之該電鍍槽中之壓力係至少約20Torr。For example, the method for plating a metal onto a substrate according to item 1 of the patent application, wherein the pressure in the plating bath during immersion is at least about 20 Torr. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,其中將該基板浸入該電解液中之步驟發生在約225ms以下的時間段期間,且其中該基板具有約150mm以上的直徑。For example, the method for plating a metal onto a substrate according to item 1 of the patent application, wherein the step of immersing the substrate in the electrolyte occurs during a period of time of about 225 ms or less, and wherein the substrate has a diameter of about 150 mm or more. 如申請專利範圍第3項之將金屬電鍍到基板上之方法,其中將該基板浸入該電解液中之步驟發生在約50ms以下的時間段期間,且其中該基板具有約150mm以上的直徑。For example, a method for plating a metal onto a substrate according to item 3 of the patent application, wherein the step of immersing the substrate in the electrolyte occurs during a period of time of about 50 ms or less, and wherein the substrate has a diameter of about 150 mm or more. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,其中將該基板浸入該電解液中之步驟發生在具有一第一持續時間的時間段期間,且將材料電鍍以填充該基板上之一特徵部的步驟發生在具有一第二持續時間的時間段期間,且其中該第一持續時間約為該第二持續時間的10%以下。For example, the method for plating a metal onto a substrate according to item 1 of the patent application, wherein the step of immersing the substrate in the electrolyte occurs during a period of time having a first duration, and the material is plated to fill the substrate A feature step occurs during a time period having a second duration, and wherein the first duration is less than about 10% of the second duration. 如申請專利範圍第5項之將金屬電鍍到基板上之方法,其中該特徵部係以體積測量之該基板上的一最小特徵部。For example, the method for plating a metal on a substrate according to item 5 of the patent application, wherein the feature is a smallest feature on the substrate measured by volume. 如申請專利範圍第5項之將金屬電鍍到基板上之方法,其中該特徵部係以體積測量之該基板上的一中尺寸特徵部。For example, the method for electroplating a metal onto a substrate according to item 5 of the application, wherein the feature is a medium-size feature on the substrate measured by volume. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,其中以一角度將該基板浸入,且其中該基板以介於約0.25-10度/秒的擺動速度擺向水平方向。For example, the method for plating a metal onto a substrate according to item 1 of the application, wherein the substrate is immersed at an angle, and the substrate is swung in a horizontal direction at a swing speed of about 0.25-10 degrees / second. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,其中該電鍍槽中之壓力於電鍍的至少一開始約10ms期間保持於約100Torr以下。For example, the method for plating a metal onto a substrate according to item 1 of the scope of the patent application, wherein the pressure in the plating bath is maintained below about 100 Torr for at least 10 ms from the beginning of the plating. 如申請專利範圍第9項之將金屬電鍍到基板上之方法,其中該電鍍槽中之壓力一直到電鍍停止後為止保持於約100Torr以下。For example, the method for plating a metal onto a substrate according to item 9 of the scope of patent application, wherein the pressure in the plating tank is maintained below about 100 Torr until after the plating is stopped. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,更包含將該基板插入一負載鎖室中,且將該負載鎖室中之壓力降低到低於約100Torr。For example, the method for plating metal onto a substrate according to item 1 of the patent application scope further includes inserting the substrate into a load lock chamber and reducing the pressure in the load lock chamber to less than about 100 Torr. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,其中該氣體係氧,且將氧注入達到約10ppm以下之電解液濃度。For example, the method for electroplating a metal onto a substrate according to item 1 of the scope of the patent application, wherein the gas is oxygen, and the oxygen is injected to an electrolyte concentration of less than about 10 ppm. 如申請專利範圍第12項之將金屬電鍍到基板上之方法,其中將氧注入達到約1ppm以下之電解液濃度。For example, the method for electroplating a metal onto a substrate according to item 12 of the application, wherein oxygen is injected to an electrolyte concentration of less than about 1 ppm. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,更包含將該電解液流過一氣體控制再循環迴路,該氣體控制再循環迴路包含該電解液貯槽及一溶解氣體感應器,其中一溶解氣體控制器依據來自該溶解氣體感應器之輸入控制一氣體注入單元,以調節該電解液中之溶解氣體濃度。For example, the method for electroplating a metal onto a substrate according to item 1 of the scope of the patent application further includes flowing the electrolyte through a gas-controlled recirculation circuit. The gas-controlled recirculation circuit includes the electrolyte storage tank and a dissolved gas sensor. One of the dissolved gas controllers controls a gas injection unit according to the input from the dissolved gas sensor to adjust the dissolved gas concentration in the electrolyte. 如申請專利範圍第14項之將金屬電鍍到基板上之方法,其中將該電鍍再循環迴路與該氣體控制再循環迴路分隔開來。For example, the method for electroplating a metal onto a substrate according to item 14 of the application, wherein the electroplating recirculation circuit is separated from the gas control recirculation circuit. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,其中在電鍍期間,該電解液藉由通過一旁通導管繞過該電鍍再循環迴路之該電解液貯槽。For example, the method for plating a metal onto a substrate according to item 1 of the patent application, wherein during the plating, the electrolyte bypasses the electrolyte storage tank of the electroplating recirculation circuit by a bypass duct. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,更包含於電鍍未發生時將該電解液流過一大氣壓再循環迴路,其中該大氣壓再循環迴路包含該電解液貯槽、一大氣壓電解液貯槽、及一大氣壓迴路泵。For example, the method for electroplating a metal onto a substrate according to item 1 of the scope of patent application further includes flowing the electrolyte through an atmospheric pressure recirculation circuit when the electroplating has not occurred, wherein the atmospheric pressure recirculation circuit includes the electrolyte storage tank and an atmospheric pressure. Electrolyte storage tank and atmospheric pressure circuit pump. 如申請專利範圍第1項之將金屬電鍍到基板上之方法,更包含將該電解液於一脫氣電解液貯槽中加以脫氣,以及將該電解液流過一脫氣再循環迴路及一大氣壓再循環迴路,其中該脫氣再循環迴路包含該電解液貯槽、一脫氣迴路泵、及一脫氣電解液貯槽,且其中該大氣壓再循環迴路包含該脫氣電解液貯槽、一大氣壓迴路泵、及一大氣壓電解液貯槽。For example, the method for electroplating a metal onto a substrate according to item 1 of the patent scope further includes degassing the electrolyte in a degassed electrolyte storage tank, and flowing the electrolyte through a degassing recirculation circuit and a Atmospheric pressure recirculation circuit, wherein the degassing recirculation circuit includes the electrolyte storage tank, a degassing circuit pump, and a degassed electrolyte storage tank, and wherein the atmospheric pressure recirculation circuit includes the degassed electrolyte storage tank and an atmospheric pressure circuit Pump, and a storage tank for atmospheric pressure electrolyte. 一種用於將金屬電鍍至基板上的設備,該設備包含:一電鍍槽,配置成承受低於約100Torr之壓力,該電鍍槽包含一基板夾持具、一電解液容納槽、及一基板定位系統,該基板定位系統能夠在基板浸入該電解液容納槽之時控制該基板的方向;一電鍍再循環迴路,該電鍍再循環迴路包含一電解液貯槽、一泵、一脫氣器、及該電鍍槽,其中將該脫氣器設置於該電鍍再循環迴路中之該電解液貯槽之後以及該電鍍槽前;一氣體注入單元;及一電鍍控制器,具有指令用以:將一電解液流過該電鍍再循環迴路,在該電解液脫氣之後且在該電解液導入至該電鍍槽中之前,將一氣體從該氣體注入單元注入該電解液中,將該基板浸入該電解液容納槽中的該電解液,同時在該電解液容納槽中維持約100Torr以下之壓力,將材料電鍍到該基板上,及將該基板由該電解液中移開。An apparatus for electroplating a metal onto a substrate, the apparatus comprising: an electroplating tank configured to withstand a pressure lower than about 100 Torr, the electroplating tank including a substrate holder, an electrolyte storage tank, and a substrate positioning System, the substrate positioning system can control the direction of the substrate when the substrate is immersed in the electrolyte storage tank; a plating recycling circuit, the plating recycling circuit includes an electrolyte storage tank, a pump, a deaerator, and the A plating tank in which the deaerator is disposed after the electrolyte storage tank and in front of the plating tank in the plating recycling circuit; a gas injection unit; and a plating controller having instructions for: Through the electroplating recycling circuit, after the electrolyte is degassed and before the electrolyte is introduced into the electroplating tank, a gas is injected into the electrolyte from the gas injection unit, and the substrate is immersed in the electrolyte storage tank The electrolyte in the electrolyte, while maintaining a pressure of about 100 Torr or less in the electrolyte containing tank, plating the material onto the substrate, and removing the substrate from the electrolyte. 如申請專利範圍第19項之用於將金屬電鍍至基板上的設備,其中該基板定位系統能夠控制該基板的移動、傾斜、及轉動。For example, a device for electroplating a metal onto a substrate according to item 19 of the application, wherein the substrate positioning system can control the movement, tilt, and rotation of the substrate. 如申請專利範圍第19項之用於將金屬電鍍至基板上的設備,更包含一溶解氣體感應器。For example, the device for electroplating a metal onto a substrate according to item 19 of the patent application scope further includes a dissolved gas sensor. 如申請專利範圍第21項之用於將金屬電鍍至基板上的設備,更包含一溶解氣體控制器,其中該溶解氣體控制器依據來自該溶解氣體感應器的測量結果控制該氣體注入單元。For example, the apparatus for electroplating a metal onto a substrate according to item 21 of the patent application further includes a dissolved gas controller, wherein the dissolved gas controller controls the gas injection unit according to a measurement result from the dissolved gas sensor. 如申請專利範圍第19項之用於將金屬電鍍至基板上的設備,更包含一旁通導管,其中該電鍍控制器係用於在電鍍期間將電解液流過該旁通導管,以藉此繞過該電解液貯槽。For example, the equipment for electroplating a metal onto a substrate according to item 19 of the patent application further includes a bypass duct, wherein the electroplating controller is used to flow the electrolyte through the bypass duct during electroplating so as to bypass the bypass duct. Pass the electrolyte storage tank. 如申請專利範圍第19項之用於將金屬電鍍至基板上的設備,更包含一大氣壓再循環迴路,該大氣壓再循環迴路包含該電解液貯槽、一大氣壓迴路泵,及一大氣壓電解液貯槽,其中該電鍍控制器係用於防止該大氣壓再循環迴路於電鍍期間流通。For example, the equipment for electroplating a metal onto a substrate according to item 19 of the patent scope further includes an atmospheric pressure recirculation circuit including the electrolyte storage tank, an atmospheric pressure circuit pump, and an atmospheric pressure electrolyte storage tank. The electroplating controller is used to prevent the atmospheric pressure recirculation circuit from flowing during electroplating. 如申請專利範圍第19項之用於將金屬電鍍至基板上的設備,更包含一脫氣電解液再循環迴路及一大氣壓再循環迴路,其中該脫氣電解液再循環迴路包含該電解液貯槽、一泵、及一脫氣電解液貯槽,且該大氣壓再循環迴路包含該脫氣電解液貯槽、一泵、及一大氣壓電解液貯槽,其中該電鍍控制器係用於確保該脫氣再循環迴路於電鍍期間並無流通。For example, the equipment for electroplating a metal onto a substrate according to item 19 of the patent scope further includes a degassed electrolyte recycling circuit and a atmospheric pressure recycling circuit, wherein the degassed electrolyte recycling circuit includes the electrolyte storage tank , A pump, and a degassed electrolyte storage tank, and the atmospheric pressure recirculation circuit includes the degassed electrolyte storage tank, a pump, and an atmospheric pressure electrolyte storage tank, wherein the electroplating controller is used to ensure the degassed recycling There is no circulation of the circuit during plating. 如申請專利範圍第19項之用於將金屬電鍍至基板上的設備,更包含一附加的電鍍槽,將該附加的電鍍槽配置成於約100Torr以下運作,其中該附加的電鍍槽與該電解液貯槽流體連通。For example, the equipment for electroplating a metal onto a substrate according to item 19 of the scope of the patent application further includes an additional plating tank configured to operate below about 100 Torr, wherein the additional plating tank and the electrolytic The liquid storage tank is in fluid communication. 一種將金屬電鍍到基板上之方法,該方法包含:將一電解液流過一電鍍再循環迴路,該電鍍再循環迴路包含一電解液貯槽、一泵、一電鍍槽、及一脫氣器,該脫氣器在該電解液導入至該電鍍槽之前將該電解液脫氣;將該基板浸入該電鍍槽中的該電解液,其中浸入期間之該電鍍槽中之壓力係約100Torr以下;將材料電鍍到該基板上,其中在該電鍍期間,該電解液藉由通過一旁通導管繞過該電鍍再循環迴路之該電解液貯槽;及將該基板由該電解液中移開。A method for electroplating a metal onto a substrate, the method comprising: flowing an electrolyte through a plating recirculation loop, the plating recirculation loop including an electrolyte storage tank, a pump, a plating tank, and a degasser, The degasser degass the electrolyte before the electrolyte is introduced into the plating tank; the substrate is immersed in the electrolyte in the plating tank, wherein the pressure in the plating tank during immersion is below about 100 Torr; The material is electroplated onto the substrate, wherein during the electroplating, the electrolyte bypasses the electrolyte storage tank of the electroplating recirculation loop by a bypass conduit; and the substrate is removed from the electrolyte.
TW102145646A 2012-12-11 2013-12-11 Electrofill vacuum plating cell TWI624567B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261735971P 2012-12-11 2012-12-11
US61/735,971 2012-12-11
US201361773725P 2013-03-06 2013-03-06
US61/773,725 2013-03-06

Publications (2)

Publication Number Publication Date
TW201439385A TW201439385A (en) 2014-10-16
TWI624567B true TWI624567B (en) 2018-05-21

Family

ID=50905359

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102145646A TWI624567B (en) 2012-12-11 2013-12-11 Electrofill vacuum plating cell

Country Status (4)

Country Link
JP (1) JP6397620B2 (en)
KR (1) KR20140075636A (en)
CN (1) CN103866365A (en)
TW (1) TWI624567B (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105826244A (en) * 2015-01-09 2016-08-03 中芯国际集成电路制造(上海)有限公司 Semiconductor device forming method
CN105063735B (en) * 2015-08-07 2017-09-29 东北石油大学 A kind of anode rotatory vacuum electroplanting device controlled based on PLC
CN106381517A (en) * 2016-09-19 2017-02-08 上海应用技术大学 Color printing method based on surface anodic oxidation of titanium base material
CN106400094A (en) * 2016-10-13 2017-02-15 东北石油大学 Vacuum electro-deposition reproducing experimental device based on programmable logic controller (PLC) control
KR101916588B1 (en) 2017-05-15 2018-11-07 고려대학교 산학협력단 Metal Nano-spring And Manufacturing Method Of The Same
GB2564894B (en) * 2017-07-27 2021-11-24 Semsysco Gmbh System for chemical and/or electrolytic surface treatment
GB2574177B (en) 2018-01-25 2021-07-14 Semsysco Gmbh Method and device for plating a recess in a substrate
EP3530776B1 (en) * 2018-02-26 2020-07-08 Cockerill Maintenance & Ingenierie S.A. Localised surface treatment installation and method for industrial parts
CN108441906A (en) * 2018-05-10 2018-08-24 东莞市联洲知识产权运营管理有限公司 A kind of plating vibrations air bubble extinguishing apparatus
US20220307152A1 (en) * 2019-06-28 2022-09-29 Lam Research Corporation Byproduct removal from electroplating solutions
CN110552048B (en) * 2019-09-30 2021-10-15 上海华力集成电路制造有限公司 Electroplating cavity and ECP (electron cyclotron resonance) equipment comprising same
EP3885474A1 (en) * 2020-03-25 2021-09-29 Semsysco GmbH Method for a chemical and/or electrolytic surface treatment of a substrate in a process station
JP7474673B2 (en) 2020-10-01 2024-04-25 株式会社荏原製作所 Plating apparatus, bubble removing method, and storage medium storing a program for causing a computer of the plating apparatus to execute the bubble removing method
JP2023520391A (en) * 2020-11-13 2023-05-17 ラム リサーチ コーポレーション Process tools for dry removal of photoresist
AT523842B1 (en) * 2020-11-24 2021-12-15 Ess Holding Gmbh Device for surface treatment of a workpiece in an immersion bath filled with treatment agent
CN113787049A (en) * 2021-09-15 2021-12-14 新阳硅密(上海)半导体技术有限公司 Groove type process method for single-chip wet treatment process
CN113714188A (en) * 2021-09-15 2021-11-30 新阳硅密(上海)半导体技术有限公司 Surface exhaust equipment and method for single-chip immersion type wet treatment process
CN113789562A (en) * 2021-10-21 2021-12-14 新阳硅密(上海)半导体技术有限公司 Wafer electroplating pretreatment equipment, system and method
WO2023214449A1 (en) * 2022-05-02 2023-11-09 三友セミコンエンジニアリング株式会社 Plating device for reduced-pressure plating treatment and reduced-pressure plating treatment method
JPWO2023248416A1 (en) * 2022-06-23 2023-12-28
CN115775849A (en) * 2022-12-22 2023-03-10 通威太阳能(成都)有限公司 Solar cell, preparation method thereof, electroplating device and electroplating system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102677139A (en) * 2011-01-07 2012-09-19 诺发系统有限公司 Configuration and method of operation of an electrodeposition system for improved process stability and performance
CN102804343A (en) * 2009-06-17 2012-11-28 诺发系统有限公司 Apparatus for wetting pretreatment for enhanced damascene metal filling

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0772357B2 (en) * 1989-03-07 1995-08-02 日本電気株式会社 Electroplating method
KR100586481B1 (en) * 1997-09-02 2006-11-30 가부시키가이샤 에바라 세이사꾸쇼 How to Plate the Substrate
JP3589090B2 (en) * 1999-06-01 2004-11-17 セイコーエプソン株式会社 Film formation method
US6391209B1 (en) * 1999-08-04 2002-05-21 Mykrolis Corporation Regeneration of plating baths
KR100804714B1 (en) * 2000-03-17 2008-02-18 가부시키가이샤 에바라 세이사꾸쇼 Plating apparatus and method
JP2001316889A (en) * 2000-05-08 2001-11-16 Tokyo Electron Ltd Equipment and system for liquid treatment
US6551487B1 (en) * 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
JP2003129283A (en) * 2001-10-18 2003-05-08 Hitachi Ltd Plating device and process for manufacturing semiconductor device using the same
US7189146B2 (en) * 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
US9028666B2 (en) * 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102804343A (en) * 2009-06-17 2012-11-28 诺发系统有限公司 Apparatus for wetting pretreatment for enhanced damascene metal filling
CN102677139A (en) * 2011-01-07 2012-09-19 诺发系统有限公司 Configuration and method of operation of an electrodeposition system for improved process stability and performance

Also Published As

Publication number Publication date
TW201439385A (en) 2014-10-16
KR20140075636A (en) 2014-06-19
JP6397620B2 (en) 2018-09-26
JP2014139341A (en) 2014-07-31
CN103866365A (en) 2014-06-18

Similar Documents

Publication Publication Date Title
TWI624567B (en) Electrofill vacuum plating cell
US9677188B2 (en) Electrofill vacuum plating cell
US10301738B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
KR102279672B1 (en) Apparatus for advanced packaging applications
KR102113883B1 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
KR102092416B1 (en) Cleaning electroplating substrate holders using reverse current deplating
TWI656246B (en) Alkaline pretreatment for electroplating
US20040118694A1 (en) Multi-chemistry electrochemical processing system
US10011917B2 (en) Control of current density in an electroplating apparatus
KR102563118B1 (en) Convection Optimization for Mixed Feature Electroplating
US20110073469A1 (en) Electrochemical deposition system
US9385035B2 (en) Current ramping and current pulsing entry of substrates for electroplating
JP2006511717A5 (en)
EP3659176A1 (en) Electro-oxidative metal removal in through mask interconnect fabrication
US20180223444A1 (en) Plating apparatus and substrate holder used together with plating apparatus
CN114514340A (en) Differential contrast plating for advanced packaging applications
US20160355939A1 (en) Polarization stabilizer additive for electroplating
US20230340686A1 (en) Electrohydrodynamic ejection printing and electroplating for photoresist-free formation of metal features