TWI615668B - Method of forming phase shift mask - Google Patents

Method of forming phase shift mask Download PDF

Info

Publication number
TWI615668B
TWI615668B TW105137778A TW105137778A TWI615668B TW I615668 B TWI615668 B TW I615668B TW 105137778 A TW105137778 A TW 105137778A TW 105137778 A TW105137778 A TW 105137778A TW I615668 B TWI615668 B TW I615668B
Authority
TW
Taiwan
Prior art keywords
layer
patterned
mask
phase shift
patterning
Prior art date
Application number
TW105137778A
Other languages
Chinese (zh)
Other versions
TW201820022A (en
Inventor
陳俊郎
涂志強
楊世豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Priority to TW105137778A priority Critical patent/TWI615668B/en
Application granted granted Critical
Publication of TWI615668B publication Critical patent/TWI615668B/en
Publication of TW201820022A publication Critical patent/TW201820022A/en

Links

Abstract

一種形成相位移光罩的方法。在透光基板上依序形成相位移層、遮蔽層、促進層以及罩幕層。圖案化罩幕層,以形成圖案化罩幕層。以圖案化罩幕層為罩幕,對促進層以及遮蔽層進行蝕刻,以形成圖案化促進層以及第一圖案化遮蔽層。同時移除圖案化罩幕層以及圖案化促進層。以第一圖案化遮蔽層為罩幕,對相位移層進行蝕刻,以形成圖案化相位移層。選擇性移除第一圖案化遮蔽層,以形成第二圖案化遮蔽層。A method of forming a phase shift mask. A phase shift layer, a shielding layer, a promotion layer, and a mask layer are sequentially formed on the light-transmitting substrate. The mask layer is patterned to form a patterned mask layer. The promotion layer and the shielding layer are etched by using the patterned mask layer as a mask to form a patterning promoting layer and a first patterned shielding layer. The patterned mask layer and the patterning promoting layer are simultaneously removed. The phase shifting layer is etched with the first patterned masking layer as a mask to form a patterned phase shifting layer. The first patterned masking layer is selectively removed to form a second patterned masking layer.

Description

相位移光罩的形成方法Method for forming phase shift mask

本發明實施例是有關於一種光罩的形成方法。Embodiments of the present invention relate to a method of forming a reticle.

隨著半導體技術的發展,半導體元件的尺寸愈來愈小,使其關鍵尺寸(critical dimension)愈來愈接近曝光機台的光學物理極限。然而,為了提升光罩的解析度,往往需要更先進的曝光機台,或藉由在光罩的製程中加入多道步驟來改善,因而導致設備成本增加或造成製程複雜化。With the development of semiconductor technology, the size of semiconductor components is getting smaller and smaller, making their critical dimensions closer to the optical physical limit of the exposure machine. However, in order to improve the resolution of the reticle, a more advanced exposure machine is often required, or by adding multiple steps in the process of the reticle, resulting in an increase in equipment cost or a complicated process.

本發明實施例提供一種相位移光罩的形成方法。在透光基板上依序形成相位移層、遮蔽層、促進層以及罩幕層。圖案化罩幕層,以形成圖案化罩幕層。以圖案化罩幕層為罩幕,對促進層以及遮蔽層進行圖案化,以形成圖案化促進層以及第一圖案化遮蔽層。移除圖案化促進層,其中在移除圖案化促進層時同時移除圖案化罩幕層。以第一圖案化遮蔽層為罩幕,對相位移層進行蝕刻,以形成圖案化相位移層。選擇性移除第一圖案化遮蔽層,以形成第二圖案化遮蔽層。Embodiments of the present invention provide a method of forming a phase shift mask. A phase shift layer, a shielding layer, a promotion layer, and a mask layer are sequentially formed on the light-transmitting substrate. The mask layer is patterned to form a patterned mask layer. The promotion layer and the shielding layer are patterned by using the patterned mask layer as a mask to form a patterning promoting layer and a first patterned shielding layer. The patterning promoting layer is removed, wherein the patterned mask layer is simultaneously removed when the patterning promoting layer is removed. The phase shifting layer is etched with the first patterned masking layer as a mask to form a patterned phase shifting layer. The first patterned masking layer is selectively removed to form a second patterned masking layer.

本發明實施例提供一種相位移光罩的形成方法。在透光基板上依序形成相位移層、遮蔽層以及雙聚合物層,其中雙聚合物層包括罩幕層以及位於遮蔽層以及罩幕層之間的促進層。圖案化罩幕層,以形成圖案化罩幕層。以圖案化罩幕層為罩幕,對促進層以及遮蔽層進行圖案化,以形成圖案化促進層以及第一圖案化遮蔽層。移除圖案化促進層,其中在移除圖案化促進層時同時移除圖案化罩幕層。以第一圖案化遮蔽層為罩幕,對相位移層進行蝕刻,以形成圖案化相位移層。移除部分第一圖案化遮蔽層,以形成第二圖案化遮蔽層。Embodiments of the present invention provide a method of forming a phase shift mask. A phase shifting layer, a shielding layer and a double polymer layer are sequentially formed on the light transmissive substrate, wherein the double polymer layer comprises a mask layer and a promoting layer between the shielding layer and the mask layer. The mask layer is patterned to form a patterned mask layer. The promotion layer and the shielding layer are patterned by using the patterned mask layer as a mask to form a patterning promoting layer and a first patterned shielding layer. The patterning promoting layer is removed, wherein the patterned mask layer is simultaneously removed when the patterning promoting layer is removed. The phase shifting layer is etched with the first patterned masking layer as a mask to form a patterned phase shifting layer. A portion of the first patterned shielding layer is removed to form a second patterned shielding layer.

本發明實施例提供一種相位移光罩的形成方法。在透光基板上依序形成相位移層、遮蔽層以及促進層。以相同的蝕刻氣體源,同時對促進層以及遮蔽層進行蝕刻,以形成圖案化促進層以及第一圖案化遮蔽層。移除圖案化促進層。以第一圖案化遮蔽層為罩幕,對相位移層進行蝕刻,以形成圖案化相位移層。選擇性移除第一圖案化遮蔽層,以形成第二圖案化遮蔽層。Embodiments of the present invention provide a method of forming a phase shift mask. A phase shift layer, a shielding layer, and a promoting layer are sequentially formed on the light-transmitting substrate. The promotion layer and the shielding layer are simultaneously etched with the same etching gas source to form a patterning promoting layer and a first patterned shielding layer. The patterning promoting layer is removed. The phase shifting layer is etched with the first patterned masking layer as a mask to form a patterned phase shifting layer. The first patterned masking layer is selectively removed to form a second patterned masking layer.

為讓本發明實施例的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。The above described features and advantages of the embodiments of the present invention will become more apparent and understood.

以下發明內容提供用於實施所提供的標的之不同特徵的許多不同實施例或實例。以下所描述的構件及配置的具體實例是為了以簡化的方式傳達本發明為目的。當然,這些僅僅為實例而非用以限制。舉例來說,於以下描述中,在第一特徵上方或在第一特徵上形成第二特徵可包括第二特徵與第一特徵形成為直接接觸的實施例,且亦可包括第二特徵與第一特徵之間可形成有額外特徵使得第二特徵與第一特徵可不直接接觸的實施例。此外,本發明在各種實例中可使用相同的元件符號及/或字母來指代相同或類似的部件。元件符號的重複使用是為了簡單及清楚起見,且並不表示所欲討論的各個實施例及/或配置本身之間的關係。The following summary provides many different embodiments or examples for implementing different features of the subject matter provided. Specific examples of the components and configurations described below are for the purpose of conveying the present invention in a simplified manner. Of course, these are merely examples and not intended to be limiting. For example, in the following description, forming the second feature over the first feature or on the first feature may include an embodiment in which the second feature is formed in direct contact with the first feature, and may also include a second feature and Embodiments may be formed with a feature such that the second feature may not be in direct contact with the first feature. In addition, the present invention may have the same component symbols and/or letters in the various examples to refer to the same or similar components. The repeated use of the component symbols is for simplicity and clarity and does not represent a relationship between the various embodiments and/or configurations themselves to be discussed.

另外,為了易於描述附圖中所繪示的一個構件或特徵與另一組件或特徵的關係,本文中可使用例如「在...下」、「在...下方」、「下部」、「在…上」、「在…上方」、「上部」及類似術語的空間相對術語。除了附圖中所繪示的定向之外,所述空間相對術語意欲涵蓋元件在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地作出解釋。In addition, in order to facilitate the description of the relationship between one component or feature illustrated in the drawings and another component or feature, for example, "under", "below", "lower", Spatial relative terms for "on", "above", "upper" and similar terms. In addition to the orientation depicted in the figures, the spatially relative terms are intended to encompass different orientations of the elements in use or operation. The device can be otherwise oriented (rotated 90 degrees or at other orientations), while the spatially relative terms used herein are interpreted accordingly.

圖1至圖7為依照本發明一些實施例的一種相位移光罩的製造過程的各種階段的剖面示意圖。圖8為依照本發明一些實施例的一種相位移光罩的製造過程的流程圖。1 through 7 are cross-sectional views showing various stages of a process for fabricating a phase shift mask in accordance with some embodiments of the present invention. 8 is a flow chart of a process for fabricating a phase shift mask in accordance with some embodiments of the present invention.

請參照圖1以及圖8,步驟800,提供透光基板100。透光基板100的材料包括石英、熔融二氧化矽、氟化鈣、玻璃或其他合適的透光材料。Referring to FIG. 1 and FIG. 8, step 800, a transparent substrate 100 is provided. The material of the transparent substrate 100 includes quartz, molten cerium oxide, calcium fluoride, glass or other suitable light transmissive material.

接著,進行步驟810,在透光基板100上形成相位移層200。相位移層200可對穿透透光基板100的光產生180度左右的相位位移,且相位移層200的透光率例如是在4%至20%之間。在一些實施例中,相位移層200的透光率為6%;在另一些實施例中,相位移層200的透光率為9%;在又一些實施例中,相位移層200的透光率為19%。相位移層200的材料包括Mo xSi y、MoSi xN y、MoSi xO yN z、SiN或其他合適的材料,其中X、Y、Z的範圍分別是本領域中所熟知的可行化學計量範圍。相位移層200可以利用電子射線(EB)蒸鍍法、雷射蒸鍍法(laser deposition)、原子層成膜(ALD)法、離子輔助濺鍍法等來形成。相位移層200的厚度例如是在約60奈米(nm)至約80奈米之間。 Next, in step 810, the phase shift layer 200 is formed on the light-transmitting substrate 100. The phase shift layer 200 can generate a phase shift of about 180 degrees for light penetrating the light-transmitting substrate 100, and the light transmittance of the phase shift layer 200 is, for example, between 4% and 20%. In some embodiments, the phase shift layer 200 has a light transmittance of 6%; in other embodiments, the phase shift layer 200 has a light transmittance of 9%; in still other embodiments, the phase shift layer 200 is transparent. The light rate is 19%. The material of the phase shifting layer 200 includes Mo x Si y , MoSi x N y , MoSi x O y N z , SiN or other suitable materials, wherein the ranges of X, Y, and Z are respectively feasible stoichiometry well known in the art. range. The phase shift layer 200 can be formed by an electron beam (EB) vapor deposition method, a laser deposition method, an atomic layer film formation (ALD) method, an ion assisted sputtering method, or the like. The thickness of the phase shifting layer 200 is, for example, between about 60 nanometers (nm) and about 80 nanometers.

之後,進行步驟810,在透光基板100上形成相位移層200後,於相位移層200上形成遮蔽層300。遮蔽層300的材料包括Cr、CrO xN y、CrN x、Cr 2O 3、SiN、SiO xN y、SiC、SiO xC y、TiN、TiSi xN y、Mo xSi y、TaN、TaBN或其他合適的不透光材料,X、Y、Z的範圍分別是本領域中所熟知的可行化學計量範圍。相位移層200可以利用化學氣相沉積法(CVD)或物理氣相沉積法(PVD)等來形成,厚度例如是在約40奈米至約60奈米之間。 Thereafter, in step 810, after the phase shift layer 200 is formed on the light-transmitting substrate 100, the shielding layer 300 is formed on the phase shift layer 200. The material of the shielding layer 300 includes Cr, CrO x N y , CrN x , Cr 2 O 3 , SiN, SiO x N y , SiC, SiO x C y , TiN, TiSi x N y , Mo x Si y , TaN, TaBN. Or other suitable opaque materials, the ranges of X, Y, Z are respectively possible stoichiometric ranges well known in the art. The phase shift layer 200 may be formed by chemical vapor deposition (CVD) or physical vapor deposition (PVD) or the like, and has a thickness of, for example, between about 40 nm and about 60 nm.

請繼續參照圖1以及圖8,進行步驟810,在相位移層200上形成遮蔽層300後,於遮蔽層300上形成促進層400。在一些實施例中,促進層400的材料包括有機材料。促進層400例如是包括共聚合物材料。在一些示範實施例中,促進層400是由共聚合物材料所構成。用來形成促進層400的共聚合物材料為非感光性材料,且促進層400中不含有光致產酸劑(photoacid generator,PAG)。用來形成促進層400的共聚合物材料的一部分單體包括具有疏水基的單體,具有疏水基的單體包括甲基丙烯酸甲酯、二苯基甲酮、甲基丙烯酸縮水甘油酯或其他。在一些實施例中,用來形成促進層400的共聚合物材料的分子量大於或等於10,000。形成促進層400的方法例如是以有機溶劑溶解由選定的單體所形成的共聚合物材料;以旋轉塗佈法(spin coating)將具有共聚合物材料的溶液均勻塗佈在遮蔽層300上;烘烤塗佈在遮蔽層300上的具有共聚合物材料的溶液,以形成促進層400。促進層400的厚度例如是在約10奈米至約20奈米之間。 Referring to FIG. 1 and FIG. 8 , in step 810 , after the shielding layer 300 is formed on the phase shift layer 200 , the promoting layer 400 is formed on the shielding layer 300 . In some embodiments, the material of the promotion layer 400 includes an organic material. The promotion layer 400 is, for example, comprising a copolymer material. In some exemplary embodiments, the facilitating layer 400 is comprised of a copolymeric material. The copolymer material used to form the promoting layer 400 is a non-photosensitive material, and the promoting layer 400 does not contain a photoacid generator (PAG). A part of the monomer of the copolymer material used to form the promoting layer 400 includes a monomer having a hydrophobic group, and the monomer having a hydrophobic group includes methyl methacrylate, diphenyl ketone, glycidyl methacrylate or the like. . In some embodiments, the molecular weight of the copolymer material used to form the promoting layer 400 is greater than or equal to 10,000. The method of forming the promotion layer 400 is, for example, dissolving a copolymer material formed of selected monomers in an organic solvent; uniformly coating a solution having a copolymer material on the shielding layer 300 by spin coating. A solution having a copolymer material coated on the masking layer 300 is baked to form the promoting layer 400. The thickness of the promoting layer 400 is, for example, between about 10 nanometers and about 20 nanometers.

請繼續參照圖1以及圖8,進行步驟810,在遮蔽層300上形成促進層400後,於促進層400上形成罩幕層500。在一些實施例中,罩幕層500的組成與促進層400的組成不同。在一些示範實施例中,罩幕層500可以是光阻層。罩幕層500例如是正光阻層或負光阻層。光阻層的材料例如是包括感光性聚合物材料、交聯劑以 及光致產酸劑。形成罩幕層500的方法例如是以有機溶劑溶解選定的光阻材料;以旋轉塗佈法將具有光阻材料的溶液均勻塗佈在促進層400上;烘烤塗佈在促進層400上的具有光阻材料的溶液,以形成罩幕層500。罩幕層500的厚度例如是在約800埃至約2000埃之間。 Referring to FIG. 1 and FIG. 8 , step 810 is performed to form the mask layer 500 on the promoting layer 400 after the promoting layer 400 is formed on the shielding layer 300 . In some embodiments, the composition of the mask layer 500 is different from the composition of the facilitating layer 400. In some exemplary embodiments, mask layer 500 can be a photoresist layer. The mask layer 500 is, for example, a positive photoresist layer or a negative photoresist layer. The material of the photoresist layer is, for example, a photosensitive polymer material and a crosslinking agent. And photoacid generators. The method of forming the mask layer 500 is, for example, dissolving a selected photoresist material in an organic solvent; uniformly coating a solution having a photoresist material on the promotion layer 400 by spin coating; and baking coating on the promotion layer 400. A solution of photoresist material is formed to form mask layer 500. The thickness of the mask layer 500 is, for example, between about 800 angstroms and about 2000 angstroms.

在本發明的一些實施例中,促進層400與罩幕層500之間具有良好的黏著性,且促進層400與遮蔽層300之間均具有良好的黏著性。再者,促進層400與罩幕層500不互溶,因此,在形成罩幕層500的過程中,促進層400不會被溶解。促進層400與罩幕層500具有不同的組成,使得促進層400與罩幕層500具有不同的化學特性與物理特性。在一些示範實施例中,罩幕層500包括感光性聚合物材料、交聯劑以及光致產酸劑;促進層400包括非感光性聚合物,但不包括交聯劑以及光致產酸劑。 In some embodiments of the present invention, the adhesion layer 400 and the mask layer 500 have good adhesion, and the adhesion between the promotion layer 400 and the shielding layer 300 is good. Furthermore, the promotion layer 400 is not miscible with the mask layer 500, and therefore, the promotion layer 400 is not dissolved during the formation of the mask layer 500. The promotion layer 400 and the mask layer 500 have different compositions such that the promotion layer 400 and the mask layer 500 have different chemical and physical properties. In some exemplary embodiments, the mask layer 500 includes a photosensitive polymer material, a crosslinking agent, and a photoacid generator; the promotion layer 400 includes a non-photosensitive polymer, but does not include a crosslinking agent and a photoacid generator. .

從另一方面來說,在一些實施例中,促進層400與罩幕層500均包括聚合物層,故,可一起被視為是一雙聚合物層450。然而,做為罩幕層500的聚合物層與做為促進層400的聚合物層兩者具有不同的化學特性與物理特性。更具體地說,做為罩幕層500的聚合物層為感光性聚合物,其在接下來進行圖案化的曝光製程中可以因為曝光而產生反應(例如是交聯或裂解);而做為促進層400的聚合物層為非感光性聚合物,其在接下來進行圖案化的曝光製程中不會因為曝光而產生反應(例如是交聯或裂解)。再者,做為罩幕層500的聚合物層在經過曝光/未曝光之後,在接下 來進行圖案化的顯影製程中可被顯影;而做為促進層400的聚合物層不論是否經過曝光或是未曝光,在接下來進行圖案化的顯影製程中也不會被顯影。常見的顯影液例如是有機鹼顯影液。有機鹼顯影液例如是四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)。 In another aspect, in some embodiments, the promotion layer 400 and the mask layer 500 each comprise a polymer layer and, therefore, can be considered together as a dual polymer layer 450. However, both the polymer layer as the mask layer 500 and the polymer layer as the promotion layer 400 have different chemical and physical properties. More specifically, the polymer layer as the mask layer 500 is a photosensitive polymer which can be reacted (for example, crosslinked or cracked) by exposure in a subsequent exposure process for patterning; The polymer layer of the promoting layer 400 is a non-photosensitive polymer that does not undergo a reaction (for example, crosslinking or cracking) due to exposure in a subsequent exposure process. Furthermore, the polymer layer as the mask layer 500 is exposed after exposure/non-exposure. The development process for patterning can be developed; and the polymer layer used as the promotion layer 400 is not developed in the subsequent development process, whether or not exposed or unexposed. A common developer is, for example, an organic alkali developer. The organic alkali developer is, for example, tetramethylammonium hydroxide (TMAH).

在本發明的一些實施例中,促進層400介於遮蔽層300以及罩幕層500之間,並與其二者黏著。促進層400與罩幕層500可以使用相同的方法,例如是旋轉塗佈的方式來形成,因此可以簡化製程。由於遮蔽層300與罩幕層500之間並不需額外的硬罩幕層來做為後續進行圖案化遮蔽層300步驟的罩幕。因此,可以不需要額外進行化學氣相沉積製程來形成硬罩幕層。 In some embodiments of the invention, the facilitating layer 400 is interposed between the masking layer 300 and the masking layer 500 and adheres to both. The promotion layer 400 and the mask layer 500 can be formed using the same method, for example, spin coating, so that the process can be simplified. Since an additional hard mask layer is not required between the masking layer 300 and the mask layer 500 as a mask for the subsequent step of patterning the masking layer 300. Therefore, an additional chemical vapor deposition process may not be required to form the hard mask layer.

請參照圖2以及圖8,進行步驟820,對罩幕層500進行圖案化,以形成圖案化罩幕層500a。將罩幕層500圖案化的方法例如是對罩幕層500的特定區域進行曝光,再以顯影液將被曝光(或未被曝光)的罩幕層500移除。用來對罩幕層500進行曝光的光源例如是KrF光源(248nm)、ArF光源(193nm)或其他波長合適的光源。顯影液例如是有機鹼顯影液。有機鹼顯影液例如是四甲基氫氧化銨(TMAH)。 Referring to FIG. 2 and FIG. 8, step 820 is performed to pattern the mask layer 500 to form a patterned mask layer 500a. The method of patterning the mask layer 500 is, for example, exposing a specific area of the mask layer 500, and then removing the exposed (or unexposed) mask layer 500 with a developer. The light source used to expose the mask layer 500 is, for example, a KrF light source (248 nm), an ArF light source (193 nm), or other light source of suitable wavelength. The developer is, for example, an organic alkali developer. The organic alkali developer is, for example, tetramethylammonium hydroxide (TMAH).

由於本發明中可以使用相當薄的罩幕層500(厚度例如是在約800埃至約2000埃之間),因此,使用現有的曝光機台進行曝光即可具有足夠的聚焦深度(DOF)。此外,在以顯影液移除部分罩幕層500時,位在罩幕層500下方的促進層400不會被顯影 液移除或因顯影液損壞,且可以留下來,在後續將遮蔽層300圖案化的製程中與圖案化罩幕層共同做為罩幕(Mask)。 Since a relatively thin mask layer 500 (having a thickness of, for example, between about 800 angstroms and about 2000 angstroms) can be used in the present invention, sufficient depth of focus (DOF) can be achieved by exposure using an existing exposure machine. Further, when a portion of the mask layer 500 is removed with the developer, the promotion layer 400 positioned under the mask layer 500 is not developed. The liquid is removed or damaged by the developer, and can be left behind, and is used as a mask in conjunction with the patterned mask layer in the subsequent process of patterning the masking layer 300.

請參照圖3以及圖8,進行步驟830,以圖案化罩幕層500a為罩幕,對促進層400以及遮蔽層300進行圖案化,以形成圖案化促進層400a以及第一圖案化遮蔽層300a。將促進層400以及遮蔽層300圖案化的方法例如是以電漿蝕刻法將圖案化罩幕層500a的圖案轉移到促進層400以及遮蔽層300上。在以前述的電漿蝕刻法蝕刻促進層400以及遮蔽層300時,可使用的氣體例如是氯(Cl2)、氧(O2)或其組合。在一些實施例中,可使用單一的蝕刻步驟將促進層400以及遮蔽層300圖案化。換言之,蝕刻促進層400以及遮蔽層300時可以使用相同的氣體,甚至相同的參數。從另一方面來說,在對促進層400蝕刻形成圖案化促進層400a之後,並不需要先將圖案化罩幕層500a移除,而可以直接繼續蝕刻位於圖案化促進層400a下方的遮蔽層300。若在蝕刻遮蔽層300的過程中,圖案化的罩幕層500a遭受蝕刻而銷蝕,圖案化促進層400a也可以做為罩幕(或稱硬罩幕),繼續進行遮蔽層300的蝕刻製程。 Referring to FIG. 3 and FIG. 8, step 830 is performed to pattern the mask layer 500a as a mask, and the promotion layer 400 and the shielding layer 300 are patterned to form a patterning promoting layer 400a and a first patterned shielding layer 300a. . The method of patterning the promotion layer 400 and the shielding layer 300 is, for example, transferring the pattern of the patterned mask layer 500a onto the promotion layer 400 and the shielding layer 300 by plasma etching. When the promotion layer 400 and the shielding layer 300 are etched by the aforementioned plasma etching method, a gas which can be used is, for example, chlorine (Cl 2 ), oxygen (O 2 ), or a combination thereof. In some embodiments, the promotion layer 400 and the masking layer 300 can be patterned using a single etching step. In other words, the same gas, even the same parameters, can be used when etching the promotion layer 400 and the shielding layer 300. On the other hand, after the promotion layer 400 is etched to form the patterning promoting layer 400a, it is not necessary to remove the patterned mask layer 500a first, and the etching layer under the patterning promoting layer 400a can be directly etched. 300. If the patterned mask layer 500a is etched and etched during the etching of the mask layer 300, the patterning promoting layer 400a may also serve as a mask (or hard mask) to continue the etching process of the mask layer 300.

由於本發明使用單一層的圖案化罩幕層500a做為罩幕來圖案化促進層400以及遮蔽層300,而且在蝕刻促進層400時可以使用蝕刻遮蔽層300所使用的蝕刻氣體,而不需另外形成額外的硬罩幕層做為罩幕或另外以不同的蝕刻氣體來蝕刻硬罩幕,且在將遮蔽層300圖案化之前可以不需要進行額外的移除步驟以移除圖案化的罩幕層,因此,可以減少製作相位移光罩的步驟,簡化相位移光罩的製程,減少製程的時間。在一些實施例中,相位移光罩製造時間可減少約20%。Since the present invention uses a single layer of patterned mask layer 500a as a mask to pattern the promotion layer 400 and the mask layer 300, and etching the promotion layer 400, the etching gas used for etching the mask layer 300 can be used without In addition, an additional hard mask layer is formed as a mask or otherwise a different masking gas is used to etch the hard mask, and an additional removal step may be eliminated to remove the patterned mask prior to patterning the masking layer 300. The curtain layer, therefore, can reduce the steps of fabricating the phase shift mask, simplify the process of the phase shift mask, and reduce the processing time. In some embodiments, the phase shift mask manufacturing time can be reduced by about 20%.

請參照圖4以及圖8,步驟840,移除圖案化罩幕層500a以及圖案化促進層400a,留下第一圖案化遮蔽層300a。圖案化罩幕層500a以及圖案化促進層400a可以使用相同的方式,甚至以相同的液體同時移除。在一些實施例中,移除圖案化罩幕層500a以及圖案化促進層400a的方法是藉由物理方式,而不是化學反應的方式,將圖案化罩幕層500a以及圖案化促進層400a從第一圖案化遮蔽層300a上移除。在一些示範實施例中,移除圖案化罩幕層500a以及圖案化促進層400a的方法是使用非化學溶劑。非化學溶劑是指不會與圖案化罩幕層500a以及圖案化促進層400a產生化學反應的溶劑,其可以是非有機溶劑,例如是以水或其他合適的液體。Referring to FIG. 4 and FIG. 8, step 840, the patterned mask layer 500a and the patterning promoting layer 400a are removed, leaving the first patterned masking layer 300a. The patterned mask layer 500a and the patterning promoting layer 400a can be removed in the same manner, even with the same liquid. In some embodiments, the method of removing the patterned mask layer 500a and the patterning promoting layer 400a is to physically pattern the mask layer 500a and the patterning promoting layer 400a from a physical manner instead of a chemical reaction. A patterned masking layer 300a is removed. In some exemplary embodiments, the method of removing the patterned mask layer 500a and the patterning promoting layer 400a is to use a non-chemical solvent. The non-chemical solvent refers to a solvent that does not chemically react with the patterned mask layer 500a and the patterning promoting layer 400a, and may be a non-organic solvent such as water or other suitable liquid.

請參照圖5以及圖8,進行步驟850,以第一圖案化遮蔽層300a為罩幕,對相位移層200進行圖案化,以形成圖案化相位移層200a。圖案化相位移層200的方法例如是以電漿蝕刻法將第一圖案化遮蔽層300a的圖案轉移到相位移層200上。在以前述的電漿蝕刻法蝕刻相位移層200時,可使用的氣體例如是含氟氣體,含氟氣體包括全氟取代氣體以及部分氟取代氣體。全氟取代氣體包括SF 6、CF 4或其組合。 Referring to FIG. 5 and FIG. 8, step 850 is performed to pattern the phase shift layer 200 with the first patterned shielding layer 300a as a mask to form a patterned phase shift layer 200a. The method of patterning the phase shift layer 200 transfers the pattern of the first patterned masking layer 300a to the phase shift layer 200, for example, by plasma etching. When the phase shift layer 200 is etched by the aforementioned plasma etching method, a gas which can be used is, for example, a fluorine-containing gas, and the fluorine-containing gas includes a perfluoro-substituted gas and a partial fluorine-substituted gas. The perfluoro-substituted gas includes SF 6 , CF 4 or a combination thereof.

請參照圖6至圖8,步驟860,選擇性移除部分的第一圖案化遮蔽層300a,以形成第二圖案化遮蔽層300b。請先參照圖6,首先,在第一圖案化遮蔽層300a上形成圖案化罩幕層600。圖案化罩幕層600例如是圖案化光阻層。圖案化光阻層可以是正光阻或負光阻。以圖案化罩幕層600為罩幕,蝕刻第一圖案化遮蔽層300a,以形成第二圖案化遮蔽層300b。蝕刻第一圖案化遮蔽層300a以形成第二圖案化遮蔽層300b的方法例如是電漿蝕刻法。在以前述的電漿蝕刻法蝕刻第一圖案化遮蔽層300a時,可使用的氣體例如是氯(Cl 2)、氧(O 2)或其組合。其後,如圖7所示,移除圖案化罩幕層600。在一些實施例中,移除圖案化罩幕層600的方法例如是以水或其他合適的液體。在移除圖案化罩幕層600後,完成相位移光罩700的製作。 Referring to FIG. 6 to FIG. 8, step 860, a portion of the first patterned shielding layer 300a is selectively removed to form a second patterned shielding layer 300b. Referring first to FIG. 6, first, a patterned mask layer 600 is formed on the first patterned shielding layer 300a. The patterned mask layer 600 is, for example, a patterned photoresist layer. The patterned photoresist layer can be a positive photoresist or a negative photoresist. The first patterned shielding layer 300a is etched by using the patterned mask layer 600 as a mask to form a second patterned shielding layer 300b. A method of etching the first patterned shielding layer 300a to form the second patterned shielding layer 300b is, for example, a plasma etching method. When the first patterned shielding layer 300a is etched by the aforementioned plasma etching method, a gas which can be used is, for example, chlorine (Cl 2 ), oxygen (O 2 ), or a combination thereof. Thereafter, as shown in FIG. 7, the patterned mask layer 600 is removed. In some embodiments, the method of removing the patterned mask layer 600 is, for example, water or other suitable liquid. After the patterned mask layer 600 is removed, fabrication of the phase shift mask 700 is completed.

請參照圖7,本發明所形成的相位移光罩700具有不透光區A、透光區B以及部分透光區C。不透光區A上有圖案化相位移層200a以及第二圖案化遮蔽層300b,可完全遮蔽曝光光源。透光區B上無圖案化相位移層200a以及第二圖案化遮蔽層300b,裸露出不透光基板100的表面,可讓曝光光源完全穿透而使晶圓上的光阻曝光。部分透光區C上有圖案化相位移層200a,可讓部分曝光光源穿透,但部分穿透的曝光光源強度不足以使晶圓上的光阻曝光,且可使光產生180度左右的相位位移。由於穿透部分透光區C的曝光光源與穿透透光區B的曝光光源有180度的相位差,穿透部分透光區C的曝光光源會與穿透透光區B的曝光光源產生相消干涉,而使得欲曝光圖形的邊緣的對比度提升。Referring to FIG. 7, the phase shift mask 700 formed by the present invention has an opaque area A, a light transmitting area B, and a partial light transmitting area C. The opaque area A has a patterned phase shift layer 200a and a second patterned masking layer 300b to completely shield the exposure light source. The patterned phase shifting layer 200a and the second patterned shielding layer 300b are absent on the transparent region B, and the surface of the opaque substrate 100 is exposed, so that the exposure light source can be completely penetrated to expose the photoresist on the wafer. The partially transparent region C has a patterned phase shifting layer 200a for allowing partial exposure light source to penetrate, but the intensity of the partially penetrated exposure light source is insufficient to expose the photoresist on the wafer, and the light can be generated by about 180 degrees. Phase shift. Since the exposure light source penetrating part of the light transmitting area C and the exposure light source penetrating the light transmitting area B have a phase difference of 180 degrees, the exposure light source penetrating part of the light transmitting area C and the exposure light source penetrating the light transmitting area B are generated. Destructive interference increases the contrast of the edges of the image to be exposed.

在本發明的一些實施例中,請參照圖1,遮蔽層300以及罩幕層500之間並不需額外的硬罩幕層來做為後續進行圖案化遮蔽層300步驟的罩幕,因此,本發明可簡化相位移光罩的製程。同時,由於本發明在罩幕層500下方有促進層400,因此可使用較薄的罩幕層500,提升光阻圖案的解析度。在一些實施例中,其解析度可提升20%以上。In some embodiments of the present invention, referring to FIG. 1, an additional hard mask layer is not required between the shielding layer 300 and the mask layer 500 as a mask for the subsequent step of patterning the masking layer 300. The invention simplifies the process of the phase shift mask. At the same time, since the present invention has a promoting layer 400 under the mask layer 500, a thin mask layer 500 can be used to enhance the resolution of the photoresist pattern. In some embodiments, the resolution can be increased by more than 20%.

為了說明本發明的優點,下文列舉實驗例以更具體地描述本發明。In order to illustrate the advantages of the present invention, the experimental examples are enumerated below to more specifically describe the present invention.

<實驗例><Experimental example>

例1是依據本發明對應圖1至圖7之方法形成各種長寬比的解析輔助特徵(sub resolution assist feature, SRAF)或稱散射條(scattering bar, SB),其促進層的厚度為10奈米,促進層上的光阻層的厚度為100奈米。Example 1 is a sub-resolution assist feature (SRAF) or a scattering bar (SB) which forms various aspect ratios according to the method of the present invention corresponding to FIGS. 1 to 7. The thickness of the promotion layer is 10 nm. The thickness of the photoresist layer on the promotion layer is 100 nm.

比較例1是以氮氧化矽硬罩幕層取代促進層來形成各種長寬比的散射條,氮氧化矽硬罩幕層的厚度為10奈米,氮氧化矽硬罩幕層上的光阻層的厚度為100奈米。Comparative Example 1 is to replace the promotion layer with a ruthenium oxynitride hard mask layer to form various width-to-width ratio scattering strips. The thickness of the ruthenium oxynitride hard mask layer is 10 nm, and the photoresist on the hard coat layer of the ruthenium oxynitride layer. The thickness of the layer is 100 nm.

比較例2與例1相似,惟光阻層和遮蔽層之間不設置其他層(促進層)。Comparative Example 2 is similar to Example 1, except that no other layer (promotion layer) is provided between the photoresist layer and the shielding layer.

在例1、比較例1以及比較例2中,光罩的圖案比例為欲轉移圖案的4倍。In Example 1, Comparative Example 1, and Comparative Example 2, the pattern ratio of the photomask was four times that of the pattern to be transferred.

表1 <TABLE border="1" borderColor="#000000" width="85%"><TBODY><tr><td> </td><td> 關鍵尺寸ADI(最小解析 minimum resolution) </td></tr><tr><td> 長寬比 </td><td> 實例1 </td><td> 比較例1 </td><td> 比較例2 </td></tr><tr><td> 1:1 </td><td> 44nm </td><td> 78nm </td><td> 92nm </td></tr><tr><td> 2:1 </td><td> 32nm </td><td> 70nm </td><td> 80nm </td></tr><tr><td> 3:1 </td><td> 28nm </td><td> 62nm </td><td> 72nm </td></tr><tr><td> 4:1 </td><td> 24nm </td><td> 52nm </td><td> 64nm </td></tr><tr><td> 5:1 </td><td> 20nm </td><td> 40nm </td><td> 48nm </td></tr></TBODY></TABLE>Table 1         <TABLE border="1" borderColor="#000000" width="85%"><TBODY><tr><td> </td><td> DIA (minimum resolution) </td>< /tr><tr><td> Aspect Ratio</td><td> Example 1 </td><td> Comparative Example 1 </td><td> Comparative Example 2 </td></tr>< Tr><td> 1:1 </td><td> 44nm </td><td> 78nm </td><td> 92nm </td></tr><tr><td> 2:1 < /td><td> 32nm </td><td> 70nm </td><td> 80nm </td></tr><tr><td> 3:1 </td><td> 28nm </ Td><td> 62nm </td><td> 72nm </td></tr><tr><td> 4:1 </td><td> 24nm </td><td> 52nm </td ><td> 64nm </td></tr><tr><td> 5:1 </td><td> 20nm </td><td> 40nm </td><td> 48nm </td> </tr></TBODY></TABLE>

如表1所示,在例1中,當光阻層進行曝光與顯影之後,其顯影後檢視(ADI)的結果顯示,在次解析輔助特徵的長寬比分別為1:1、2:1、3:1、4:1、5:1時,其相位移光罩的關鍵尺寸(CD)可分別達到44nm、32nm、28nm、24nm、20nm。圖案化促進層可以將圖案化光阻層牢固的黏住,而不易發生倒塌。As shown in Table 1, in Example 1, after the photoresist layer was exposed and developed, the results of the post-development inspection (ADI) showed that the aspect ratio of the sub-analytical auxiliary features were 1:1, 2:1, respectively. At 3:1, 4:1, and 5:1, the critical dimensions (CD) of the phase shift mask can reach 44 nm, 32 nm, 28 nm, 24 nm, and 20 nm, respectively. The patterned promoting layer can firmly adhere the patterned photoresist layer without being easily collapsed.

在比較例1中,當光阻層進行曝光與顯影之後,其顯影後檢視(ADI)的結果顯示,在次解析輔助特徵的長寬比分別為1:1、2:1、3:1、4:1、5:1時,其相位移光罩的關鍵尺寸可分別達到78nm、70nm、62nm、52nm、40nm。在比較例2中,當光阻層進行曝光與顯影之後,其顯影後檢視(ADI)的結果顯示,在次解析輔助特徵的長寬比分別為1:1、2:1、3:1、4:1、5:1時,其相位移光罩的關鍵尺寸可分別達到92nm、80nm、72nm、64nm、48nm。In Comparative Example 1, after the photoresist layer was exposed and developed, the results of the post-development inspection (ADI) showed that the aspect ratio of the sub-analytical auxiliary features were 1:1, 2:1, 3:1, respectively. At 4:1 and 5:1, the critical dimensions of the phase shift mask can reach 78 nm, 70 nm, 62 nm, 52 nm, and 40 nm, respectively. In Comparative Example 2, after the photoresist layer was exposed and developed, the results of the post-development inspection (ADI) showed that the aspect ratio of the sub-analytical auxiliary features were 1:1, 2:1, 3:1, respectively. At 4:1 and 5:1, the critical dimensions of the phase shift mask can reach 92 nm, 80 nm, 72 nm, 64 nm, and 48 nm, respectively.

由表1可知,當在相位移光罩製程中加入促進層後,可使得形成的相位移光罩的解析度提升。特別是,在相同的次解析輔助特徵的長寬比條件下,具有促進層之相位移光罩的製程方法可使次解析輔助特徵窗(SRAF window)提升50%以上。例如,當次解析輔助特徵的長寬比為1:1時,其光阻層在顯影後檢視的關鍵尺寸可從78nm提升至44nm。It can be seen from Table 1 that when the promotion layer is added in the phase shift mask process, the resolution of the formed phase shift mask can be improved. In particular, under the aspect ratio of the same secondary analytical auxiliary feature, the process method with the phase shift mask of the facilitating layer can increase the secondary analysis auxiliary feature window (SRAF window) by more than 50%. For example, when the aspect ratio of the secondary resolution auxiliary feature is 1:1, the critical dimension of the photoresist layer after development can be improved from 78 nm to 44 nm.

綜上所述,由於本發明實施例可用單一層的圖案化罩幕層(例如光阻層)來形成圖案化促進層以及圖案化遮蔽層,而不需用到硬罩幕層做為罩幕來圖案化遮蔽層,且可用單一移除步驟同時移除圖案化罩幕層以及圖案化促進層,因此,可以較為簡化、成本較低且製程窗(裕度)較大的製程製作具有高解析度的相位移光罩。In summary, the embodiment of the present invention can form a patterning promoting layer and a patterned shielding layer by using a single layer of patterned mask layer (for example, a photoresist layer), without using a hard mask layer as a mask. To pattern the masking layer, and to remove the patterned mask layer and the patterning promoting layer at the same time by a single removal step, so that the process can be simplified, the cost is low, and the process window (margin) is large. Degree of phase shift mask.

由於本發明實施例使用的促進層是由特定聚合物單體來形成的,其具有不被顯影液溶解的特性,且在其圖案化後可以與圖案化光阻層共同做為蝕刻硬罩幕,因此可使用較薄的光阻層,進而提升的圖案解析度,並可與現行的相位移光罩製程相容。Since the promoting layer used in the embodiment of the present invention is formed of a specific polymer monomer, it has a property of being not dissolved by the developing solution, and can be used as an etching hard mask together with the patterned photoresist layer after being patterned. Therefore, a thinner photoresist layer can be used, which improves the resolution of the pattern and is compatible with the current phase shift mask process.

本發明實施例提供一種相位移光罩的形成方法。在透光基板上依序形成相位移層、遮蔽層、促進層以及罩幕層。圖案化罩幕層,以形成圖案化罩幕層。以圖案化罩幕層為罩幕,對促進層以及遮蔽層進行圖案化,以形成圖案化促進層以及第一圖案化遮蔽層。移除圖案化促進層,其中在移除圖案化促進層時同時移除圖案化罩幕層。以第一圖案化遮蔽層為罩幕,對相位移層進行蝕刻,以形成圖案化相位移層。選擇性移除第一圖案化遮蔽層,以形成第二圖案化遮蔽層。Embodiments of the present invention provide a method of forming a phase shift mask. A phase shift layer, a shielding layer, a promotion layer, and a mask layer are sequentially formed on the light-transmitting substrate. The mask layer is patterned to form a patterned mask layer. The promotion layer and the shielding layer are patterned by using the patterned mask layer as a mask to form a patterning promoting layer and a first patterned shielding layer. The patterning promoting layer is removed, wherein the patterned mask layer is simultaneously removed when the patterning promoting layer is removed. The phase shifting layer is etched with the first patterned masking layer as a mask to form a patterned phase shifting layer. The first patterned masking layer is selectively removed to form a second patterned masking layer.

依照本發明一些實例所述,其中所述促進層包括非感光性共聚合物,且不會被顯影液所溶解。According to some embodiments of the invention, wherein the promoting layer comprises a non-photosensitive copolymer and is not dissolved by the developer.

依照本發明一些實例所述,其中所述促進層包括由具有疏水基的單體所形成的共聚合物。According to some embodiments of the invention, wherein the promoting layer comprises a copolymer formed from a monomer having a hydrophobic group.

依照本發明一些實例所述,其中所述促進層為選自由甲基丙烯酸甲酯、二苯基甲酮以及甲基丙烯酸縮水甘油酯所組成的族群所形成的共聚合物。According to some embodiments of the invention, the promoting layer is a co-polymer formed from a group consisting of methyl methacrylate, diphenyl ketone, and glycidyl methacrylate.

依照本發明一些實例所述,其中對所述促進層以及所述遮蔽層進行圖案化的方法包括以相同之蝕刻氣體源進行電漿蝕刻。In accordance with some examples of the present invention, a method of patterning the promotion layer and the masking layer comprises plasma etching with the same source of etching gas.

依照本發明一些實例所述,其中對所述促進層以及所述遮蔽層進行圖案化的方法包括以相同之蝕刻氣體源進行電漿蝕刻,其中所述相同蝕刻氣體源包括氯(Cl 2)、氧(O 2)或其組合。 According to some examples of the present invention, the method of patterning the promotion layer and the masking layer comprises plasma etching with a same source of etching gas, wherein the same source of etching gas comprises chlorine (Cl 2 ), Oxygen (O 2 ) or a combination thereof.

依照本發明一些實例所述,其中移除所述圖案化促進層採用物理的方式。According to some examples of the invention, wherein the patterning promoting layer is removed in a physical manner.

依照本發明一些實例所述,其中移除所述圖案化促進層的方法包括使用水。In accordance with some examples of the present invention, a method of removing the patterned promotion layer includes using water.

依照本發明一些實例所述,其中所述促進層的材料包括有機材料。According to some examples of the invention, the material of the promoting layer comprises an organic material.

依照本發明一些實例所述,其中所述促進層的組成與所述罩幕層的組成不同。According to some examples of the invention, the composition of the promotion layer is different from the composition of the mask layer.

依照本發明一些實例所述,其中所述促進層的材料包括第一聚合物;所述罩幕層的材料包括第二聚合物,其中第一聚合物的材料和第二聚合物的材料不同。According to some examples of the invention, wherein the material of the promoting layer comprises a first polymer; the material of the mask layer comprises a second polymer, wherein the material of the first polymer and the material of the second polymer are different.

依照本發明一些實例所述,其中所述促進層的材料包括非感光性聚合物;所述罩幕層的材料感光性包括聚合物。According to some examples of the invention, the material of the promoting layer comprises a non-photosensitive polymer; the material sensitivity of the mask layer comprises a polymer.

本發明實施例提供一種相位移光罩的形成方法。在透光基板上依序形成相位移層、遮蔽層以及雙聚合物層,其中雙聚合物層包括罩幕層以及位於遮蔽層以及罩幕層之間的促進層。圖案化罩幕層,以形成圖案化罩幕層。以圖案化罩幕層為罩幕,對促進層以及遮蔽層進行圖案化,以形成圖案化促進層以及第一圖案化遮蔽層。移除圖案化促進層,其中在移除圖案化促進層時同時移除圖案化罩幕層。以第一圖案化遮蔽層為罩幕,對相位移層進行蝕刻,以形成圖案化相位移層。移除部分第一圖案化遮蔽層,以形成第二圖案化遮蔽層。Embodiments of the present invention provide a method of forming a phase shift mask. A phase shifting layer, a shielding layer and a double polymer layer are sequentially formed on the light transmissive substrate, wherein the double polymer layer comprises a mask layer and a promoting layer between the shielding layer and the mask layer. The mask layer is patterned to form a patterned mask layer. The promotion layer and the shielding layer are patterned by using the patterned mask layer as a mask to form a patterning promoting layer and a first patterned shielding layer. The patterning promoting layer is removed, wherein the patterned mask layer is simultaneously removed when the patterning promoting layer is removed. The phase shifting layer is etched with the first patterned masking layer as a mask to form a patterned phase shifting layer. A portion of the first patterned shielding layer is removed to form a second patterned shielding layer.

依照本發明一些實例所述,其中所述促進層包括非感光性共聚合物,且不會被顯影液所溶解。According to some embodiments of the invention, wherein the promoting layer comprises a non-photosensitive copolymer and is not dissolved by the developer.

依照本發明一些實例所述,其中所述促進層包括由具有疏水基的單體所形成的共聚合物。According to some embodiments of the invention, wherein the promoting layer comprises a copolymer formed from a monomer having a hydrophobic group.

依照本發明一些實例所述,其中所述促進層為選自由甲基丙烯酸甲酯、二苯基甲酮以及甲基丙烯酸縮水甘油酯所組成的族群所形成的共聚合物。According to some embodiments of the invention, the promoting layer is a co-polymer formed from a group consisting of methyl methacrylate, diphenyl ketone, and glycidyl methacrylate.

依照本發明一些實例所述,其中對所述促進層以及所述遮蔽層進行圖案化的方法包括以相同之蝕刻氣體源進行電漿蝕刻。In accordance with some examples of the present invention, a method of patterning the promotion layer and the masking layer comprises plasma etching with the same source of etching gas.

依照本發明一些實例所述,其中對所述促進層以及所述遮蔽層進行圖案化的方法包括以相同之蝕刻氣體源進行電漿蝕刻,其中所述相同蝕刻氣體源包括氯(Cl 2)、氧(O 2)或其組合。 According to some examples of the present invention, the method of patterning the promotion layer and the masking layer comprises plasma etching with a same source of etching gas, wherein the same source of etching gas comprises chlorine (Cl 2 ), Oxygen (O 2 ) or a combination thereof.

依照本發明一些實例所述,其中移除所述圖案化促進層採用物理的方式。According to some examples of the invention, wherein the patterning promoting layer is removed in a physical manner.

依照本發明一些實例所述,其中移除所述圖案化促進層的方法包括使用水。In accordance with some examples of the present invention, a method of removing the patterned promotion layer includes using water.

依照本發明一些實例所述,其中所述促進層的材料包括有機材料。According to some examples of the invention, the material of the promoting layer comprises an organic material.

依照本發明一些實例所述,其中所述促進層的組成與所述罩幕層的組成不同。According to some examples of the invention, the composition of the promotion layer is different from the composition of the mask layer.

依照本發明一些實例所述,其中所述促進層的材料包括第一聚合物;所述罩幕層的材料包括第二聚合物,其中第一聚合物的材料和第二聚合物的材料不同。According to some examples of the invention, wherein the material of the promoting layer comprises a first polymer; the material of the mask layer comprises a second polymer, wherein the material of the first polymer and the material of the second polymer are different.

依照本發明一些實例所述,其中所述促進層的材料包括非感光性聚合物;所述罩幕層的材料感光性包括聚合物。According to some examples of the invention, the material of the promoting layer comprises a non-photosensitive polymer; the material sensitivity of the mask layer comprises a polymer.

本發明實施例提供一種相位移光罩的形成方法。在透光基板上依序形成相位移層、遮蔽層以及促進層。以相同的蝕刻氣體源,同時對促進層以及遮蔽層進行蝕刻,以形成圖案化促進層以及第一圖案化遮蔽層。移除圖案化促進層。以第一圖案化遮蔽層為罩幕,對相位移層進行蝕刻,以形成圖案化相位移層。選擇性移除第一圖案化遮蔽層,以形成第二圖案化遮蔽層。Embodiments of the present invention provide a method of forming a phase shift mask. A phase shift layer, a shielding layer, and a promoting layer are sequentially formed on the light-transmitting substrate. The promotion layer and the shielding layer are simultaneously etched with the same etching gas source to form a patterning promoting layer and a first patterned shielding layer. The patterning promoting layer is removed. The phase shifting layer is etched with the first patterned masking layer as a mask to form a patterned phase shifting layer. The first patterned masking layer is selectively removed to form a second patterned masking layer.

依照本發明一些實例所述,其中所述促進層包括非感光性共聚合物,且不會被顯影液所溶解。According to some embodiments of the invention, wherein the promoting layer comprises a non-photosensitive copolymer and is not dissolved by the developer.

依照本發明一些實例所述,其中所述促進層包括由具有疏水基的單體所形成的共聚合物。According to some embodiments of the invention, wherein the promoting layer comprises a copolymer formed from a monomer having a hydrophobic group.

依照本發明一些實例所述,其中所述促進層為選自由甲基丙烯酸甲酯、二苯基甲酮以及甲基丙烯酸縮水甘油酯所組成的族群所形成的共聚合物。According to some embodiments of the invention, the promoting layer is a co-polymer formed from a group consisting of methyl methacrylate, diphenyl ketone, and glycidyl methacrylate.

依照本發明一些實例所述,其中移除所述圖案化促進層採用物理的方式。According to some examples of the invention, wherein the patterning promoting layer is removed in a physical manner.

依照本發明一些實例所述,其中移除所述圖案化促進層的方法包括使用水。In accordance with some examples of the present invention, a method of removing the patterned promotion layer includes using water.

依照本發明一些實例所述,其中所述促進層的材料包括有機材料。According to some examples of the invention, the material of the promoting layer comprises an organic material.

依照本發明一些實例所述,其中所述促進層的材料包括第一聚合物。According to some embodiments of the invention, the material of the promoting layer comprises a first polymer.

依照本發明一些實例所述,其中所述促進層的材料包括非感光性聚合物。According to some examples of the invention, the material of the promoting layer comprises a non-photosensitive polymer.

本發明已以數個實施例概述特徵如上,本領域具有通常知識者可更加了解本發明的各種態樣。本領域具有通常知識者應理解,其可輕易地依據本發明來設計或修改其他製程與結構,以實行本文所介紹的實施例的相同目的及/或達到相同優點。本領域具有通常知識者還應理解,在不悖離本發明的精神與範疇之下,可做各種等效的配置,且本領域具有通常知識者在不悖離本發明的精神與範疇的情況下可對本文做出各種潤飾、改變、置換以及變更。The present invention has been characterized in several embodiments as described above, and various aspects of the present invention will become more apparent to those of ordinary skill in the art. Those skilled in the art will appreciate that other processes and structures can be readily constructed or modified in accordance with the present invention to achieve the same objectives and/or advantages of the embodiments described herein. It will be understood by those skilled in the art that various equivalents can be made without departing from the spirit and scope of the invention, and those skilled in the art without departing from the spirit and scope of the invention Various modifications, changes, substitutions, and alterations are made to this document.

雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。Although the present invention has been disclosed in the above embodiments, it is not intended to limit the present invention, and any one of ordinary skill in the art can make some changes and refinements without departing from the spirit and scope of the present invention. The scope of the invention is defined by the scope of the appended claims.

100‧‧‧透光基板100‧‧‧Transparent substrate

200‧‧‧相位移層200‧‧‧ phase shift layer

200a‧‧‧圖案化相位移層200a‧‧‧ patterned phase shift layer

300‧‧‧遮蔽層300‧‧‧shading layer

300a‧‧‧第一圖案化遮蔽層300a‧‧‧First patterned masking layer

300b‧‧‧第二圖案化遮蔽層300b‧‧‧Second patterned masking layer

400‧‧‧促進層400‧‧‧Promotional layer

400a‧‧‧圖案化促進層400a‧‧‧patterned promotion layer

450‧‧‧雙聚合物層450‧‧‧Double polymer layer

500‧‧‧罩幕層500‧‧‧ mask layer

500a‧‧‧圖案化罩幕層500a‧‧‧ patterned mask layer

600‧‧‧圖案化罩幕層 600‧‧‧ patterned mask layer

700‧‧‧相位移光罩 700‧‧‧ phase shift mask

800~860‧‧‧步驟 800~860‧‧‧Steps

A‧‧‧不透光區 A‧‧‧Opacity zone

B‧‧‧透光區 B‧‧‧Light transmission area

C‧‧‧部分透光區 C‧‧‧Partial light transmission area

圖1至圖7為依照本發明一些實施例的一種相位移光罩的製造過程的各種階段的剖面示意圖。 圖8為依照本發明一些實施例的一種相位移光罩的製造過程的流程圖。1 through 7 are cross-sectional views showing various stages of a process for fabricating a phase shift mask in accordance with some embodiments of the present invention. 8 is a flow chart of a process for fabricating a phase shift mask in accordance with some embodiments of the present invention.

100‧‧‧透光基板 100‧‧‧Transparent substrate

200‧‧‧相位移層 200‧‧‧ phase shift layer

300a‧‧‧第一圖案化遮蔽層 300a‧‧‧First patterned masking layer

400a‧‧‧圖案化促進層 400a‧‧‧patterned promotion layer

500a‧‧‧圖案化罩幕層 500a‧‧‧ patterned mask layer

Claims (10)

一種相位移光罩的形成方法,包括:在透光基板上依序形成相位移層、遮蔽層、促進層以及罩幕層;圖案化所述罩幕層,以形成圖案化罩幕層;以所述圖案化罩幕層為罩幕,對所述促進層和所述遮蔽層進行圖案化,以形成圖案化促進層和第一圖案化遮蔽層;移除所述圖案化促進層,其中在移除所述圖案化促進層時同時移除所述圖案化罩幕層;移除所述圖案化促進層後,以所述第一圖案化遮蔽層為罩幕,對所述相位移層進行蝕刻,以形成圖案化相位移層;以及選擇性移除所述第一圖案化遮蔽層,以形成第二圖案化遮蔽層。 A method for forming a phase shift mask includes: sequentially forming a phase shift layer, a shielding layer, a promoting layer, and a mask layer on the transparent substrate; patterning the mask layer to form a patterned mask layer; The patterned mask layer is a mask, the promotion layer and the shielding layer are patterned to form a patterning promoting layer and a first patterned shielding layer; and the patterning promoting layer is removed, wherein Removing the patterned mask layer while removing the patterning promoting layer; after removing the patterning promoting layer, using the first patterned masking layer as a mask, performing the phase shifting layer Etching to form a patterned phase shifting layer; and selectively removing the first patterned shielding layer to form a second patterned shielding layer. 一種相位移光罩的形成方法,包括:在透光基板上依序形成相位移層、遮蔽層以及雙聚合物層,其中所述雙聚合物層包括罩幕層以及位於所述遮蔽層和所述罩幕層之間的促進層;圖案化所述罩幕層,以形成圖案化罩幕層;以所述圖案化罩幕層為罩幕,對所述促進層和所述遮蔽層進行圖案化,以形成圖案化促進層以及第一圖案化遮蔽層;移除所述圖案化促進層,其中在移除所述圖案化促進層時同時移除所述圖案化罩幕層; 以所述第一圖案化遮蔽層為罩幕,對所述相位移層進行蝕刻,以形成圖案化相位移層;以及移除部分所述第一圖案化遮蔽層,以形成第二圖案化遮蔽層。 A method for forming a phase shift mask includes: sequentially forming a phase shift layer, a shielding layer, and a double polymer layer on the light transmissive substrate, wherein the double polymer layer comprises a mask layer and the shielding layer and the a promoting layer between the mask layers; patterning the mask layer to form a patterned mask layer; patterning the promoting layer and the shielding layer with the patterned mask layer as a mask Forming a patterning promoting layer and a first patterned shielding layer; removing the patterning promoting layer, wherein the patterned mask layer is simultaneously removed when the patterning promoting layer is removed; Using the first patterned shielding layer as a mask, etching the phase shifting layer to form a patterned phase shifting layer; and removing a portion of the first patterned shielding layer to form a second patterned masking layer Floor. 如申請專利範圍第1或2項所述的相位移光罩的形成方法,其中對所述促進層以及所述遮蔽層進行圖案化的方法包括以相同之蝕刻氣體源進行電漿蝕刻。 The method of forming a phase shift mask according to claim 1 or 2, wherein the method of patterning the promoting layer and the shielding layer comprises plasma etching with the same etching gas source. 如申請專利範圍第3項所述的相位移光罩的形成方法,其中所述相同蝕刻氣體源包括氯(Cl2)、氧(O2)或其組合。 The method of forming a phase shift mask according to claim 3, wherein the same source of etching gas comprises chlorine (Cl 2 ), oxygen (O 2 ), or a combination thereof. 一種相位移光罩的形成方法,包括:在透光基板上依序形成相位移層、遮蔽層以及促進層;以相同的蝕刻氣體源,對所述促進層以及所述遮蔽層進行蝕刻,以形成圖案化促進層以及第一圖案化遮蔽層;移除所述圖案化促進層;以所述第一圖案化遮蔽層為罩幕,對所述相位移層進行蝕刻,以形成圖案化相位移層;以及選擇性移除所述第一圖案化遮蔽層,以形成第二圖案化遮蔽層。 A method for forming a phase shift mask includes: sequentially forming a phase shift layer, a shielding layer, and a promoting layer on the transparent substrate; etching the promoting layer and the shielding layer with the same etching gas source to Forming a patterning promoting layer and a first patterned shielding layer; removing the patterning promoting layer; etching the phase shifting layer with the first patterned shielding layer as a mask to form a patterned phase shift a layer; and selectively removing the first patterned masking layer to form a second patterned masking layer. 如申請專利範圍第1、2或5項所述的相位移光罩的形成方法,其中所述促進層包括非感光性共聚合物,且不會被顯影液所溶解。 The method of forming a phase shift mask according to claim 1, wherein the acceleration layer comprises a non-photosensitive copolymer and is not dissolved by the developer. 如申請專利範圍第1、2或5項所述的相位移光罩的形成方法,其中所述促進層包括由具有疏水基的單體所形成的共聚合物。 The method of forming a phase shift mask according to claim 1, wherein the promoting layer comprises a copolymer formed of a monomer having a hydrophobic group. 如申請專利範圍第1、2或5項中任一項所述的相位移光罩的形成方法,其中所述促進層為選自由甲基丙烯酸甲酯、二苯基甲酮以及甲基丙烯酸縮水甘油酯所組成的族群所形成的共聚合物。 The method of forming a phase shift mask according to any one of claims 1 to 2, wherein the promoting layer is selected from the group consisting of methyl methacrylate, diphenyl ketone, and methacrylic acid. a copolymer formed by a group of glycerides. 如申請專利範圍第1、2或5項所述的相位移光罩的形成方法,其中所述第二圖案化遮蔽層裸露出部分所述圖案化相位移層與部分所述透光基板。 The method of forming a phase shift mask according to claim 1, wherein the second patterned masking layer exposes a portion of the patterned phase shifting layer and a portion of the light transmissive substrate. 如申請專利範圍第1、2或5項所述的相位移光罩的形成方法,其中移除所述圖案化促進層的方法包括使用水。 The method of forming a phase shift mask as described in claim 1, 2 or 5, wherein the method of removing the patterning promoting layer comprises using water.
TW105137778A 2016-11-18 2016-11-18 Method of forming phase shift mask TWI615668B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW105137778A TWI615668B (en) 2016-11-18 2016-11-18 Method of forming phase shift mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW105137778A TWI615668B (en) 2016-11-18 2016-11-18 Method of forming phase shift mask

Publications (2)

Publication Number Publication Date
TWI615668B true TWI615668B (en) 2018-02-21
TW201820022A TW201820022A (en) 2018-06-01

Family

ID=62016249

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137778A TWI615668B (en) 2016-11-18 2016-11-18 Method of forming phase shift mask

Country Status (1)

Country Link
TW (1) TWI615668B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201443252A (en) * 2013-03-19 2014-11-16 Hoya Corp Phase-shift mask blank and its manufacturing method, method for manufacturing phase-shift mask, and method for manufacturing display device
JP2015004969A (en) * 2013-05-23 2015-01-08 Hoya株式会社 Mask blank, mask for transfer, and manufacturing method of the same
US20150212403A1 (en) * 2012-05-14 2015-07-30 S&S Tech Co., Ltd. Mask blank, photomask, and method for manufacturing same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150212403A1 (en) * 2012-05-14 2015-07-30 S&S Tech Co., Ltd. Mask blank, photomask, and method for manufacturing same
TW201443252A (en) * 2013-03-19 2014-11-16 Hoya Corp Phase-shift mask blank and its manufacturing method, method for manufacturing phase-shift mask, and method for manufacturing display device
JP2015004969A (en) * 2013-05-23 2015-01-08 Hoya株式会社 Mask blank, mask for transfer, and manufacturing method of the same

Also Published As

Publication number Publication date
TW201820022A (en) 2018-06-01

Similar Documents

Publication Publication Date Title
US8679707B2 (en) Method of fabricating a lithography mask
JP4635610B2 (en) Reflective photomask blank, reflective photomask, and reflective photomask manufacturing method
TWI338814B (en) Multiple resist layer phase shift mask (psm) blank and psm formation method
US9341940B2 (en) Reticle and method of fabricating the same
TW201918788A (en) Reticle
TWI752019B (en) Photomask having a plurality of shielding layers
US7838173B2 (en) Structure design and fabrication on photomask for contact hole manufacturing process window enhancement
TW200424752A (en) Improved photomask having an intermediate inspection film layer
TWI681252B (en) Resist film-attached mask blank and manufacturing method of the same and manufacturing method of transfer mask
JP2008515006A (en) Phase shift mask providing balanced light intensity through different phase shift apertures and method of forming such a phase shift mask
US9995999B2 (en) Lithography mask
US20240069431A1 (en) Method of manufacturing photo masks
US8785083B2 (en) Systems and methods for lithography masks
CN108073032B (en) Method for forming phase shift photomask
US11156912B2 (en) Lithography mask and method for manufacturing the same
TWI615668B (en) Method of forming phase shift mask
US9280046B2 (en) Method of fabricating mask
KR101080008B1 (en) Glass substrate for hardmask and method for fabricatiing hardmask using the same
TW201342424A (en) Method of making a lithography mask
JP6991012B2 (en) Mask blank, mask blank manufacturing method, and transfer mask manufacturing method
TWI820729B (en) Lithography mask and methods for manufacturing the same
JP2007178634A (en) Photomask, method for manufacturing same, and method for transferring pattern
KR20240031182A (en) Method of manufacturing photo masks
JP2006084507A (en) Phase shift mask and method for manufacturing the phase shift mask
JP4539955B2 (en) Phase shift mask, manufacturing method thereof, and exposure method