TWI609243B - 光微影方法 - Google Patents

光微影方法 Download PDF

Info

Publication number
TWI609243B
TWI609243B TW103146520A TW103146520A TWI609243B TW I609243 B TWI609243 B TW I609243B TW 103146520 A TW103146520 A TW 103146520A TW 103146520 A TW103146520 A TW 103146520A TW I609243 B TWI609243 B TW I609243B
Authority
TW
Taiwan
Prior art keywords
polymer
photoresist
composition
group
layer
Prior art date
Application number
TW103146520A
Other languages
English (en)
Other versions
TW201539143A (zh
Inventor
朴鐘根
南昇 李
西西里 安德斯
李忠奉
Original Assignee
羅門哈斯電子材料有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 羅門哈斯電子材料有限公司 filed Critical 羅門哈斯電子材料有限公司
Publication of TW201539143A publication Critical patent/TW201539143A/zh
Application granted granted Critical
Publication of TWI609243B publication Critical patent/TWI609243B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

光微影方法
本發明通常係關於電子裝置之製造。更詳而言,本發明係關於容許使用負調顯影製程形成精細圖案的光微影方法及光阻之上塗組成物。
於半導體製造工業中,光阻材料係用於將圖像轉移至置於半導體上之一層或多層下方層(如金屬、半導體及介電層)以及該基板本身。為了增加半導體裝置之積體密度並容許具有奈米範圍內維度之結構的形成,業經並將繼續研發具有高解析能力之光阻及光微影加工工具。
正調化學增幅型光阻傳統上係用於高解析度加工。此等光阻典型係採用具有酸不穩定離去基之樹脂及光酸產生劑。曝光至光化輻射係造成該酸產生劑形成酸,該酸於曝光後烘烤過程中造成該樹脂內酸不穩定基之裂解。這導致該樹脂之經曝光區域與未曝光區域之間於水性鹼性顯影劑溶液中的溶解度特性差異。該阻劑之經曝光區域係溶解於該水性鹼性顯影劑中,且自該基板表面移除;而未曝光區域係不溶解於該顯影劑中,於顯影後殘留以形成正圖像。
一種達成半導體裝置內之奈米(nm)規格特徵的途徑係於化學增幅型光阻之曝光過程中使用短波長之光,舉例而言,193nm或更短。為了進一步改善微影效能,業經研發浸潤微影工具以有效增加成像裝置之透鏡的數值孔徑(NA),舉例而言,具有KrF或ArF光源之掃描器。此係藉由在該成像裝置之最後表面與半導體晶圓上表面之間使用高折射率流體(亦即,浸潤流體)而實施。該浸潤流體係令聚焦至該阻劑層之光的量大於使用空氣或惰性氣體介質時出現者。當使用水作為浸潤流體時,可增加最大數值孔徑,如自1.2增至1.35。由於數值孔徑之增加,可以於單個曝光製程中達成40nm半節距之解析度,故容許改善之設計收縮(design shrink)。惟,該標準浸潤微影製程通常係不適用於製造需要更高解析度之裝置,如32nm及22nm半節距之節點。
業經於材料及加工之兩種立場,作出大量嘗試以拓展超過使用正調顯影所達成者的實際解析度。一個此類實例係包括傳統正型化學增幅型光阻的負調顯影(NTD)。與標準正調成像相比,該NTD製程係藉由使用以亮域罩印製臨界暗域層所獲得之傑出的成像品質而令改善之解析度及加工窗口成為可能。NTD阻劑典型係採用具有酸不穩定(酸裂解性)基之樹脂及光酸產生劑。曝光於光化輻射係造成該光酸產生劑形成酸,該酸於曝光後烘烤過程中係造成該酸不穩定基之裂解,導致經曝光區域內極性切換。結果,於該阻劑之經曝光區域與未曝光區域之間產生 溶解度特徵之差異,而使得該阻劑之未曝光區域可藉由有機顯影劑如酮類、酯類或醚類移除,留下藉由不溶解之經曝光區域所創製的圖案。
於NTD製程中,經顯影之阻劑圖案內呈接觸孔之頸縮形式及線及溝槽圖案之T-頂形式等問題係揭示於公開第2013/0244438A1號美國專利申請案中。此等問題可能係藉由雜散光擴散至光罩不透明圖案邊緣下,而非所欲地造成阻劑表面處彼等「暗」域內之極性切換所造成。於一欲解決此問題之嘗試中,該'438公開案係揭露使用包括鹼性淬滅劑、聚合物及有機溶劑之光阻上塗層。該'438公開案中揭示之鹼性淬滅劑係佐劑之類型。
本發明人等業經發現,於NTD製程中使用佐劑類型之鹼性淬滅劑係飽受多種問題之苦。此等問題係包括,舉例而言,佐劑鹼性添加劑非所欲地擴散入下方光阻及/或上塗聚合物中,其可致使鹼性淬滅劑之有效量變得不可預知。此外,當用於浸潤微影製程中時,佐劑類型之鹼性淬滅劑可浸析入浸潤流體中並造成浸潤掃描器光學器件之污染。
該技術領域中,持續需求負調顯影用光微影方法及組成物,其係容許在電子裝置製造中形成精細圖案並避免或顯著減輕前述與該技術領域當前水準相關之一個或多個問題。
根據本發明之一方面,係提供形成電子裝 置之方法。該等方法係包含:一種形成電子裝置之方法,其係依序包含:(a)提供包含一層或多層待圖案化之層的基板;(b)於該一層或多層待圖案化之層上形成光阻層,其中,該光阻層係自包含下列者之組成物所形成:包含具有酸不穩定基之單元之基質聚合物;光酸產生劑;以及有機溶劑;(c)將光阻之上塗組成物塗覆於該光阻層上,其中,該上塗組成物係包含淬滅聚合物及有機溶劑,其中,該淬滅聚合物係包含具有鹼性部分之單元,該鹼性部分係有效中和在光阻層之表面區域中之該光酸產生劑所產生之酸;(d)將該光阻層曝光於活化輻射;(e)於曝光後烘烤製程中加熱該基板;以及,(f)使用有機溶劑顯影劑將經曝光之膜顯影。
100‧‧‧基板
102‧‧‧待圖案化之層/下方層
104‧‧‧光阻層
104'‧‧‧阻劑圖案
106‧‧‧上塗層
107‧‧‧經偏折或梯度化之淬滅劑區域
108‧‧‧活化輻射
109‧‧‧虛線
110‧‧‧第一光罩
112‧‧‧光學透明區域
114‧‧‧光學不透明區域
116‧‧‧潛像
120‧‧‧接觸孔圖案
參照附圖詳細揭示本發明,於該圖式中,類似數字符號係表示類似特徵,其中:第1圖(A)至(C)係例示性說明藉由根據本發明之負調顯影形成光微影圖案的流程。
本文中,除非具體指明者,「mol%」係意指以聚合物為基準之莫耳百分比,且除非具體指明者,聚合物單元顯示之數目係以mol%計;「Mw」係意指重量平均分子量;「Mn」係意指數量平均分子量;「PDI」係意指多分散係數,即Mw/Mn;「共聚物」係包括含有兩種或更多種不同類型之聚合單元的聚合物;除非具體指出或藉由語境 表明者,「烷基」及「伸烷基」係包括分別包括直鏈、分支鏈及環狀的烷基及伸烷基;以及,除非藉由語境明確指出者,冠詞「一(a)」、「一(an)」係包括一個或多個。
光阻之上塗組成物
當於負調顯影製程中將光阻之上塗組成物塗覆於光阻層上時,可提供多種益處,如下述之一者或多者:幾何均勻性阻劑圖案、阻劑曝光過程中折射率降低、聚焦寬容度改善、曝光寬容度改善、及缺陷性降低。當於乾微影製程及浸潤微影製程中使用該等組成物時,可達成此等益處。除了該等光阻組成物外,曝光波長並無特別限制,且典型係248nm或低於200nm如193nm(浸潤微影或乾微影)或EUV波長(如,13.4nm)。當用於浸潤微影中時,該上塗組成物可用以形成有效之阻擋層,用於避免光阻組分浸析入浸潤流體中;並提供所欲之與該浸潤流體的接觸角特徵,以容許增加之曝光掃描速度。
該等光阻之上塗組成物係包括淬滅聚合物、有機溶劑,且可包括額外的視需要之組分。若於浸潤微影製程中使用,則該淬滅聚合物可賦予自該等組成物形成之層以有益的阻擋特性,以最小化或防止光阻組分遷移入浸潤流體中;並賦予該層以有益之接觸角特徵,以在上塗/浸潤流體界面處提供高浸潤流體後退接觸角,從而令曝光工具掃描速度更快。乾燥狀態之上塗組成物層典型係具有70°至85°之水後退接觸角,較佳係75°至80°。短語「乾燥狀態」係意指以整體組成物為基準計,含有8wt%或更少 之溶劑。
該聚合物應於光微影處理之前及之後,具有非常良好之顯影能力。於圖案化製程中所使用之顯影劑中,該上塗組成物之乾燥層的溶解速率應大於下方光阻層,以最小化源自該等上塗材料殘質之缺陷。該聚合物典型係顯現100埃(Å)/秒或更高之顯影劑溶解速率,較佳係1000Å/秒或更高。該聚合物係可溶解於本文揭示之上塗組成物的有機溶劑中,且係可溶解於負調顯影製程中使用之有機顯影劑中。
再用於該等上塗組成物中之淬滅共聚物可係均聚物或具有複數種截然不同之重複單元的共聚物,舉例而言,兩種、三種、四種或更多種截然不同之重複單元。該淬滅聚合物可包括具有選自諸如(烷基)丙烯酸酯、(烷基)丙烯醯胺、烯丙基、馬來醯亞胺、苯乙烯、乙烯基、多環族(如,降莰烯)之一者或多者之可聚合基的單元及其他類型之單元。該淬滅聚合物可係隨機聚合物、嵌段聚合物、或其組成中具有沿著聚合物鏈之長度自一種單體單元類型至另一種單體單元類型梯度改變的梯度共聚物。
該淬滅聚合物係包括自具有鹼性部分之單體形成的單元。該單元係以中和下方光阻層內欲不曝光(暗域)之區域中的酸為目標而存在,該酸係藉由該光阻層之表面區域中的雜散光產生。咸信,藉由控制未曝光區域內之非所欲的去保護反應而使得散焦區域內之聚焦深度及曝光寬容度得以改善。結果,得以最小化或避免所形成之阻劑 圖案之輪廓的不規則性如頸縮及T-頂。
該含有鹼性部分之單元較佳係自選自下列單體之一者或多者的單體形成:其之可聚合單元係選自(烷基)丙烯酸酯、乙烯基、烯丙基及馬來醯亞胺,且其之鹼性部分係選自下列之含氮基團:胺類,如胺基醚類、吡啶類、苯胺類、吲唑類、吡咯類、吡唑類、吡類、胍類及亞胺類;醯胺類,如胺基甲酸酯類、吡咯烷酮類、馬來醯亞胺類、咪唑類及醯亞胺類之單體。其中,較佳係(烷基)丙烯酸酯類可聚合基團及含胺基之鹼性部分。
該含鹼性部分之單體的pKa(於水中)較佳係5至50,更佳係8至40,且最佳係10至35。該含鹼性部分之單體與該淬滅聚合物作為整體之pKa值典型將係相同或實質上相同之值。
用於形成該淬滅聚合物之含鹼性部分之單元的例示性適宜之單體係包括下列:
於此等含鹼性部分之單體中,下列係較佳者:
該含有鹼性部分之單元於該淬滅聚合物中的含量應足以實質上或完全消除下方光阻層暗域內之酸誘 發的去保護反應,同時允許該反應出現於該層之亮域(待曝光之彼等區域)中。無論於乾微影製程或浸潤微影製程中,該含有鹼性部分之單元於該淬滅聚合物中所欲之含量將取決於,舉例而言,該光阻層中光酸產生劑之含量、及上塗層之預期用途。典型地,對於乾微影製程,該含有鹼性部分之單元於該淬滅聚合物中的含量以該淬滅聚合物為基準計,係0.1至1000莫耳%,較佳係1至50莫耳%,且更佳係2至20莫耳%。對於浸潤微影製程,該含有鹼性部分之單元於該淬滅聚合物中的含量以該淬滅聚合物為基準計,典型係0.1至30莫耳%,較佳係0.5至20莫耳%,且更佳係2至15莫耳%。
該聚合物可視需要包括一個或多個額外類型之單元。舉例而言,於浸潤微影製程之例中,所欲者係包括令該上塗組成物起浸潤頂塗層之功能的單元,從而防止組分自下方光阻層浸析入浸潤流體中。
對於此目標,該淬滅聚合物較佳係包括自具有下述通式(I)之單體形成的單元: 其中,R1係選自氫、及經取代或未經取代之C1-C3烷基,較佳係氫或甲基;R2係選自經取代及未經取代之C1-C15烷基,較佳係C4-C8烷基,更佳係C4-C6烷基,該等經取代之烷基係包括,舉例而言,鹵烷基及鹵醇,如氟烷基及 氟醇,且較佳係分支鏈以提供更高之後退接觸角;X係氧、硫、或藉由式NR3表示之,其中,R3係選自氫、及經取代及未經取代之C1-C10烷基,較佳係C1-C5烷基;以及,Z係單鍵,或選自經取代及未經取代之脂族烴(如C1-C6伸烷基)、經取代及未經取代之芳族烴及其組合的間隔單元,視需要具有選自-O-、-S-、-COO-及-CONR4-之一個或多個鏈結部分,其中,R4係選自氫、及經取代及未經取代之C1-C10烷基、較佳係C2-C6烷基。
通式(I)之單體較佳係下述通式(II)者: 其中,R1與Z係如上定義,以及,R5、R6及R7係獨立表示氫或C1-C3烷基、氟烷基或氟醇基團。適宜之通式(II)之單體係揭示於上文例舉之結構中。
例示性適宜之通式(I)之單體係揭示如下,但並不限於此等結構。對於此等結構,「R1」及「X」係如上定義。
當存在於浸潤微影用之淬滅聚合物中時,該額外之單元於該淬滅聚合物中存在之量以該淬滅聚合物為基準計,典型係70至99.9mol%,較佳80至99.5mol%,且更佳85至98mol%。
有用於該等光阻組成物之例示性淬滅聚合物係包括下述者,以mol%計:
該等上塗組成物典型係包括單一聚合物, 但可視需要包括一種或多種如上揭之額外之淬滅聚合物或其他聚合物。用於該等上塗組成物中之適宜之聚合物及單體係可商購者及/或可由所屬技術領域中具有通常知識者輕易地作成。
該淬滅聚合物之含量可取決於,舉例而言,該微影係乾式型製程或浸潤類型製程。舉例而言,用於浸潤微影之淬滅聚合物下限通常係藉由防止組分自下方光阻層浸析入浸潤流體中所需者而指明。該淬滅聚合物於該上塗組成物中存在之量以該上塗組成物之總固體為基準計,典型係80至100wt%,更典型90至100wt%,95至100wt%,且典型係100wt%。該淬滅聚合物之重量平均分子量典型係低於400,000,較佳係2000至50,000,更佳係2000至25,000。
該等上塗組成物復包括有機溶劑或有機溶劑之混合物。用以配製並澆鑄該上塗組成物之適宜之溶劑材料係顯現相對於該上塗組成物之非溶劑組分優異之溶解度特徵,但並不明顯地溶解下方光阻層。用於該上塗組成物之適宜之有機溶劑係包括,舉例而言:烷基酯類,如丙酸烷基酯如丙酸正丁酯、丙酸正戊酯、丙酸正己酯及丙酸正庚酯,及丁酸烷基酯如丁酸正丁酯、丁酸異丁酯及異丁酸異丁酯;酮類,如2,5-二甲基-4-己酮及2,6-二甲基-4-庚酮;脂族烴類,如正庚烷、正壬烷、正辛烷、正癸烷、2-甲基庚烷、3-甲基庚烷、3,3-二甲基己烷及2,3,4-三甲基戊烷,以及氟化脂族烴類如全氟庚烷;以及,醇類,如直鏈、 分支鏈或環狀C4-C9單羥基醇如1-丁醇、2-丁醇、3-甲基-1-丁醇、異丁醇、第三丁醇、1-戊醇、2-戊醇、1-己醇、1-庚醇、1-辛醇、2-己醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇及4-辛醇;2,2,3,3,4,4-六氟-1-丁醇、2,2,3,3,4,4,5,5-八氟-1-戊醇及2,2,3,3,4,4,5,5,6,6-十氟-1-己醇、及C5-C9氟化二醇如2,2,3,3,4,4-六氟-1,5-戊二醇、2,2,3,3,4,4,5,5-八氟-1,6-己二醇及2,2,3,3,4,4,5,5,6,6,7,7-十二氟-1,8-辛二醇;及含有此等溶劑之一者或多者的混合物。於此等有機溶劑中,較佳係丙酸烷基酯、丁酸烷基酯及酮類,較佳係分支鏈酮類;更佳係C8-C9丙酸烷基酯、C8-C9丙酸烷基酯、C8-C9酮類、及含有此等溶劑之一者或多者的混合物。適宜之混合溶劑係包括,舉例而言,烷基酮與丙酸烷基酯之混合物,如上揭之烷基酮類與丙酸烷基酯。該上塗組成物之溶劑組分存在之量典型係,以該上塗組成物為基準計,90至99wt%。
該等光阻之上塗組成物可包括一種或多種視需要之材料。舉例而言,該等組成物可包括一種或多種光化及對比染料、抗條紋劑等。其中,對於提升自該等組成物形成之層的抗反射特性,光化及對比染料係較佳者。若使用此等視需要之佐劑,其典型係以小量存在於該組成物中,舉例而言,以該上塗組成物之總固體為基準計,0.1至10wt%。該等上塗組成物較佳係不含酸產生劑化合物,舉例而言,熱酸產生劑化合物及光酸產生劑化合物,蓋因此等化合物可中和該等上塗組成物中該鹼性淬滅劑之效 應。
該等光阻之上塗組成物可根據習知過程製備。舉例而言,該等組成物可藉由將該組成物之固體組分溶解於該等溶劑組分中而製備。該等組成物之所欲之總固體含量將取決於諸如該組成物中具體聚合物及所欲之最終層厚度之因素。較佳地,該等上塗組成物之固體含量係,以該組成物之總重量為基準計,1至10wt%,更佳1至5wt%。
自該等組成物形成之阻劑上塗層典型係在193nm具有1.4或更大之折射率,較佳係在193nm之折射率為1.47。可藉由改變該聚合物之組成或該上塗組成物之其他組分而調節該折射率。舉例而言,增加有機成分於該上塗組成物中之相對量可對該層提供增加之折射率。較佳之上塗組成物層於目標曝光波長將具有界於該浸潤流體與該光阻之間的折射率。
若該上塗層之折射率(n 1)係每一側上之材料的幾何平均值(n 1=(n 0 n 2)),則可降低該上塗層之反射率,其中,n 0係於浸潤微影之例中水的折射率或乾微影中空氣的折射率,且n2係該光阻之折射率。又,為了增強自該等上塗組成物形成之層的抗反射特性,較佳係選擇該上塗(d 1)之厚度,以令該上塗中之波長係入射波之波長(λ0)的四分之一。對於具有折射率n 1之上塗組成物的四分之一波長抗反射塗層,給出最小折射之厚度d 1係藉由d 10/(4 n 1)計算之。
光阻組成物
可用於本發明中之光阻組成物係包括化學增幅型光阻組成物,其係包含酸敏性之基質聚合物,意指,作為該光阻組成物層的一部分,該聚合物及組成物層係進行在有機顯影劑中溶解度之改變,此係其與光酸產生劑於軟烘烤、曝光於光化輻射及曝光後烘烤後產生之酸反應的結果。在曝光於活化輻射及進行熱處理時,當該基質聚合物中之酸不穩定基團如光酸不穩定酯基或縮醛基進行光酸促進之去保護反應時,帶來該溶解度之改變。可用於本發明之適宜之光阻組成物係可商購者。
對於在低於200nm之波長如193nm成像,該基質聚合物典型係實質上不含(如,低於15莫耳%)苯基、苄基或其他芳基,其中,此等基團對於該輻射係具有高吸收性。實質上或完全不含芳基之適宜之聚合物係揭露於第930542 A1號歐洲專利及第6,692,888號及第6,680,159號美國專利中,該等專利係全部授予希普利公司(Shipley Company)。較佳之酸不穩定基係包括,舉例而言,含有共價鏈結至該基質聚合物之酯之羧基氧的三級非環狀烷基碳(如,第三丁基)或三級脂環族碳(如,甲基金剛烷基)的縮醛基或酯基。
適宜之基質聚合物復包括含有(烷基)丙烯酸酯單元之聚合物,較佳係包括酸不穩定之(烷基)丙烯酸酯單元,如丙烯酸第三丁酯、甲基丙烯酸第三丁酯、丙烯酸甲基金剛烷基酯、甲基丙烯酸甲基金剛烷基酯、丙烯酸乙基葑酯、甲基丙烯酸乙基葑酯等,以及其他非環狀烷基 及脂環族之(烷基)丙烯酸酯。舉例而言,此等聚合物業經於第6,057,083號美國專利、第01008913 A1號及第00930542 A1號歐洲專利申請案、及第6,136,501號美國專利中。
其他適宜之基質聚合物係包括,舉例而言,彼等具有非芳族環狀烯烴(環內雙鍵)如視需要經取代之降莰烯之聚合單元者,舉例而言,於第5,843,624號及第6,048,664號美國專利中揭示者。
再其他適宜之基質聚合物係包括含有經聚合之酐單元,尤其是經聚合之馬來酸酐及/或伊康酸酐單元的聚合物,如於第01008913A1號歐洲專利申請案及第6,048,662號美國專利中揭露者。
亦適用於作為該基質聚合物者係含有具雜原子尤其是氧及/或硫之重複單元(但並非酐,亦即,該單元不含有酮環原子)。該雜脂環族單元可稠合至該聚合物骨幹,且可包含經稠合之碳脂環單元如藉由降莰烯基之聚合而提供者,及/或酐單元如藉由馬來酸酐或伊康酸酐之聚合而提供者。此等聚合物係揭示於第01/14914號PCT美國申請案及第6,306,554號美國專利中。其他適宜之含有雜原子基的基質聚合物係包括,含有經一個或多個含雜原子(如,氧或硫)之基團取代之碳環芳基如羥基萘基的聚合單元,舉例而言,於第7,244,542號美國專利中揭示者。
兩種或更多種上揭基質聚合物之摻合物可適宜地用於該等光阻組成物中。
用於該等光阻組成物中之適宜之基質聚合物係可商購者,且可由所屬技術領域中具有通常知識者輕易地作成。該基質聚合物係以足以令經曝光之該阻劑塗層於適宜之顯影劑溶液中可顯影的量存在於該阻劑組成物中。典型地,以該阻劑組成物之總固體為基準計,該基質聚合物於該組成物中存在之量係50至95wt%。該基質聚合物之重量平均分子量Mw典型係低於100,000,舉例而言,5000至100,000,更典型係5000至15,000。
該光阻組成物復包含光活性組分如光酸產生劑(PAG),其用量係足以在曝光於光化輻射時於該組成物之塗層中產生潛像。舉例而言,以該光阻組成物之總固體為基準計,該光酸產生劑將適宜地以約1至20wt%之量存在。典型地,與非化學增幅型材料相比,更少量之PAG將適用於化學增幅型阻劑。
適宜之PAG係化學增幅型光阻技術領域中所習知者,且係包括,舉例而言:鎓鹽,舉例而言,三氟甲磺酸三苯基鋶、三氟甲磺酸(對-第三丁氧基苯基)二苯基鋶、三氟甲磺酸參(對-第三丁氧基苯基)鋶、對甲苯磺酸三苯基鋶;硝基苄基衍生物,舉例而言,對甲苯磺酸2-硝基苄酯、對甲苯磺酸2,6-二硝基苄酯、及對甲苯磺酸2,4-二硝基苄酯;磺酸酯類,舉例而言,1,2,3-參(甲磺醯氧基)苯、1,2,3-參(三氟甲磺醯氧基)苯、及1,2,3-參(對甲苯磺醯氧基)苯;重氮甲烷衍生物,舉例而言,雙(苯磺醯基)重氮甲烷、雙(對甲苯磺醯基)重氮甲烷;乙二肟(glyoxime)衍生物,舉 例而言,雙-O-(對甲苯磺醯基)-α-二甲基乙二肟、及雙-O-(正丁磺醯基)-α-二甲基乙二肟;N-羥基醯亞胺化合物之磺酸酯衍生物,舉例而言,N-羥基琥珀醯亞胺甲磺酸酯、N-羥基琥珀醯亞胺三氟甲磺酸酯;以及含鹵素之三化合物,舉例而言,2-(4-甲氧基苯基)-4,6-雙(三氯甲基)-1,3,5-三、及2-(4-甲氧基萘基)-4,6-雙(三氯甲基)-1,3,5-三。可使用此等PAG之一者或多者。
用於該等光阻組成物之適宜之溶劑係包括,舉例而言,二醇醚類,如2-甲氧基乙基醚(diglyme)、乙二醇單甲醚、及丙二醇單甲醚;丙二醇單甲醚乙酸酯;乳酸酯類,如乳酸甲酯及乳酸乙酯;丙酸酯類,如丙酸甲酯、丙酸乙酯、乙氧基丙酸乙酯及2-羥基異丁酸甲酯;賽珞蘇酯類,如甲基賽珞蘇乙酸酯;芳族烴類,如甲苯及二甲苯;以及酮類,如丙酮、甲乙酮、環己酮及2-庚酮。溶劑之摻合物,如上揭溶劑之兩者、三者或更多者之摻合物亦係適宜者。以該光阻組成物之總重量為基準計,該溶劑典型係以90至99wt%之量存在於該組成物中,更典型係95至98wt%。
該等光阻組成物可復包括其他視需要之材料。舉例而言,負作動阻劑組成物典型亦包括交聯劑組分。適宜之交聯劑組分係包括,舉例而言,胺系材料如三聚氰胺樹脂,其在暴露於光酸產生劑曝光於活化輻射而產生之酸中時,將會固化、交聯或硬化。較佳之交聯劑係包括胺系材料,包括三聚氰胺、乙炔脲、苯胍(benzoguanamine) 系材料及脲系材料。三聚氰胺-甲醛樹脂係通常最佳者。此等交聯劑係可商購者,如美國氰胺公司(American Cyanamid)於商品名Cymel 300、301及303下販售之三聚氰胺樹脂。乙炔脲樹脂係由美國氰胺公司於商品名Cymel 1170、1171、1172下販售;脲系樹脂係於商品名Beetle 60、65及80下販售;而苯胍樹脂係於商品名Cymel 1123及1125下販售。對於在低於200nm波長如193nm成像,較佳係於授予希普利公司之第03077029號世界專利中揭露之負作動光阻。
該等光阻組成物亦可包括其他視需要之材料。舉例而言,該等組成物可包括一種或多種光化及對比染料、抗條紋劑、塑化劑、增速劑、敏化劑等。若使用此等視需要之佐劑,則其典型係以小量存在於組成物中,如,以該光阻組成物之總固體為基準計,0.1至10wt%。
該阻劑組成物之較佳的視需要之佐劑係添加鹼(added base)。此等鹼係上揭之關於該上塗組成物中之鹼性淬滅劑者。該添加鹼係適宜地以相對小量使用,舉例而言,以該光阻組成物為基準計,0.01至5wt%,較佳0.1至2wt%。
該等光阻可根據下述過程製備。舉例而言,可藉由將光阻之組分溶解於適宜之溶劑中而將該等光阻製備為塗覆組成物,該溶劑係諸如下列之一者或多者:二醇醚,如2-甲氧基乙基醚(二甘醇二甲醚)、乙二醇單甲醚、丙二醇單甲醚;丙二醇單甲醚乙酸酯;乳酸酯類,如 乳酸乙酯或乳酸甲酯,且較佳係乳酸乙酯;丙酸酯類,特別是丙酸甲酯、丙酸乙酯及乙氧基丙酸乙酯;賽珞蘇酯,如甲基賽珞蘇乙酸酯;芳族烴類,如甲苯及二甲苯;或酮,如甲乙酮、環己酮及2-庚酮。該光阻的所欲之總固體含量將取決於諸如該組成物中具體聚合物、最終之層厚及曝光波長之要素。典型地,該光阻之固體含量係,以該光阻組成物之總重量為基準計,自1至10wt%變化,更典型係2至5wt%。
負調顯影方法
現在,參照第1圖(A)至(C),揭示根據本發明之製程,該等圖式係例示性說明藉由負調顯影形成光微影圖案之例示性流程。
第1圖(A)係說明基板100之橫截面,其可包括各式層及特徵。該基板可係諸如半導體,如矽或化合物半導體(如,III-V或II-VI)、玻璃、石英、陶瓷、銅等材料。典型地,該基板係半導體晶圓,如單晶矽或化合物半導體晶圓,且可具有一層或多層及於其表面上形成之圖案化特徵。一層或多層待圖案化之層102係提供於基板100之上方。視需要,舉例而言,當所欲者為在基板材料中形成溝槽時,可將下方基底基板材料本身圖案化。於圖案化該基底基板材料本身之例中,應認為該圖案係形成於該基板之層中。
該等層可包括,舉例而言,一層或多層導電層,如鋁、銅、鉬、鉭、鈦、鎢、此等金屬之合金、氮 化物或矽化物、經摻雜之非晶矽或經摻雜之多晶矽之層;一層或多層介電層,如氧化矽、氮化矽、氮氧化矽、或金屬氧化物之層;半導體層,如單晶矽;及其組合。該等待蝕刻之層可藉由各種技術形成之,舉例而言,化學氣相沉積(CVD)如電漿增強CVD、低壓CVD或磊晶生長,物理氣相沉積(PVD)如濺射或蒸發,或電鍍。一層或多層待蝕刻之層102的具體厚度將依據材料及待形成之具體裝置而變化。
依據具體待蝕刻之層、膜厚度、及待使用之光微影材料及製程,所欲者可係將其上待塗覆光阻層104之硬罩層及/或底部抗反射塗層(BARC)置於該等層102上。舉例而言,使用具有非常薄之阻劑層時,若該等待蝕刻之層需要顯著之蝕刻深度,及/或若具體之蝕刻劑具有不良之光阻選擇性,則所欲者係使用硬罩層。若使用硬罩層,則待形成之阻劑圖案可被轉移至該硬罩層,接著,可使用該硬罩層作為遮罩而用於蝕刻下方層102。適宜之硬罩材料及形成方法係該技術領域中習知者。典型之材料係包括,舉例而言,鎢、鈦、氮化鈦、氧化鈦、氧化鋯、氧化鋁、氮氧化鋁、氧化鉿、非晶碳、氮氧化矽、及氮化矽。該硬罩層可包括單層或複數種不同材料之層。舉例而言,可藉由化學或物理氣相沉積技術形成該硬罩層。
若該基板及/或下方層會在光阻曝光過程中反射顯著量之入射輻射,並對所形成之圖案的品質產生負面影響,則底部抗反射塗層可係所欲者。此等塗層可改善 聚焦深度、曝光寬容度、線寬均一性及CD控制。若該阻劑係曝光於深紫外光(300nm或更短)如KrF準分子雷射光(248nm)或ArF準分子雷射光(193nm),則典型係使用抗反射塗層。該抗反射塗層可包含單層或複數層不同之層。適宜之抗反射材料及形成方法係該技術領域中習知者。抗反射材料係可商購者,舉例而言,彼等由羅門哈斯電子材料公司(Rohm and Haas Electronic Materials LLC(Marlborough,MA USA))於商標ARTM下販售者,如ARTM40A及ARTM124抗反射劑材料。
由組成物(如本文中揭示者)形成之光阻層104係置於該基板之抗反射層(若存在)上方。可藉由旋塗、浸塗、輥塗或其他傳統塗覆技術將該光阻組成物施用至該基板。其中,旋塗係典型者。對於旋塗,可基於所使用之具體塗覆設備、溶液之黏度、塗覆工具之速度及容許旋塗之時間量來調節塗覆溶液之固體含量,以提供所欲之膜厚度。光阻層104之典型厚度係約500至3000Å。
之後,可軟烘烤該光阻層以最小化該層中之溶劑含量,從而形成無黏塗層並改善該層對基板之黏著性。該軟烘烤可於熱板上或烘箱中進行,典型係使用熱板。該軟烘烤溫度及時間將取決於,舉例而言,該光阻之具體材料及厚度。典型之軟烘烤係於約90至150℃之溫度進行,且烘烤時間為約30至90秒。
自本文揭示之上塗組成物形成的光阻之上塗層106係形成於光阻層104上方。典型係藉由旋塗將該 上塗組成物施用至基板。可基於所使用之具體塗覆設備、溶液之黏度、塗覆工具之速度及容許旋塗之時間量來調節塗覆溶液之固體含量,以提供所欲之膜厚度。為了降低該上塗層之反射能力,較佳係選擇該厚度,而使得該上塗層中之波長係入射波之波長的四分之一。該光阻之上塗層106的典型厚度係200至1000Å。
之後,可烘烤該光阻之上塗層以移除或最小化該層中之溶劑含量。該烘烤可於熱板上或烘箱中進行,典型係使用熱板。典型之烘烤係於約80至120℃之溫度進行,且烘烤時間為約30至90秒。該鹼性淬滅劑可存在於該上塗層106中,均質地分散於整個上塗層中,或作為經偏折或梯度化之淬滅劑區域107而存在。
之後,透過第一光罩110將該光阻層104曝光於活化輻射108,以於經曝光區域與未曝光區域之間創製溶解度差異。本文中,將光阻組成物曝光於對於該組成物為活化之輻射係表明,該輻射能在該光阻組成物中形成潛像。該光罩係具有光學透明區域112及光學不透明區域114,分別對應後續顯影步驟中該阻劑層保留及被移除之區域。該曝光波長典型係低於400nm、低於300nm、或低於200nm,且典型係248nm及193nm。該等方法於浸潤微影技術或乾(非浸潤)微影技術中有用途。該曝光能力典型係約10至80毫焦耳(mJ)/平方公分(cm2),取決於曝光工具及該光敏組成物之組分。
於曝光該光阻層104後,施行曝光後烘烤 (PEB)。舉例而言,可於熱板上或烘箱中進行該PEB。該PEB之條件將取決於,舉例而言,具體之光阻組成物及層厚度。該PEB典型係於約80至150℃之溫度進行,且烘烤時間為約30至90秒。於曝光後烘烤之後,咸信,該鹼性淬滅劑係擴散進入該光阻層104之表面區域,如虛線109所示。藉由經極性切換區域與未切換區域(分別對應經曝光區域及未曝光區域)間之邊界(虛線)界定之潛像116係形成於該光阻中,如第1圖(B)所示。咸信,於該光阻中擴散之鹼性淬滅劑係防止該光阻層之非所欲之暗域中的極性切換,導致具有垂直壁之潛像。
之後,將該上塗層106及經曝光之光阻層顯影,以移除該光阻層104之未曝光區域,留下經曝光區域,形成開放之阻劑圖案104',且接觸孔圖案120係具有垂直側壁,如第1圖(C)所示。該顯影劑典型係有機顯影劑,舉例而言,選自酮類、酯類、烴類、及其混合物之溶劑。適宜之酮類溶劑係包括,舉例而言,丙酮、2-己酮、5-甲基-2-己酮、2-庚酮、4-庚酮、1-辛酮、2-辛酮、1-壬酮、2-壬酮、二異丁酮、環己酮、甲基環己酮、苯基丙酮、甲乙酮、及甲基異丁基酮。適宜之酯類溶劑係包括,舉例而言,乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸異丙酯、乙酸戊酯、丙二醇單甲醚乙酸酯、乙二醇單乙醚乙酸酯、二乙二醇單丁醚乙酸酯、二乙二醇單乙醚乙酸酯、3-乙氧基丙酸乙酯、乙酸3-甲氧基丁酯、乙酸3-甲基-3-甲氧基丁酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁 酯及乳酸丙酯。適宜之醚類溶劑係包括,舉例而言,二烷、四氫呋喃及二醇醚類溶劑,舉例而言,乙二醇單甲醚、丙二醇單甲醚、乙二醇單乙醚、丙二醇單乙醚、二乙二醇單甲醚、三乙二醇單乙醚及甲氧基甲基丁醇。適宜之醯胺類溶劑係包括,舉例而言,N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺及N,N-二甲基甲醯胺。適宜之烴類溶劑係包括,舉例而言,芳族烴類溶劑如甲苯及二甲苯。此外,可使用此等溶劑之混合物、一種或多種所列溶劑與非上揭之彼等者的混合物、或一種或多種所列溶劑與水的混合物。其他適宜之溶劑係包括彼等於光阻組成物中使用者。該顯影劑較佳係2-庚酮或乙酸丁酯如乙酸正丁酯。
有機溶劑之混合物,舉例而言,第一有機溶劑與第二有機溶劑之混合物,較佳可用作顯影劑。該第一有機溶劑可選自羥基烷基酯類,如2-羥基異丁酸甲酯及乳酸乙酯;以及直鏈或分支鏈C5-C6烷氧基烷基乙酸酯類如丙二醇單甲醚乙酸酯(PGMEA)。第一有機溶劑中,2-庚酮及5-甲基-2-己酮係較佳者。第二有機溶劑可係選自直鏈或分支鏈之未經取代之C6-C8烷基酯類,如乙酸正丁酯、乙酸正戊酯、丙酸正丁酯、乙酸正己酯、丁酸正丁酯及丁酸異丁酯;以及直鏈或分支鏈C8-C9酮類,如4-辛酮、2,5-二甲基-4-己酮及2,6-二甲基-4-庚酮。第二有機溶劑中,乙酸正丁酯、丙酸正丁酯及2,6-二甲基-4-庚酮係較佳者。第一有機溶劑與第二有機溶劑之較佳組合係包括2-庚酮/丙酸正丁酯、環己酮/丙酸正丁酯、PGMEA/丙酸正丁酯、5- 甲基-2-己酮/丙酸正丁酯、2-庚酮/2,6-二甲基-4-庚酮、及2-庚酮/乙酸正丁酯。其中,2-庚酮/乙酸正丁酯及2-庚酮/丙酸正丁酯係特佳者。
該等有機溶劑於該顯影劑中之組合量典型係,以該顯影劑之總重量為基準計,90wt%至100wt%,更典型係大於95wt%,大於98wt%,大於99wt%或100wt%。
該顯影劑材料可包括視需要之佐劑,舉例而言,界面活性劑如上文關於該光阻而揭示者。此等視需要之佐劑典型將以小濃度存在,舉例而言,其量係,以該顯影劑之總重量為基準計,約0.01至5wt%。
可藉由習知技術如旋塗或攪拌塗覆(puddle-coating)將該顯影劑施用至基板。顯影時間係有效移除該光阻之未曝光區域的一段時間,且典型係5至30秒。顯影典型係於室溫進行。該顯影製程可不使用顯影後之清潔沖洗而進行。就此而言,業經發現該顯影製程可導致無殘質之晶圓表面,使得過度之沖洗步驟並非必需。
該BARC層若存在,則使用阻劑圖案104'作為蝕刻罩而選擇性蝕刻該層,暴露下方硬罩層。之後,再次使用該阻劑圖案104'作為蝕刻罩而選擇性蝕刻該硬罩層,得到經圖案化之BARC層及硬罩層。適宜之用於蝕刻該BARC層及硬罩層的蝕刻技術及化學品係該技術領域中所習知者,並將取決於,舉例而言,此等層之具體材料。乾蝕刻製程如反應性離子蝕刻係典型者。之後,使用習知技術如氧電漿灰化自基板移除該阻劑圖案104'及經圖案化 之BARC層。
使用該硬罩圖案作為蝕刻罩,該一層或多層102得以選擇性蝕刻。用於蝕刻該等下方層102的適宜之蝕刻技術及化學品係該技術領域中習知者,且典型係乾蝕刻製程如反應性離子蝕刻。之後,使用習知技術,舉例而言,乾蝕刻製程如反應性離子蝕刻,自該基板表面移除經圖案化之硬罩層。所得結構係經蝕刻之特徵的圖案。於另一例示性方法中,所欲者可係使用阻劑圖案104'且不使用硬罩層而直接圖案化該等層102。是否採用直接圖案化將取決於諸如所包括之材料、阻劑圖案厚度及圖案維度之因素。
本發明之負調顯影方法並不限於上揭之例示性方法。舉例而言,該等光阻之上塗組成物可用於負調顯影雙曝光方法中用於作成接觸孔。例示性此類製程係參照第1圖(A)至(C)揭示之技術的變種,但以不同於第一次曝光之圖案使用額外曝光將該光阻層曝光。於此製程中,係於第一曝光步驟中透過光罩將該光阻層曝光至光化輻射。該光罩係包括一系列形成該罩之不透明區域的平行線。於第一次曝光之後,透過包括一系列垂直於該第一光罩線條方向之線條的第二光罩進行該光阻層的第二次曝光。所得光阻層係包括未曝光指區域、經一次曝光之區域、及經二次曝光之區域。於第二次曝光之後,對該光阻層進行曝光後烘烤,並使用上揭顯影劑顯影。對應於兩個光罩線條之交叉點的未曝光區域被移除,留下該阻劑之經一次 曝光區域及經二次曝光區域。之後,可參照第1圖(A)至(C)如上揭者將所得結構圖案化。
可使用NTD過度曝光製程達成特徵如接觸孔及溝槽團的更精細之解析度。於此製程中,該光罩係具有相對於待印製於晶圓上者更大的圖案。選擇曝光條件,使光於光罩圖案邊緣下方擴散,造成該阻劑中之極性切換於此等邊緣區域下方擴展。
[實施例]
光阻聚合物(PP)之合成
於光阻聚合物之合成中使用之單體的結構及其縮寫係顯示於下:
聚(ECPMA/MCPMA/MNLMA/HADA)(PP-1)之合成
將單體ECPMA(5.092g)、MCPMA(10.967g)、MNLMA(15.661g)及HADA(8.280g)溶解於60g之PGMEA中。藉由以氮氣鼓泡將該單體溶液脫氣20分鐘。將PGMEA(27.335g)充填入配備冷凝器及機械攪拌器之500mL三頸燒瓶中,並藉由以氮氣鼓泡而脫氣20分鐘。接著,將該反應燒瓶內之溶劑帶至80℃溫度。將V601(2,2-偶氮二異丁酸二甲酯)(0.858g)溶解於8g之PGMEA,並藉由以氮氣鼓泡 而將該起始劑溶液脫氣20分鐘。將該起始劑溶液加入該反應燒瓶中,隨後於劇烈攪拌及氮氣環境下,以3小時期間將單體溶液逐滴饋入該反應器中。於單體饋入完成後,將該聚合反應混合物於80℃再放置1小時。於總計4小時之聚合反應時間(3小時饋料,及1小時饋料後攪拌)後,令該聚合反應混合物冷卻至室溫。於甲基第三丁基醚(MTBE)(1634g)中進行沉澱。藉由過濾收集所沉澱之聚合物,將之空氣乾燥過夜,再次溶解於120g之THF中,並於MTBE(1634g)中再次沉澱。最終之聚合物經過濾、將之空氣乾燥過夜、並於60℃真空下進一步乾燥48小時以給出聚合物PP-1(Mw:20,120;PDI:1.59)。
聚(MCPMA/NLM)(PP-2)之合成
將單體MCPMA(17.234g)及NLM(22.766g)溶解於60g之PGMEA中。藉由以氮氣鼓泡將該單體溶液脫氣20分鐘。將PGMEA(31.938g)充填入配備冷凝器及機械攪拌器之500mL三頸燒瓶中,並藉由以氮氣鼓泡而脫氣20分鐘。將該反應燒瓶內之溶劑帶至80℃溫度。將V601(2,2-偶氮二異丁酸二甲酯)(2.831g)溶解於8g之PGMEA,並藉由以氮氣鼓泡而將該起始劑溶液脫氣20分鐘。將該起始劑溶液加入該反應燒瓶中,隨後於劇烈攪拌及氮氣環境下,以3小 時期間將單體溶液逐滴饋入該反應器中。於單體饋入完成後,將該聚合反應混合物於80℃再放置1小時。於總計4小時之聚合反應時間(3小時饋料,及1小時饋料後攪拌)後,令該聚合反應混合物冷卻至室溫。於甲基第三丁基醚(MTBE)(1713g)中進行沉澱。藉由過濾收集所沉澱之聚合物,將之空氣乾燥過夜,再次溶解於120g之THF中,並於MTBE(1713g)中再次沉澱。最終之聚合物經過濾、空氣乾燥過夜、並於60℃空下進一步乾燥48小時以給出聚合物PP-2(Mw:8,060;PDI:1.46)。
上塗聚合物(OP)之合成
如下揭者,下述單體係用於上塗聚合物(OP)之合成中:
聚(iBMA/nBMA)(75/25)(OP-1)之合成
將30g之iBMA及10g之nBMA單體溶解於60g之PGMEA中。藉由以氮氣鼓泡將該單體溶液脫氣20分鐘。將PGMEA(32.890g)充填入配備冷凝器及機械攪拌器之500mL三頸燒瓶中,並藉由以氮氣鼓泡而脫氣20分鐘。接著, 將該反應燒瓶內之溶劑帶至80℃溫度。將V601(3.239g)溶解於8g之PGMEA,並藉由以氮氣鼓泡而將該起始劑溶液脫氣20分鐘。將該起始劑溶液加入該反應燒瓶中,隨後於劇烈攪拌及氮氣環境下,以3小時期間內將單體溶液逐滴饋入該反應器中。於單體饋入完成後,將該聚合反應混合物於80℃再放置1小時。於總計4小時之聚合反應時間(3小時饋料,及1小時饋料後攪拌)後,令該聚合反應混合物冷卻至室溫。於甲醇/水(8/2)混合物(1730g)中進行沉澱。藉由過濾收集所沉澱之聚合物,將之空氣乾燥過夜,再次溶解於120g之THF中,於甲醇/水(8/2)混合物(1730g)中再次沉澱。最終之聚合物經過濾、空氣乾燥過夜、並於25℃真空下進一步乾燥48小時以給出33.1g之聚(iBMA/nBMA)(75/25)共聚物(OP-1)(Mw=9,203,且Mw/Mn=1.60)。
聚(iBMA/TBAEMA)(95/5)(OP-2)之合成
將37.433g之iBMA及2.567g之TBAEMA單體溶解於60g之PGMEA中。藉由以氮氣鼓泡將該單體溶液脫氣20分鐘。將PGMEA(28.311g)充填入配備冷凝器及機械攪拌器之500mL三頸燒瓶中,並藉由以氮氣鼓泡而脫氣20分鐘。接著,將該反應燒瓶內之溶劑帶至80℃溫度。將V601(1.276g)溶解於8g之PGMEA,並藉由以氮氣鼓泡而將該起始劑溶液脫氣20分鐘。將該起始劑溶液加入該反應燒瓶中,隨後於劇烈攪拌及氮氣環境下,以3小時期間內將單體溶液逐滴饋入該反應器中。於單體饋入完成後,將該聚合反應混合物於80℃再放置1小時。於總計4小時之 聚合反應時間(3小時饋料,及1小時饋料後攪拌)後,令該聚合反應混合物冷卻至室溫。於甲醇/水(8/2)混合物(1651g)中進行沉澱。藉由過濾收集所沉澱之聚合物,空氣乾燥過夜,再次溶解於120g之THF中,於甲醇/水(8/2)混合物(1651g)中再次沉澱。最終之聚合物經過濾、空氣乾燥過夜、並於25℃真空下進一步乾燥48小時以給出28.3g之聚(iBMA/TBAEMA)(95/5)共聚物(OP-2)。
額外之上塗聚合物
使用上文詳述之過程合成額外之含鹼的佐劑聚合物。包括彼等用於OP-1及OP-2者之結果係總結於表1中。
光阻組成物之製備
將1.294g之PP-1及1.294g之PP-2溶解於29.070g之PGMEA、19.380g之環己酮及48.450g之2-羥基異丁酸 甲酯中。將0.484g之下揭PAG A及0.029g之1-(第三丁氧基羰基)-4-羥基哌啶加至此混合物中。將所得混合物於機械輥上輥壓3小時,隨後透過具有0.2微米孔徑之聚四氟乙烯(鐵氟龍(Teflon))過濾器過濾。
阻劑之上塗組成物(OC)的製備
藉由使用表2中詳述之組分及量,將上塗聚合物溶解於異丁酸異丁酯(IBIB)中而製備阻劑上塗組成物。所得混合物於機械輥上輥壓3小時,隨後透過具有0.2微米孔徑之聚四氟乙烯過濾器過濾。基於對應入射波之波長之四分之一的目標厚度(以約1500rpm旋塗後),配製組成物以降低該上塗表面之反射能力。
微影製程
使用連結至ASML/1100掃描器之TEL CleanTrack ACT 8施行乾式微影,以檢查200mm矽晶圓上之鍵結鹼之上塗聚合物的效應。矽晶圓係旋塗有ARTM77底部抗反射塗層(BARC)材料(羅門哈斯電子材料公司),並於205℃烘烤60秒以獲得800Å之膜厚度。於TEL CleanTrack ACT 8塗覆器/顯影器上,將光阻組成物(PC)塗覆於塗覆有BARC之晶圓上,並於90℃軟烘烤60秒以提供厚度為940Å之阻劑層。於TEL CleanTrack ACT 8塗覆器/顯影器上,將表2中詳述之上塗組成物塗覆於該阻劑之頂部,並於90℃軟烘烤60秒以提供厚度為290Å之上塗層。使用0.75NA、0.89外徑(outer sigma)及0.64內徑(inner sigma)之環狀照明條件將該等晶圓曝光。於TEL CleanTrack ACT 8塗覆器/顯影器上,經曝光之晶圓於85℃進行60之曝光後烘烤,並使用乙酸正丁酯(NBA)顯影劑顯影30秒。目標CD係100nm緻密接觸孔且節距為200nm。自表3可見,與不具上塗組成物者(比較例1)及具比較性上塗組成物者(比較例2)相比,使用鍵結鹼之聚合物上塗者係觀察到改善之加工窗口。
100‧‧‧基板
102‧‧‧待圖案化之層/下方層
104‧‧‧光阻層
104'‧‧‧阻劑圖案
106‧‧‧上塗層
107‧‧‧經偏折或梯度化之淬滅劑區域
108‧‧‧活化輻射
109‧‧‧虛線
110‧‧‧第一光罩
112‧‧‧光學透明區域
114‧‧‧光學不透明區域
116‧‧‧潛像
120‧‧‧接觸孔圖案

Claims (10)

  1. 一種形成電子裝置之方法,其係依序包含:(a)提供半導體基板,其係包含一層或多層待圖案化之層;(b)於該一層或多層待圖案化之層上形成光阻層,其中,該光阻層係自包含下列者之組成物所形成:包含具有酸不穩定基之單元的基質聚合物;光酸產生劑;以及有機溶劑;(c)將光阻之上塗組成物塗覆於該光阻層上,其中,該上塗組成物係包含淬滅聚合物及有機溶劑,其中,該淬滅聚合物係包含具有鹼性部分之單元,該鹼性部分係有效中和於該光阻層之表面區域中之該光酸產生劑產生的酸,其中,該鹼性部分係含氮基團;(d)將該光阻層曝光於活化輻射;(e)於曝光後烘烤製程中加熱該基板;以及(f)使用有機溶劑顯影劑將該經曝光之膜顯影。
  2. 如申請專利範圍第1項所述之方法,其中,該具有鹼性部分之單元係自選自下列之一者或多者的單體所形成:
  3. 如申請專利範圍第2項所述之方法,其中,該具有鹼性部分之單元係自選自下列之一者或多者的單體所形成:
  4. 如申請專利範圍第1至3項中任一項所述的方法,其中,以該淬滅聚合物為基準計,該具有鹼性部分之單元於該聚合物中存在的量係0.1至30莫耳%。
  5. 如申請專利範圍第1至3項中任一項所述的方法,其中,該淬滅聚合物復包含自下述通式(I)之單體形成的第二單元: 其中,R1係選自氫、及經取代或未經取代之C1-C3烷基;R2係選自經取代或未經取代之C1-C15烷基;X係氧、硫、或係藉由式NR3表示,其中,R3係選自氫、及經取代或未經取代之C1-C10烷基;以及,Z係單鍵,或選自視需要經取代之脂族烴、視需要經取代之芳族烴、及其組合之間隔單元,視需要具有選自-O-、-S-、-COO-、及-CONR4-之一個或多個鏈結部分,其中,R4係選自氫、及經取代或未經取代之C1-C10烷基。
  6. 如申請專利範圍第5項所述之方法,其中,該聚合物係含有作為聚合單元的下述通式(II)之單體: 其中,R5、R6、及R7係獨立表示氫,或C1-C3烷基、氟烷基或氟醇基。
  7. 如申請專利範圍第5項所述之方法,其中,Z係單鍵。
  8. 如申請專利範圍第1至3項中任一項所述的方法,其中,該淬滅聚合物係隨機共聚物。
  9. 如申請專利範圍第1至3項中任一項所述的方法,其中,該淬滅聚合物係嵌段共聚物。
  10. 如申請專利範圍第1至3項中任一項所述的方法,其中,該淬滅聚合物係梯度共聚物。
TW103146520A 2013-12-31 2014-12-31 光微影方法 TWI609243B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361922784P 2013-12-31 2013-12-31

Publications (2)

Publication Number Publication Date
TW201539143A TW201539143A (zh) 2015-10-16
TWI609243B true TWI609243B (zh) 2017-12-21

Family

ID=53481549

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146520A TWI609243B (zh) 2013-12-31 2014-12-31 光微影方法

Country Status (5)

Country Link
US (1) US9703200B2 (zh)
JP (1) JP2015129939A (zh)
KR (1) KR20150079487A (zh)
CN (1) CN105022224A (zh)
TW (1) TWI609243B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150080443A (ko) * 2013-12-31 2015-07-09 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 포토레지스트 오버코트 조성물
JP6134367B2 (ja) 2014-10-31 2017-05-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト保護膜組成物
WO2016098809A1 (ja) * 2014-12-17 2016-06-23 富士フイルム株式会社 パターン形成方法、保護膜形成用組成物及び電子デバイスの製造方法
WO2016147702A1 (ja) * 2015-03-13 2016-09-22 富士フイルム株式会社 パターン形成方法、レジストパターン、電子デバイスの製造方法、及び、電子デバイス
WO2016194613A1 (ja) * 2015-05-29 2016-12-08 富士フイルム株式会社 パターン形成方法、レジストパターン、電子デバイスの製造方法、及び上層膜形成用組成物
KR102415886B1 (ko) * 2015-09-01 2022-06-30 동우 화인켐 주식회사 신너 조성물
TWI672562B (zh) * 2015-09-30 2019-09-21 南韓商羅門哈斯電子材料韓國公司 光致抗蝕劑組合物及方法
KR102417180B1 (ko) * 2017-09-29 2022-07-05 삼성전자주식회사 Duv용 포토레지스트 조성물, 패턴 형성 방법 및 반도체 소자의 제조 방법
KR20200141554A (ko) 2019-06-10 2020-12-21 에스케이하이닉스 주식회사 패턴 형성 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5599650A (en) * 1995-04-28 1997-02-04 Polaroid Corporation Photoreaction quenchers in on-press developable lithographic printing plates
CN103186040A (zh) * 2011-09-09 2013-07-03 罗门哈斯电子材料有限公司 光致抗蚀外涂组合物和电子设备的形成方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5677108A (en) * 1995-04-28 1997-10-14 Polaroid Corporation On-press removable quenching overcoat for lithographic plates
KR100400331B1 (ko) 1999-12-02 2003-10-01 주식회사 하이닉스반도체 포토레지스트 오버코팅용 조성물 및 이를 이용한포토레지스트 패턴 형성방법
US7408013B2 (en) * 2003-09-23 2008-08-05 Commonwealth Scientific And Industrial Research Organization Low-polydispersity photoimageable polymers and photoresists and processes for microlithography
JP4484603B2 (ja) * 2004-03-31 2010-06-16 セントラル硝子株式会社 トップコート組成物
KR100642416B1 (ko) * 2004-08-31 2006-11-03 주식회사 하이닉스반도체 상부 반사방지막 조성물 및 이를 이용한 반도체 소자의패턴 형성 방법
KR100640643B1 (ko) * 2005-06-04 2006-10-31 삼성전자주식회사 포토레지스트용 탑 코팅 조성물과 이를 이용한포토레지스트 패턴 형성 방법
JP4763511B2 (ja) * 2006-05-26 2011-08-31 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP4803377B2 (ja) 2006-10-25 2011-10-26 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP4435196B2 (ja) * 2007-03-29 2010-03-17 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
US20080248418A1 (en) * 2007-04-04 2008-10-09 William Brown Farnham Synthesis of fluoroalcohol-substituted (meth)acrylate esters and polymers derived therefrom
JP4590431B2 (ja) 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP5035560B2 (ja) * 2007-07-04 2012-09-26 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5381298B2 (ja) * 2008-05-12 2014-01-08 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
EP2204694A1 (en) 2008-12-31 2010-07-07 Rohm and Haas Electronic Materials LLC Compositions and processes for photolithography
JP5440468B2 (ja) * 2010-01-20 2014-03-12 信越化学工業株式会社 パターン形成方法
US9223209B2 (en) * 2010-02-19 2015-12-29 International Business Machines Corporation Sulfonamide-containing photoresist compositions and methods of use
US9223217B2 (en) * 2010-02-19 2015-12-29 International Business Machines Corporation Sulfonamide-containing topcoat and photoresist additive compositions and methods of use
JP5387601B2 (ja) * 2010-03-24 2014-01-15 信越化学工業株式会社 アセタール化合物、高分子化合物、レジスト材料及びパターン形成方法
JP5708082B2 (ja) * 2010-03-24 2015-04-30 信越化学工業株式会社 パターン形成方法及びネガ型レジスト組成物
JP5601309B2 (ja) * 2010-11-29 2014-10-08 信越化学工業株式会社 ポジ型レジスト材料並びにこれを用いたパターン形成方法
KR101320243B1 (ko) * 2010-12-28 2013-10-23 롬엔드하스전자재료코리아유한회사 감광성 수지 조성물, 이를 이용한 보호막 및 전자부품
JP5440515B2 (ja) * 2011-01-14 2014-03-12 信越化学工業株式会社 レジスト材料及びパターン形成方法
TWI506370B (zh) * 2011-01-14 2015-11-01 Shinetsu Chemical Co 圖案形成方法及使用於該方法之光阻組成物
JP5776580B2 (ja) * 2011-02-25 2015-09-09 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
JP5741521B2 (ja) * 2011-05-11 2015-07-01 信越化学工業株式会社 レジスト組成物及びパターン形成法
JP5737092B2 (ja) * 2011-09-09 2015-06-17 信越化学工業株式会社 パターン形成方法及びレジスト組成物
JP2013061647A (ja) 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトリソグラフィ方法
JP5682542B2 (ja) * 2011-11-17 2015-03-11 信越化学工業株式会社 ネガ型パターン形成方法
JP5733167B2 (ja) * 2011-11-17 2015-06-10 信越化学工業株式会社 ネガ型パターン形成方法及びネガ型レジスト組成物
JP6002378B2 (ja) * 2011-11-24 2016-10-05 東京応化工業株式会社 高分子化合物の製造方法
US9182662B2 (en) * 2012-02-15 2015-11-10 Rohm And Haas Electronic Materials Llc Photosensitive copolymer, photoresist comprising the copolymer, and articles formed therefrom
JP6254377B2 (ja) * 2012-07-31 2017-12-27 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物およびフォトリソグラフィパターンを形成する方法
JP6060577B2 (ja) * 2012-09-13 2017-01-18 Jsr株式会社 ネガ型レジストパターン形成方法
KR20150080443A (ko) * 2013-12-31 2015-07-09 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 포토레지스트 오버코트 조성물
TWI582536B (zh) * 2014-10-31 2017-05-11 羅門哈斯電子材料有限公司 圖案形成方法
KR101848656B1 (ko) * 2015-04-30 2018-04-13 롬엔드하스전자재료코리아유한회사 오버코트 조성물 및 포토리소그래피 방법
CN106094431B (zh) * 2015-04-30 2020-06-26 罗门哈斯电子材料韩国有限公司 光致抗蚀剂组合物和方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5599650A (en) * 1995-04-28 1997-02-04 Polaroid Corporation Photoreaction quenchers in on-press developable lithographic printing plates
CN103186040A (zh) * 2011-09-09 2013-07-03 罗门哈斯电子材料有限公司 光致抗蚀外涂组合物和电子设备的形成方法

Also Published As

Publication number Publication date
JP2015129939A (ja) 2015-07-16
KR20150079487A (ko) 2015-07-08
US20150185615A1 (en) 2015-07-02
CN105022224A (zh) 2015-11-04
TW201539143A (zh) 2015-10-16
US9703200B2 (en) 2017-07-11

Similar Documents

Publication Publication Date Title
JP6525383B2 (ja) フォトレジスト上塗り組成物および電子デバイスを形成する方法
KR102161015B1 (ko) 포토리소그래픽 방법
TWI609243B (zh) 光微影方法
TWI578109B (zh) 光阻之上塗組成物
KR102269796B1 (ko) 패턴 형성 방법들
JP2012032782A (ja) フォトレジスト組成物およびフォトリソグラフィパターンを形成する方法
TWI584073B (zh) 光阻外塗層組合物

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees