TWI524189B - 用以偵測工具性能劣化及不匹配之方法及系統以及相關電腦程式產品及裝置 - Google Patents

用以偵測工具性能劣化及不匹配之方法及系統以及相關電腦程式產品及裝置 Download PDF

Info

Publication number
TWI524189B
TWI524189B TW099103467A TW99103467A TWI524189B TW I524189 B TWI524189 B TW I524189B TW 099103467 A TW099103467 A TW 099103467A TW 99103467 A TW99103467 A TW 99103467A TW I524189 B TWI524189 B TW I524189B
Authority
TW
Taiwan
Prior art keywords
variable
data
tool
component
performance
Prior art date
Application number
TW099103467A
Other languages
English (en)
Other versions
TW201104452A (en
Inventor
沙尹夫 卡奇爾
蘇克奇 珍巴哈尹 巴特爾
杉島健治
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201104452A publication Critical patent/TW201104452A/zh
Application granted granted Critical
Publication of TWI524189B publication Critical patent/TWI524189B/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/406Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by monitoring or safety
    • G05B19/4065Monitoring tool breakage, life or condition
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/04Inference or reasoning models
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33041Structure optimization and learning of artificial neural network by genetic algorithm
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37252Life of tool, service life, decay, wear estimation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Artificial Intelligence (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Automation & Control Theory (AREA)
  • Health & Medical Sciences (AREA)
  • Computational Linguistics (AREA)
  • Human Computer Interaction (AREA)
  • Manufacturing & Machinery (AREA)
  • General Factory Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Description

用以偵測工具性能劣化及不匹配之方法及系統以及相關電腦程式產品及裝置 [相關申請案之交互參照]
本標的申請案為於2008年3月8日提出之美國專利申請序號12/044,958,案名"基於自主生物學之學習工具AUTONOMOUS BIOLOGICALLY BASED LEARNING TOOL"之審查中共同申請案之部分連續申請案。本標的申請案亦相關於2008年3月8日提出之美國專利申請序號12/044,959,案名"AUTONOMOUS ADAPTIVE SEMICONDUCTOR MANUFACTURING"之審查中共同申請案。該等申請案之全部內容併入本文中作為參考。
本發明係關於一種自動化裝備,尤係關於一種用以偵測工具性能劣化及不匹配之方法及系統。
技術發展已經引導至日益複雜之製程驅使自動化裝備。完成特定目標或者施行特定的高度技術製程之工具系統能夠共同加入多個功能元件以完成目標或者成功地執行製程和各種感測器,該等感測器收集資料以監督裝備之操作。此種自動化裝備能夠產生大量的資料。資料能夠包含相關於產品或者施行為部分之特定任務之服務之實質資訊,但是其亦能夠包括相關於製程本身之執行之相當大的記錄資訊。
雖然最新的電子儲存技術能夠提供保持持續地增加數量之資料,但是累積資料之使用完全停留在沒能理想的使用。收集資訊之檢查和解釋通常需要人類介入,而當計算能力很先進時(譬如多核心處理器、大量的並聯平台和處理器網格(processor grid)),以及像目標導向規劃(object-oriented programming)、模組碼重新使用(modular code reuse)、基於網路應用程式和更新近的量子計算(quantum computing)之先進的計算典範,收集資料之處理仍保持在未自主之靜態的規畫企圖,而該未自主之靜態的規畫企圖卻是資料所需賴以操作。更重要的是,於未自主之資料處理,資料未能驅使分析製程其本身。此種資料處理典範之結果,能夠於高度技術製程期間表現產生於自主化裝備之資料之中許多深具意義之關係可能未引人注意,除非設計了特定的分析並且專注於特定類型之關係。更重要的是,可能源自於由裝備中不同的單元所產生之不同的資料之中多種的相關性和可能判定複雜的自主化工具或機器之最佳性能所出現的現象,可能依然未引人注意。
此外,施行於機器中關聯製程之資料和變數之中之各種關聯性能夠遞送相關於一組工具或機器實際操作性能之實質資訊。應該了解到,在該組工具之合成操作過程中特定的校準關聯性能夠發展,而不同的生產關聯性能夠發展作為生產模式中操作之結果。關聯性之不同可能從工具之發展或調整發生(例如,磨損和拉裂,像是在規定狀況外使用儀器等之操作中故障)。監視於製程中一個或多個儀器之性能之習知的系統和方法典型使用無法獲取和開發此種生產關聯性之資料。
下文提出本創新發明之簡單概述,以便提供本發明某些態樣之基本了解。此概述並非本發明廣泛之詳盡綜論。其無意用來驗證本發明之關鍵或重要元件,或用來描繪本發明之範疇。其唯一目是以簡化形式呈現一個或多個概念作為稍後更詳細說明之引言。
本發明提供基於自主生物學之學習工具系統和方法,該工具系統用來學習和分析性能劣化和不匹配。該基於自主生物學之學習工具系統包含(i)一個或多個工具系統,該工具系統為個別的系統或者依階層配置的群和群集系統,該等系統施行特定的任務(例如,半導體製造任務)或者製程(譬如,氧化物蝕刻或者離子植入),並且產生反應製程和工具性能之資料;(ii)互動管理器,其接收資料和資料之封包,用於進一步使用,以及(iii)基於生物學學習原理之自主學習系統;該學習透過一組語意的網路中概念之擴散激發來執行。自主學習系統包括能夠從一群具有三個功能塊:記憶平台、處理平台、和知識通訊網路遞迴地定義之功能結構,透過該知識通訊網路資訊在記憶和處理平台之間通訊,以及工具系統和外部實行者(例如,電腦和人類動作者)。記憶平台包含記憶之階層,包含接收資料印象和關聯之學習指令之插曲記憶、使用於知識發展之短期記憶、和儲存知識之長期記憶,以將知識制定成語意網路。於處理平台中的功能單元操作儲存於記憶平台中之資訊,以輔助學習。藉由生物的結構和人類腦力之行為而激勵此種建立區塊和關聯之功能。
透過於定義之語意網路中之概念激發而完成學習,透過關聯於各概念之優先權之結合來指示激發臨限值。優先權取決於所操縱之概念之類型;也就是說,根據激發和禁止能量,程序概念具有優先權。學習的進行係透過關聯於工具製程之產生或合成資料,該工具製程產生一個或多個資產(例如,半導體晶圓、光學微影術蝕刻電子電路、用於光和熱管理之先進的薄膜裝置、等等)。產生的知識制成選擇之特定目標、或者參考、變數和成組之選擇之影響變數之間之數學關係。各種方法使用於變數、和參數,選擇:(1)普遍選擇(Universal selection)。採用非為參考變數之實質所有的變數作為影響變數。(2)半普遍選擇(Semi-universal selection)。一旦選擇了目標或參考變數,則選擇能夠影響實質任何程度目標變數之實質所有的變數作為影響變數。(3)基於知識之選擇(Knowledge based selection)。透過理論和模擬選擇與目標變數相關之變數作為影響變數。(4)經驗上的選擇(Empirical selection)。選擇超越用於相關性係數之特定臨限值和或者實質任何其他的統計測量之變數作為影響變數。(5)實行者驅使選擇(Actor-driven selection)。
參考變數與影響變數之間之數學關係輔助評估對於工具之性能劣化,或者參考工具與佈局生產工具之間之性能不匹配。能夠透過藉由實行者(例如,人類操作者、或者智慧型機器)所判定之關鍵性能指示器(key performance indicator,KPI)而評估性能劣化,該實行者操作或者組構分析之工具組。透過初始輸入(例如,由實行者所提供之一組參考KPI),亦能夠自主地實施其性能劣化之評估和其確認。此外,或再加上,能夠藉由實行者透過一組預定之KPI之分析和檢查而判定性能劣化。
欲完成前述和相關的目的,下列之說明和附圖詳細提出申請專利範圍標的內容之某些例示態樣。然而這些態樣表示僅僅少的變化方式,其中可以使用申請專利範圍標的內容之原理,以及申請專利範圍標的內容欲包含所有的此等態樣和他們的均等物。從申請專利範圍標的內容之下列詳細說明當考慮結合圖式時,申請專利範圍標的內容之其他優點和新穎特徵將變得很清楚。
現在參照圖式說明本標的創新內容,其中各圖中相同之參考號碼係用來參照相同之元件。於下列說明中,為了解說之目的,提出了許多特定之詳細說明以便提供對本發明之完全的了解。然而,證明可不需要這些詳細說明即可實施本發明。於其他的例子中,以方塊圖形式顯示已知之結構和裝置,以便輔助說明本標的創新。
如於此標的說明書中所使用的,詞彙"目標(object)"、"模組(module)"、"介面(interface)"、"組件(component)"、"系統(system)"、"平台(platform)"、"引擎(engine)"、"選擇器(selector)"、"單元(unit)"、"儲存器(store)"、"網路(network)"、等等,意欲有關於電腦相關實體或者相關於具有特定功能之操作機器或儀器之實體,該實體能夠是硬體、硬體和韌體之結合、韌體、硬體和軟體之結合、軟體、或者於執行中軟體之其中之一種。此外,透過上述詞彙識別之實體於本文中類屬地稱之為"功能元件"。作為例子,組件(component)可以是(但是不限於)運作於處理器之處理、處理器、目標物、可執行者、執行之線程、程式、和/或電腦。藉由例示之方式,運作於伺服器之應用程式和伺服器能夠是組件。一個或者多個組件能夠存在於處理器和/或執行之線程內,和組件可以局部地位於電腦上和/或分佈於二個或者多個電腦之間。而且,這些組件能夠從具有各種資料結構儲存於其上之可讀取媒體之不同的電腦執行。該等組件可以經由區域和/或遠端處理通訊,譬如依照具有一個或多個資料封包之訊號(例如,來自一個組件之資料,該組件與於區域系統、分佈系統中、和/或藉由訊號橫越譬如具有其他系統之網際網路之另一個組件互動)。作為一個例子,組件能夠是具有藉由電氣或電子電路所操作,由機械部件所提供之特定功能之儀器,該電路藉由由處理器所執行之軟體、或韌體應用程式所操作,其中該處理器能夠是內部或者外部至該儀器,並且執行至少部分之該軟體或韌體應用程式。作為另一個例子,組件能夠是透過電子組件而非機械部件提供特定功能之儀器,該電子組件能夠在其中包含處理器以執行軟體或韌體,而該軟體或韌體賦予至少部分該電子組件之功能。介面能夠包含輸入/輸出(I/O)組件以及關聯之處理器、應用程式、或者應用程式介面(Application Program interface,API)。雖然上文中所表示之例子係關於組件,但是範例特徵或態樣亦應用於目標、模組、介面、系統、平台、引擎、選擇器、管理器、單元、儲存器、網路、等等。
此外,詞彙"或"意指兼容"或"(inclusive"or")而非互斥"或"(exclusive"or")。也就是說,除非特別規定,或者從上下文中能夠清楚了解,"X使用A或B"意欲表示任何的自然兼容排列。也就是說,X使用A;X使用B;或者X使用A和B二者,則X使用A或B滿足任何的上述例子。此外,於原文(英文)說明書和申請專利範圍中所使用的冠詞"a"和"an"一般將解釋為表示"一個或多個"之意,除非特別規定,或否則從上下文中能夠清楚了解其係針指單數形式。
再者,如本文中所使用之詞彙"組(set)"不包含空組;例如,在該組中沒有元素。於是,於標的揭示發明中"組"包含一個或多個元素和實體。作為例示,一組的組件包含一個或多個組件;一組的變數包含一個或多個變數;等等。
參照圖式,第1圖例示基於自主生物學之學習系統100之例子。調適性推測引擎110耦接至目標組件120。有線或無線通訊鏈路115耦接此等組件。為了由目標組件120所建立或追求之特定目標,調適性推測組件110接收輸入130並且傳送輸出140,能夠使用該輸入130以完成該目標,該輸出140能夠表示或者記錄所追求或完成之目標之態樣。此外,調適性推測引擎110能夠透過鏈路155接收來自資料儲存器150之資料,並且能夠儲存資料或資訊於此種資料儲存器中,例如,儲存之資訊能夠是透過有線或無線通訊鏈路165傳送之輸出140之部分。應該了解到(i)輸入130、輸出140、和於資料儲存器150中之資料(以及輸入、輸出、和於資料儲存器中資料之歷史)包括用於操作調適性推測引擎110之上下文,和(ii)該上下文資料透過鏈路115、155和165進入引擎中之回授,該回授基於上下文資料輔助調適。尤其是,目標組件120能夠利用回授上下文資料以調適特定的、初始的目標(exploit feed back context to adapt a specific,initial goal)並因此建立和追求該調適之目標。
輸入130能夠視為外來的資料或資訊,該資料或資訊能夠包含(1)聲響,例如,聲音命令、環境的雜音或聲音、警告;(2)由靜態或移動式大地相機、或大氣(例如,飛機、人造衛星)相機所攝取之影像,其中相機能夠操作於輻射光譜之多個間距;(3)生物測定指示器;(4)符號,譬如製造產品、材料之樣品之批次(batch);資料,其能夠包含指令、記錄、測量之結果;等等。輸出140實質上能夠與輸入130之本質相同,而其能夠視為本質資料。能夠藉由輸入和輸出介面,例如相機、輸入墊、媒體塢(例如,通用串列匯流排(USB)埠、紅外線(IR)無線輸入)而分別接收和傳送輸入和輸出140,該輸入和輸出介面能夠設置在調適性推測組件110中。如上所表示,輸入130和輸出140能夠是用於調適性推測引擎110之上下文之一部分。此外,在適合的推測組件110能夠請求輸入130作為追求目標之結果。
於基於自主生物學之系統100中的組件能夠以遞迴的方式定義,其能夠賦與具有基本的初步組件之自主的系統100實質程度之勝任學習複雜度。
各鏈路115、155、或165能夠包含通訊介面,該通訊介面能夠輔助操縱待傳輸或接收之資料或資訊;能夠使用資料庫用於資料儲存和資料開採(data mining);以及能夠從實行者接收資訊並且將資訊傳送至實行者。鏈路115、155、或165之有線實施例能夠包含雙絞線、T1/E1電話線、AC線、光纖線、和對應之電路,然而無線實施例能夠包含超級移動寬頻帶鏈路、長期演進鏈路、或IEEE 802.11鏈路,以及關聯之電子裝置。關於資料儲存器150,雖然其例示為單一元件,但是其能夠是分佈的資料倉儲,其中資料記憶體之組配置在不同的實體或邏輯位置。
於範例系統100中,調適性推測引擎110和目標組件120例示為分離的組件,然而,應該了解到,此等組件之其中之一能夠設置於另一者中。
目標組件120能夠屬於一種或多種規範(discipline)(例如,科學規範、商業規範、藝術規範、文化規範、等等)或者企業部門(例如,市場部、工業部、研發部、能源部、公共政策部、等等)。此外,當目標典型能夠是多種規範並且專注於多個市場時,目標組件能夠在一種或多種特別的規範或部門內建立多個不同的目標。欲追求目標,目標組件能夠包括功能組件和監視組件。特定的操作達成目標係透過功能組件完成,而相關於目標之完成之變數狀況由監視器組件判定。此外,功能組件能夠決定目標之空間(space of goal),該目標空間能夠藉由目標組件120完成。目標之空間包括以特定功能而能夠達成之實質所有的目標。應該了解到,對於由功能組件所供給之此種特定功能,特定目標之上下文調適能夠調適目標空間內之第一目標至第二目標。能夠藉由一個或多個實行者決定在目標空間內之初始目標;其中實行者可以是機器或裝置,或者人類動作者(例如,終端用戶)。應該注意的是,初始目標能夠是一般性的、高層級目標,當調適性推測引擎110能夠透過目標漂移驅使目標組件120朝向複雜的詳細目標。接著說明目標、目標組件和目標調適。
於範例系統100中,能夠包含組構以賦予(而該賦予至少於部分)各組件之所述功能之一個或多個處理器(未顯示)。為了賦予此種功能,一個或多個處理器(未顯示)能夠利用鏈路115、155、和165,以交換資料或者任何其他的資訊。該一個或多個處理器(未顯示)能夠執行儲存於資料儲存器150,或者記憶體組件或其中之元件之代碼指令(未顯示),以提供範例系統100與該範例系統100中之組件所描述之功能。
第2圖為描述上下文目標調適之示圖200。目標(例如,目標2101,或目標2103)典型上能夠是關聯於目標組件(例如,組件120)之功能之抽象。目標能夠是高層級抽象:"儲蓄以便退休"、"獲取利潤"、"娛樂"、"學習烹飪"、"旅行至某場所"、"發展資料庫"、"製造產品"、等等。此外,目標能夠是更詳細的述語,譬如"用年收入於$60,000至$80,000之範圍儲蓄以便早日退休"、"從美國旅行至日本於低費用季節,旅行費用包含住宿不超過$5000"、或者"到達工作面談場所遞交35分鐘自我介紹至預期的雇主合夥群"。再者,目標(例如,2101)具有關聯之上下文(例如,2202)。如上述表示,耦接至調適性推測引擎110之目標組件120通常與建立之目標(例如,目標2101,或者目標2103)相容。舉例而言,目標"製造產品"(例如,目標2101)能夠依賴製造工具系統,譬如分子束磊晶反應器(目標組件120例子),該分子束磊晶反應器採取標準或定製的規格製造該產品。於完成此種目標(例如,目標2101)過程中,輸出140能夠包含該製造產品。此外,調性適推測組件(例如,組件110)能夠根據上下文(例如,上下文2201),像是能夠藉由在該目標組件中監視器組件所蓄積之工具系統規格或資料產生之一個上下文,調適(例如,調適2301)"製造產品"目標(例如,目標2101)。尤其是,能夠調適初始高層級目標(例如,目標2101)以"製造半導體裝置"(例如,目標2102)。如上述表示,為了達成目標,目標組件120能夠由多個功能組件組成。此外,目標組件120能夠是模組,其中能夠加入目標次組件作為被調適之目標。作為例子,追求"製造產品"目標之目標組件能夠包括耦接至大量並聯、智慧型計算平台之多市場評估和預測組件,該智慧型計算平台能夠分析於各種市場之市場狀況以便調適(例如,2301)目標至"製造使用分子電子組件之多核心處理器"(例如,目標210N)。應該注意的是,此種調適能夠涉及許多的中間調適2301至230N-1,以及中間調適之目標2102至210N-1,其中中間調適係根據產生自前面追求之目標之中間上下文2202至220N
於另一個例示之目標、目標組件和目標調適,目標能夠欲"於商店B購買電影A的DVD",該目標組件120能夠是具有導航系統之車輛,該導航系統包括調適性推測引擎110。(應該注意的是於此例示中調適性推測引擎110係設置在目標組件120中)。實行者(例如車輛操作者)能夠進入或者選擇商店B之位置,而目標組件能夠產生完成目標之指示。當實行者正前往商店B,而於調適性推測引擎110接收商店B已停止出售電影A存貨(例如,RFID讀者已經更新存貨資料庫而更新訊息已經廣播至組件110)之輸入130之例子中,調適性推測引擎110能夠(i)請求額外的輸入330以確認商店C具有電影A庫存,(ii)估計實行者可以取得到達商店C的資源,以及(iii)評估實行者有興趣完成此目標之層級。根據如例示於(i)至(iii)透過輸入130發展之修正之上下文,目標組件能夠接收指示以調適該目標"於商店C購買電影A之DVD"。
應該了解到,調適性推測引擎110能夠建立關聯於由目標組件120所決定之目標之次目標。次目標能夠藉由致能調適性推測引擎完成互補之任務或學習關聯於該目標之概念而輔助完成該目標。
綜合言之,基於自主生物學之學習系統100為具有上下文目標調適之目標驅使系統。應該了解到,根據接收之上下文的目標調適引入額外之調適層級至輸入資訊之分析以產生可行動之資訊輸出140。此(a)調適資訊或資料分析之處理和(b)根據上下文調適初始目標的能力使得系統大量地調適或自主。
第3圖例示基於自主生物學之學習工具300範例之高層級方塊圖。於實施例300中,自主學習系統包含工具系統310(例如,製造工具或處理工具),該工具系統310包括功能組件315,該功能組件315提供該工具系統其特定的功能並且能夠包括單一功能工具組件或者收集之實質相同或者不同工具功能組件,以及感測器組件325其能夠探測數個相關於由工具系統310所實施之製程之可觀察之大小,像半導體晶圓之熱處理,並且產生關聯於該製程之一個或多個資產328。於標的揭示發明中的資產能夠包含不同複雜程度之裝置,例如,資產328能夠包含半導體基板處理積體電路(IC)、基於電漿放電之平板顯示器(flat panel display,FPD)、基於有機發光二極體(0LED)之FPD、基於液晶顯示器(LCD)之FPD、或者其元件,譬如像是薄膜電晶體主動矩陣陣列、彩色濾光器、偏光器、等等。收集之一個或多個資產328能夠包含資料資產,譬如生產製程資料或者測試運作資料;此等資料資產能夠傳送至互動組件330,該互動組件330包含能夠用作為介面以接收一個或多個資產328之調適器組件335、能夠處理所接收之一個或多個資產328之互動管理器345、以及能夠儲存所接收和所處理資料之資料庫355。能夠是一個或多個資產328之部分之資料資產328能夠依照各種通訊協定,譬如SECS(半導體裝備和材料國際裝備通訊標準)/GEM(總屬裝備模型)、超本文傳輸協定(hypertext transfer protocol,HTTP)、等等而傳送至互動組件330。互動組件330輔助工具系統310與基於自主生物學之學習引擎360之互動。關聯於工具系統310實施之製程中產生之資料之資訊能被接收並且增加地供應至基於自主生物學之學習系統360。
基於自主生物學之學習引擎360包含記憶平台365,該記憶平台365儲存接收之資訊358(例如,資料、變數和關聯之關係、因果的圖解、樣板、等等),該資訊358能夠經由知識網路375通訊至處理平台385,該處理平台385能夠操作於接收之資訊,並且能夠將處理之資訊透過知識網路375通訊回至記憶平台365。於基於自主生物學之學習系統360之成分組件之態樣能夠大概相似腦之生物學態樣,其中生物學記憶用生物學處理組件網路化以操縱資訊並且產生知識。應該注意的是,此種比較或類似關係不欲將記憶平台365或者處理平台385限制作為生物學的實體。此外,知識網路375能夠從互動組件330接收資訊,並且將該資訊傳送至互動組件330,該互動組件330能夠通訊該資訊至工具系統310,或者經由互動管理器345至實行者390。當藉由自主學習系統360接收、儲存、處理、和傳送資訊358時,多種改善於該工具系統310和依靠他的實行者為有效。也就是說,改善包含(a)自主學習系統360和工具系統310隨著時間進展變成愈來愈獨立,並且需要較少的實行者介入(例如,透過裝置供應之人類指引和監督),(b)自主系統改善其至實行者之輸出之品質(例如,較佳確認故障之根源、或者系統故障發生之前系統故障之預測),以及(c)自主學習系統360隨著時間的經過而改善其性能-自主學習系統360於較快的速率和以較少之資源消耗遞送改善之結果。
記憶平台(memory platform)365包括階層之功能記憶體組件,能夠組構該記憶體組件以儲存於工具系統310(例如,自明的(priori)知識)之初始化或組構期間接收之知識(例如,資訊358)。自明的知識能夠透過互動組件330傳送為資訊輸入358。此外,記憶平台365能夠儲存(a)於工具系統310之初始化/組構之後用來訓練自主學習系統360之訓練資料(例如,資訊輸入358),以及(b)由自主學習系統360所產生之知識;該知識能夠透過互動組件330經由互動管理器345傳輸至工具系統310或者實行者390。
由實行者390(例如,人類動作者)所供應之資訊輸入358(例如,資料)能夠包括資料確認關聯於製程之變數、二個或更多個變數之間之關係、因果的圖解(例如,相依性圖解)、或者中間資訊。此種資訊能夠輔助指引於學習過程中之基於自主生物學之系統360。此外,於一個態樣,此種資訊輸入358能夠藉由實行者390而被視為重要,以及重要性能夠相關於由工具系統310所實施之特定製程之關聯之資訊。舉例而言,氧化物蝕刻系統之操作者(例如,實行者390為人類動作者)能夠判定蝕刻率關鍵於製程之結果;因此,蝕刻率能夠是通訊於自主學習系統360之屬性。於另一個態樣,由實行者390所供應之資訊輸入358能夠是提示(hint),由此完成指示製程變數之間之特定關係。作為範例,提示能夠傳送建議以學習於工具系統310中沉積室中壓力之行為,在特定沉積步驟內,作為室容積之函數,排除壓力和進入氣流。作為另一個範例,提示能夠指示學習用於室壓力之詳細的暫存關係。此種例子提示能夠啟動於能夠學習於多個製程變數之壓力之功能相依性之自主學習系統中一個或多個功能處理單元。而且,此種提示能夠啟動能夠應用和比較關於實行者390可取用之模型或經驗上功能之學習功能之一個或多個功能的單元。
工具系統310,例如,像是電漿輔助氣相沉積(PECVD)系統、濺射系統、或有機金屬化學氣相沉積(MOCVD)系統之半導體製造工具可能是複雜的,而因此不同的實行者能夠透過不同類型之特定的、完整或不完整的知識而專精於製造和操作工具系統。作為例子,人類動作者(例如,工具工程師)能夠知道不同的氣體具有不同的分子重量而因此能夠產生不同的壓力,然而製程/工具工程師能夠知道如何將源自第一氣體之壓力讀數轉換至源自第二氣體之相等壓力;此種知識之基本的例子能夠是從單位(例如,帕(Pa))之壓力讀數轉換至另一個單位(例如,1b/in2,或PSI)。存在於基於自主生物學之學習系統的額外類型之一般的、更複雜之知識能夠是工具系統之性質(例如,室之容積)與實施於該工具系統中測量(例如,於室中測量之壓力)之間的函數關係。舉例而言,蝕刻工程師知道蝕刻率取決於蝕刻室中之溫度。考慮到知識的多樣性和此種知識也許不完整的事實,實行者(例如,像是終端用戶之人類動作者)能夠透過多種程度之傳輸知識引導自主學習系統360:(i)無特定的知識(No knowledge specified)。實行者不遞送引導至該自主學習系統。(ii)基本知識(Based knowledge)。實行者能夠傳送工具系統之性質與於該工具系統中測量之間之有效關係;舉例而言,實行者傳送蝕刻率(kE)與製程溫度(T)之間之關係(例如,關係(relationship)(kE,T))而不更詳細說明。(iii)具有確認輸出之基本知識(Basic knowledge with identified output)。工具系統性質與工具系統測量之間之進一步關係,實行者能夠提供特定的輸出用於關係中(例如,關係(輸出(kE),T)中相依的變數。(iv)關於關係之部分的知識(Partial know1edge about a relationship)。實行者知道工具系統性質與測量之間之數學公式之結構,以及有關的相依和獨立變數(例如,kE=K1e-k2/T而沒有具體值用於K1或K2)。然而該實行者可能未能知道對於關係之更多關聯常數之一個的精確值。(v)完整的知識(Complete knowledge)。實行者擁有函數關係之完整的數學說明。應該注意的是當該自主學習系統360自主地進展和嘗試學習工具功能的關係時,此種引導隨著時間的經過而能夠漸增地被提供。
知識網路(Knowledge network)375為知識匯流排,該知識匯流排依照所建立之優先權(priority)通訊資訊(例如,資料)或者傳輸能量(power)。能夠藉由一對資訊來源和資訊目的地組件或者平台而建立優先權;例如,能夠指定從知曉工作記憶體710至知曉知識記憶體730之通訊之優先權高於從概念化知識記憶體910至概念化工作記憶體940之通訊之優先權。此外,從來源至目的地之通訊能夠發生在像是自身知曉組件550(例如,內部通訊)之全體的功能組件內,或者由網路組件375所致能之通訊能夠發生於自身知曉組件550與自身最佳化組件570(例如,內部通訊)之間。此外,優先權能夠根據被傳輸之資訊(例如,特定的資訊必須被即時傳輸);應該了解到,通訊優先權決定用於傳輸和接收通訊之至少部分程度之通訊急迫性。應該注意的是,優先權能夠是動態的取代靜態的,並且改變為於自主學習系統360中學習發展之功能,並且鑑於該一個或多個組件中之一個或多個需求存在該基於自主生物學之學習工具300,例如,能夠認知問題情況並反應於該認知而保證和有效通訊。通訊和能量傳輸,經由知識網路375能夠有效通過有線鏈路(例如,雙絞線鏈路、T1/E1電話線、AC線、光纖線、同軸電纜)和相關協定,譬如網際網路協定(IP)基於封包之通訊,或者無線鏈路(例如,超行動寬頻(Ultra Mobile Broadband,UMB)、長期演進(Long TermEvolution,LTE)、IEEE 802.11),並且能夠發生於功能平台(例如,記憶平台365和處理平台385)內組件(未顯示)之間或者不同的平台(例如,具有自身知曉之另一個次組件之自身知曉通訊之記憶平台中組件)中組件之間,或者通訊能夠是組件(例如,知曉之組件與概念化組件通訊)之間。
處理平台(Processing platform)385包括功能處理單元,該單元操作於資訊:接收或檢索特定類型之輸入資訊(例如,像是數目、序列、時間序列、函數、等級、因果的圖解、等等之特定資料類型),以及藉由處理單元執行計算以產生特定類型之輸出資訊。輸出資訊能夠經由知識網路375輸送至記憶平台365中之一個或多個組件。於一個態樣,功能處理單元能夠讀取和修正儲存於記憶平台365中之資料結構,或者資料類型例子,並且能夠在其中放置新的資料結構。於另一個態樣,功能處理單元能夠提供調整至各種數字上的屬性,像是適合性、重要性、啟動/禁止能量、和通訊優先權。各功能處理單元具有動態優先權,該動態優先權決定用於操作資訊之階層;較高優先權單元操作資料要較較低優先權單元者早。假使已操作於特定資訊之功能處理單元未能產生新的知識(例如,學習),像產生區別關聯於工具系統310之操作之壞運作與好運作之階級數或者階級函數,則能夠降低關聯於功能處理單元之優先權。反之,若產生新的知識,則增加處理單元之優先權。
應該了解到,透過賦予優先權之功能處理單元,處理平台385彷真人類意向企圖首先操作於特定的情況(例如,特定的資料類型),若該操作產生新的知識,則該操作開發後續的實質相同的情況。反之,當第一個操作未能產生新的知識,則減少使用第一個操作以處理該情況之意向,而使用第二個操作(例如,擴散啟動)。如果該第二個操作未能產生新的知識,則減少其優先權,並且使用第三個操作。處理平台385繼續使用操作直到產生新的知識為止,而另一個操作要求較高的優先權。
於一個態樣中,實行者390能夠提供製程配方參數、指令(例如,用於離子植入晶圓之退火週期的溫度曲線、於半導體之蒸氣沉積中快門(shutter)之打開/關閉序列、於離子植入製程中離子束之能量、或者於濺射沉積中電場大小)、以及用於自主學習系統360之初始化參數。於另一個態樣中,實行者能夠供應關聯於工具系統310之維修之資料。又於另一個態樣中,實行者390能夠產生和提供由工具系統310所施行之製程之計算模擬之結果。於此種模擬產生之結果能夠使用為訓練資料以訓練該基於自主生物學之學習系統。此外,模擬或終端用戶能夠遞送關聯於工具系統370之製程之最佳化資料。
能夠透過一個或多個訓練週期而訓練基於自主生物學之學習系統360,能夠使用各訓練週期以發展基於自主生物學之學習工具300至(i)能夠施行較大數目之功能而沒有外部的介入;(ii)當診斷製造系統健全根本原因之根本原因時,提供較佳的反應譬如改善之準確度,或者正確性;以及(iii)增加譬如較快反應時間、減少記憶體消耗、或者改善產品的品質之性能。訓練資料能夠經由調適器組件335供應至自主學習系統,於訓練資料從關聯於工具系統310中製程校準或者標準運作的資料328收集之情況-此種資料能夠視為內部的(internal)資料-或者透過互動管理器345。當從資料庫355檢索訓練資料時(例如,相關於傳導通過外部探索之外部測量之資料,或者於工具系統310中修復介入之記錄);此種訓練資料能被視為外部。當訓練資料由實行者供應時,資料經由互動管理器345傳輸並且能夠視為外部的。於標的新發明之態樣,根據內部或外部訓練資料之訓練週期輔助自主學習系統360學習工具系統310之預期的行為。
如上所表示,功能組件315能夠包括關聯於工具特定半導體製造能力之多功能工具組件(未顯示),並且該能力使得該工具能夠使用於(a)製造具有各種複雜程度之半導體基板(例如,晶圓、平坦面板顯示器和相關之TFT主動矩陣陣列、液晶顯示器(LCD)、OLED、等等),(b)實施磊晶蒸氣沉積或者非磊晶蒸氣沉積,(c)輔助離子植入或者氣體群聚離子注入,(d)實施電漿或者非電漿(乾或濕)氧化物蝕刻製程,(e)執行微影術製程(例如,光學微影術、電子射束微影術、等等)、以及等等。工具系統310亦能夠於熔爐、控制之電化學環境中用於操作之暴露工具、平坦化裝置、電鍍系統、用於光學、電學、和熱性質之測試裝置中具體實施,該工具系統310能夠包含使用期限(透過操作週期)測量、測量工具、晶圓清潔機、等等。
於由工具系統310執行的製程中,包括感測器組件325之感測器和探頭能夠依於欲使用之蓄積資料透過各種換能器和具有複雜變化程度之技術收集不同物理性質(例如,壓力、溫度、濕度、質量密度、沉積率、層厚度、表面粗糙度、結晶方向、摻雜濃度、缺陷密度、電子光致發光量)以及機械性質(閥口徑或閥角度、快門打開/關閉操作、氣體流量、基板角速度、基板指向、等等)之資料(例如,資料資產)。此種技術能夠包含,但是不限於包含:X光繞射、傳輸電子顯微鏡(TEM)、掃描電子顯微鏡(SEM)、質量光譜、曝光評估、電磁傳輸測量、光學性質測量、等等。有關於產品(例如,半導體基板)之額外的資料資產為顯影檢查(development inspection,DI)關鍵尺寸(critical dimension,CD),和最後檢查(FI)CI。應該了解到,探頭能夠是在工具系統310之外部並且能夠透過介面組件(未顯示)接近。舉例而言,此種外部探頭能夠提供DI CI和FI CI。應該了解到,其為一個或多個資產328之部分之此種資料資產有效地特徵化輸出資產,或者由工具系統310所製造或建造之實體產品。
於一個態樣中,於感測器組件325中之資料源能夠功能性地耦接至調適器組件335,該調適器組件335能夠集合或被組構以類比或數位形式集合於一個或多個資產328內之資料資產。於該一個或多個資產328內之資料資產能夠依照不同的通訊協定(譬如SECS/GEM協定、HTTP等)而輸送至調適器組件335。調適器組件335能夠致能資料,例如,於由工具系統310所實施之製程運作中收集之資訊輸入/輸出(I/O)358,將依照於基於自主生物學之學習系統360中欲使用之資料組成或分解,於該資料被寄存、或保持入記憶平台365之前。於調適器組件335中之調適器能夠關聯於在感測器組件325中之一個或多個感測器,以及能夠以特定的頻率,或者於其他特定的狀況讀取(例如,輪詢)該一個或多個感測器。外部資料源調適器(未顯示)可以具有提取資料以及通過從外部工具系統310推出之資料之能力。舉例而言,MES/歷史資料庫調適器能夠查閱MES資料庫以摘取資訊和封包/寄存該摘取之資料進入工作記憶體用於基於自主生物學之學習系統360之一個或多個組件。尤其是,作為例示,當該工具系統310(例如,製造工具)處理該晶圓時,調適器組件335能夠一次蓄積一個晶圓的晶圓層級運作資料。然後,調適器組件335能夠合併個別的運作於批次以形成"批量層級資料(lot-level-data)"、"維修時距資料(maintenance-interval-data)"、等等。或可取而代之,若工具系統310輸出單一檔案(或者電腦產品資產)用於批量層級資料,則調適器組件335能夠摘取晶圓層級資料、步驟層級資料、等等。再者,分解之資料元素能夠相關於工具系統310之一個或多個組件,例如,於感測器組件325中壓力控制器操作時間期間之變數。接續著處裡、加封,如上述之包含於一個或多個資產328中之接收之資料資產,調適器組件335能夠將處理之資料儲存於資料庫355中。
資料庫355能夠包含源自於下列之資料:(i)工具系統310(例如,製作工具),透過於感測器組件325中感測器施行測量;(ii)製造執行系統(MES)資料庫或者歷史的資料庫;或者(iii)產生於工具系統310之計算機模擬(例如,由實行者390實施之半導體晶圓製造之模擬)之資料。於一個態樣中,MES為能夠測量和控制製程、能夠追蹤裝備有效性和狀態、能夠控制物品清單、和能夠監視警示之系統。
應該了解到由工具系統310所製造之產品或產品資產能夠透過互動組件330傳輸或輸送至實行者390。產品資產能夠是一個或多個資產338之部分。應該了解到能夠藉由實行者390(例如,品質保證裝備、或品質保證裝備和其操作者)分析產品資產,和該所得的資訊、或者資料資產輸送至基於自主生物學之學習系統360。於一個或多個情況,此種資料資產能夠透過互動組件330,和其中之一個或多個組件,或者直接透過一個或多個能夠傳輸資訊I/O 358之專用的通訊鏈路(未顯示)通訊至基於自主生物學之學習系統360。於另一個態樣,互動組件330能夠經由調適器組件335實施產品資產328之分析。
此外應該注意的是,於範例實施例300的例子中,互動組件330和自主學習系統360以外部方式配置關於工具系統310。能夠實現基於自主生物學之學習工具300替代的或者額外的配置組構,譬如嵌入之配置,其中互動組件330和基於自主生物學之學習系統300能夠存在於工具系統310內,於例如單一嵌入模式(single embedded mode)之單一特定工具組件中,或者於例如多重嵌入模式(multiple embedded mode)之群聚工具組件中。此種配置替代實施能夠以階層方式實現,其中自主學習系統支援形成一群工具,或工具群組之一組自主學習工具。應該容易了解到,於一個或多個額外或替代的實施例中,互動組件330和基於自主生物學之學習引擎360能夠整合成單一的功能元件(組件、系統、平台、等等)。
其次,例示之工具系統310相關於第4圖討論,而用於基於自主生物學之學習引擎360之例示架構相關於第5至9圖表示和詳細討論。
第4圖為範例半導體製造系統400之示圖,該半導體製造系統400能夠開發基於自主生物學之學習引擎360以監視、分析、和改善操作。尤其是,範例系統400為熱顯影和塗層系統(thermal development and coating system),其例示相關上述第3圖討論之工具系統310。系統400包含負載/卸載部405、處理部410、和介面部415。於一個態樣中,負載/卸載部405具有卡匣台420,於該卡匣台420上卡匣425被負載入系統400並且從系統400卸載,卡匣425各存放複數個半導體基板。應該注意的是卡匣台420亦能夠使得能夠負載/卸載玻璃或塑膠基板用於平坦面板顯示器製造。處理部410具有各種單一基板處理單元用來一個接著一個依續地處理基板。此介面部415能夠輔助接近多個探頭和感測器用於品質保證、處理進展、於原位根本原因分析。收集之資料(例如,資料368)能夠透過介面組件輸送至基於自主生物學之學習引擎360。
於一個態樣中,處理單元410包括第一處理單元群430,該第一處理單元群430具有從底部連續地堆疊之冷卻單元(COL)435、對準單元(ALIM)440、黏著單元(AD)445、延伸單元(EXT)450、二個預烘烤單元(PREBAKE)455、和二個後烘烤單元(POBAKE)460。此外,第二處理單元群465包含冷卻單元(COL)435、延伸冷卻單元(EXTCOL)470、延伸單元(EXT)475、第二冷卻單元(COL)435、二個預烘烤單元(PREBAKE)455、和二個後烘烤單元(POBAKE)460。冷卻單元(COL)435和延伸冷卻單元(EXTCOL)470可以操作於低處理溫度並且配置在下方台架,而預烘烤單元(PREBAKE)455、後烘烤單元(POBAKE)460、和黏著單元(AD)445操作於高處理溫度並且配置在上方台架。以此種配置方式,能夠減少單元之間之熱干擾。或可取而代之,這些單元能夠具有取代的或額外的配置。該預烘烤單元(PREBAKE)455、後烘烤單元(POBAKE)460、和黏著單元(AD)445各包括熱處理裝置,於此裝置中加熱基板達室溫之上。能夠利用後烘烤單元460來固化使用於用來製備譬如TFT的裝置之光學微影術遮罩之光阻材料。於一個態樣中,溫度和壓力資料能夠透過干擾組件340從前烘烤單元455、後烘烤單元460、和黏著單元445供應至基於自主生物學之學習引擎360。用於基板之旋轉速度和位置資料能夠從對準單元440傳輸。
第5圖例示基於自主生物學之學習引擎之範例架構500之高層級方塊圖。於實施例500中,基於自主生物學之學習引擎360包括功能記憶體組件之階層,該功能記憶體組件包含長期記憶體(LTM)510、短期記憶體(STM)520、和插曲的記憶體(EM)530。各此種功能記憶體組件能夠透過知識網路375通訊,該知識網路375如相關於第3圖討論說明操作。此外,基於自主生物學之學習引擎360能夠包含自主機器人組件540,該自主機器人組件540包含確認為自主機器人之功能處理單元,該自主機器人能夠包含具有與相關處理平台385說明之該等功能單元實質相同的特徵之處理器。應該注意的是,該自主機器人組件540能夠是處理平台385之部分。
再者,基於自主生物學之學習系統360能夠包括一個或多個主要功能單元,該主要功能單元包含自身知曉組件550、自身概念化組件560、和自身最佳化組件570。第一前授(FF)迴路552能夠用作為前向鏈路,並且能夠在自身知曉組件550與自身概念化組件560之間通訊資料。此外,第一回授(FB)迴路558能夠用作為反向鏈路,並且能夠在自身概念化組件560與自身知曉組件550之間通訊資料。同樣情況,能夠分別透過第二FF迴路562與第二FB迴路568完成自身概念化組件560與自身最佳化組件570之間之前向鏈路和反向鏈路資料通訊。應該了解到,於FF鏈路中,在通訊至組件之前能夠轉換資料,該組件接收資料並且進一步處理該資料,反之於FB鏈路中,能夠藉由組件轉換次一個資料元素,該組件接收資料並且接著處理該資料。舉例而言,透過FF鏈路552移轉之資料在資料通訊至自身概念化組件560之前能夠藉由自身知曉組件550轉換。應該進一步了解到,FF鏈路552和562能夠輔助組件550與組件570之間資料之間接通訊,而FB鏈路568與558能夠輔助組件570與組件550之間資料之間接通訊。此外,資料能夠透過知識網路375在組件550、360、和370之間直接傳輸。
長期記憶體(Long term memory)510能夠儲存於工具系統(例如,自明的(priori)知識)之初始化或組構期間透過互動組件330供應之知識,以於初始化/組構之後訓練自主學習工具系統300。此外,由自主學習系統360產生之知識能夠儲存於長期記憶體510中。應該了解到,LTM 510能夠是記憶平台365之部分並且因此能夠顯示其實質相同的特徵。長期記憶體510通常能夠包括包含關於工具系統組件(例如,製造組件、探測組件、等等)、關係、和程序之資訊之知識基礎。至少部分之知識基礎能夠是語意的網路,其說明或分類資料類型(例如,為序列、平均值、標準偏差)、資料類型之間的關係、和將第一組之資料類型轉換成為第二組之資料類型之過程。
知識基礎可以包含知識元素,或者概念。於一個態樣中,各知識元素能夠關聯二個數字屬性;知識元素、或概念之適當性(suitability)(ξ)和慣性(inertia)(ι);集體地此種屬性決定概念之優先權。已定義完善之功能,例如,此二個數字屬性的加權總合、幾和平均能夠是概念之情況分數(situation score)(σ)。舉例而言,σ=ξ+1。知識元素之適當性能夠被定義為於特定時間之知識元素(例如,概念)對工具系統或目標組件情況之關係。於一個態樣中,具有較第二元素之適當性分數為高之第一元素(或概念)能夠相較於具有較低適當性分數之第二元素更相關於自主學習系統360之現時的狀態和工具系統310之現時的狀態。知識元素(或概念)之慣性能夠定義為關聯於知識元素之利用之困難度。舉例而言,慣性之低第一值能被授予數字(number)元素,表列(list)之數字能夠被歸屬於高於第一值之第二慣性值,數字的序列(sequence)能夠具有高於第二值之第三慣性值,以及數字的矩陣(matrix)能夠具有高於第三值之第四慣性值。應該注意的是,慣性能夠應用於其他的知識或資訊結構,像是圖形、資料庫中的表格、聲頻檔案、視頻訊框、程式碼片段(code snippet)、程式碼腳本(code script)、等等;後者的項目實質上能夠全都是輸入130之部分。標的創新發明提供能夠影響知識元素被檢索和應用之可能性之適當性和慣性的完善定義之功能。具有最高情況分數之概念為藉由處理單元用於處理之使成為短期記憶體520最可能的概念。
短期記憶體(Short term memory)520為暫時儲存器,其能夠用作為工作記憶體(例如,工作空間或快取記憶體)或者作為協作/競爭操作、或者關聯於特定演算或過程之自主機器人能夠操作於資料類型之位置。包含於STM 520之資料能夠持有一個或多個資料結構。於STM 520中之此種資料結構能夠因為由自主機器人和計劃器berbot機器人(例如,專用於計劃之自主機器人)實現之資料轉換而改變。此短期記憶體520能夠包括資料、由互動管理器345所提供之學習指令、來自長期記憶體510之知識、由一個或多個自主機器人或berbot機器人所提供和/或產生的資料、和/或由實行者390所提供之起始/組構命令。短期記憶體520能夠追蹤用來轉換儲存於其中之資料的一個或多個自主機器人和/或berbot機器人之狀態。
插曲的記憶體(Episodic memory)530儲存能夠包含實行者確認之參數組之插曲和能夠關聯於製程之概念。於一個態樣中,插曲能夠包括外部的資料或輸入130,並且其能夠提供特定的上下文至自主學習系統300。應該注意的是,插曲一般能夠關聯於追求目標時(例如,藉由工具系統310、目標組件120、或自主學習系統360)確認和產生之特定的劇情說明。確認插曲之實行者能夠是人類動作者,像是製程工程師、工具工程師、場支援工程師、等等,或者其能夠是機器。應該了解到,插曲的記憶體530相似人類插曲的記憶體,其中關聯於特殊劇情說明之知識-例如插曲-能夠出現和可以取得,而不需回想導致插曲的學習過程。插曲之引入、或定義典型為訓練週期之部分或者實質上任何外部供應之輸入,以及其能夠藉由基於自主生物學之學習引擎360引導嘗試學習特徵化資料樣式,或者輸入樣式,該等樣式能夠表現關聯於插曲之資料中。關聯於插曲之資料之特徵化樣式能夠儲存於插曲的記憶體530中,結合插曲和插曲之名稱。至插曲的記憶體530之額外的插曲能夠導致創造插曲特定自主機器人,當由工具系統310所施行之於製程中一組之參數,或者一般之目標組件120進入如於插曲中所定義之操作範圍時,該插曲特定自主機器人能夠變成主動的;當關聯於追求之目標或者製程之第一特徵被認知時,該插曲特定自主機器人接受充分的啟動能量。若該等參數符合透過接收之插曲建立之標準,則插曲特定自主機器人比較於插曲中資料之樣式與現時可取用之資料。若工具系統310之(如由認知資料樣式所定義的)現時情況、或者目標組件匹配儲存之插曲,則產生警示以確保工具維修工程師能夠變成知道情況並且能夠採取預防動作以減緩對於功能組件315或工具製程中所使用之感測器組件325或材料之額外的損害。
自主機器人組件540包括自主機器人文庫,該文庫執行於輸入資料類型(例如,矩陣、向量、序列、等等)之特定操作。於一個態樣中,多個自主機器人存在於自主機器人語意網中,其中各自主機器人能夠具有關聯之優先權;自主機器人之優先權為其啟動能量(EA;例如,6171)和其禁止能量(EI;例如,6191)之函數。自主機器人組件540為自主機器人之組織化的倉庫,其能夠包含用於自身知曉組件550、自身概念化組件560、自身最佳化組件570之自主機器人,和能夠參與組件之間和各種記憶單元之間轉換和傳遞資料之額外的自主機器人。能夠由自主機器人實施之特定的操作能夠包含序列平均值、序列次序、第一和第二向量之間之純量乘積、第一矩陣和第二矩陣之乘法、時間序列對時間之微分、序列自相關計算、第一和第二序列之間之互相關性操作、於一組完整之基本函數中函數之分解、時間序列數字資料流之小波分解(wavelet decomposition)、或者時間序列之傅立葉分解。應該了解到,依於輸入資料能夠實施額外的操作,也就是說,於影像、聲音記錄、或者生物辨示、視訊框壓縮、環境聲音或語音命令之數位化、等等之特徵擷取。由自主機器人實施之各操作能夠是轉換一個或多個輸入資料類型以產生一個或多個輸出資料類型之著名的函數。於自主機器人組件540中自主機器人存有之各函數能夠擁有元素於LTM中,而使得berbot機器人能夠根據總"專注力範圍(attention span)"和自主學習系統360之需要而作自主機器人啟動/禁止能量決定。類似於自主學習系統360,於自主機器人組件540中自主機器人於經歷時間後能夠改善其性能。於自主機器人之改善能夠包含產生之結果(result)(例如,輸出)之較佳的品質、較佳的執行(execution)性能(例如,較短之運作時間、執行較大計算之能力、等等)、或者用於特定自主機器人之輸入領域之提升之範圍(例如,包含自主機器人能夠操作之額外的資料類型)。
能夠藉由主要功能單元使用儲存於LTM 510、STM 520和EM 530中之知識-概念和資料-,該主要功能的單元授予基於自主生物學之學習系統360其部分功能。
自身知曉組件(Self-awareness component)550能夠決定工具系統310之第一可接受操作狀態與於稍後時間工具系統已經劣化之後續狀態之間工具系統劣化之層級。於一個態樣中,自主學習系統360能夠接收特徵化可接收操作狀態之資料,和關聯於此種可接收狀態中製造之產品資產之資料;此種資料資產能夠被確認為正準資料(canonical data)。基於自主生物學之學習系統360能夠處理該正準資料,而關聯之結果(例如,關於重要參數之統計、於一個或多個參數之觀察到的漂移、相關工具參數之預測之函數、等等)能夠由自身知曉組件550儲存並且使用於比較供應為資訊輸入358之資料,譬如產生製程資料或測試運作資料。若正準資料之產生、學習之結果與裝置製程運作資料之間之差異小,則製造系統劣化能夠視為低。或可取而代之,若正準資料之儲存之學習結果與取樣製程資料之間的差異大,則可能有明顯層級的工具系統(例如,半導體製造系統)劣化。明顯層級的劣化可能導致製程、或目標、上下文調整。能夠從劣化向量(degradation vector)(Q1、Q2、…、Qu)計算如本文中說明之劣化,其中劣化向量之各成分Qλ(λ=1、2、…、U)為可取得資料組之不同的比例-例如:Q1可以是多變化平均值、Q2關聯之多變化偏差、Q3用於製程步驟中特定變數之一組的小波係數、Q4可以是預測之壓力與測量之壓力之間之平均值差。正常訓練運作產生特定組之值(例如,訓練資料資產)用於各成分,該等值能夠與從各成分用運作資料產生之成分Q1至QU比較。欲評估劣化,能夠使用適合的距離量測以比較於{Q}空間中運作劣化向量距其"正常位置"之(例如,歐幾里德的)距離;此種歐幾里德距離越大,則判定工具系統越被劣化。此外,第二種量測將計算二個向量之間之餘弦相似度測量。
能夠組構自身概念化組件(Self-conceptualization component)560以建立重要的工具系統310關係(例如,一個或多個工具行為函數)和說明(例如,關於請求和測量之參數之統計、參數於劣化之影響、等等)之了解。應該了解到,關係和說明亦為資料、或軟體、資產。該了解係藉由自主學習系統360,或者透過實行者390(例如,人類動作者)供應之指引,而自主地建立(例如,藉由源自於輸入資料之干擾和上下文目標調適;例如,經由多重變化回歸或發展的規劃,譬如屬性演算,而完成干擾)。自身概念化組件560能夠組構工具系統310之單一參數之行為的功能說明,或者像是組件120之通常目標組件,譬如於特定的沉積步驟期間中於半導體製造系統中於沉積室中之壓力作為時間之函數。此外,自身概念化組件560能夠學習關聯於工具系統之行為,像是相依變數於特定組之輸入資訊358之函數關係。於一個態樣中,自身概念化組件560能夠學習給定容積之沉積室中之壓力於特定氣流之壓力、溫度、排氣閥角度、時間、等等下之行為。而且,自身概念化組件560能夠產生可以用於預測目的之系統關係和性質。於各學習行為之中,自身概念化組件能夠學習特徵化正常狀態之關係和說明。此種正常狀態典型由基於自主生物學之學習系統360使用為相關於可由在觀察者工具性質中變化相比較之參考狀態。
自身最佳化組件(Self-optimization component)570能夠根據預定值(例如,根據函數相依性之預測或者由自身概念化組件560與測量值間習得之關係)之間工具系統310偏差之層級分析基於自主生物學之學習系統300之現時健全或性能,以便(a)確認工具系統360之故障之可能原因,或(b)根據由自主學習系統360蓄積之資訊確認工具系統劣化之根本原因之一個或多個來源。自身最佳化組件570能夠經過時間學習是否自主學習系統360初始不正確地確認對於故障之錯誤的根本原因,學習系統300允許維修日誌或者使用者指引之輸入以正確地確認實際的根本原因。於一個態樣中,自主學習系統360更新用於其診斷之基礎(使用具有學習之貝式推測(Bayesian inference))以改善未來診斷正確性。或可取而代之,能夠調適最佳化計劃,以及能夠儲存此種調適計劃於最佳化事件歷史,以使用於後續的檢索、採取、和執行。此外,能夠透過最佳化計劃達到由工具系統310實施製程之一組調適,或者由目標組件120追蹤之一般的目標。自身最佳化組件570能夠開發資料回授(例如,透過鏈路565、555、和515完成迴路)以便發展能夠提升製程或者目標最佳化之調適計劃。
於實施例500中,基於自主生物學之學習系統360能夠進一步包括計劃器組件580和系統上下文組件590。功能的記憶體組件510、520、和530以及主要功能的單元550、560、和570的架構能夠透過知識網路375與計劃器組件580和系統上下文組件590通訊。
計劃器組件580能夠開發、以及包括自主機器人組件540中較高層級自主機器人。此種自主機器人能夠確認為計劃器berbot機器人(planner berbot),並且能夠執行調整各種數值屬性像是適合性、重要性、啟動/禁止能量、和通訊優先權。計劃器組件580能夠執行固定的、直接全體的策略(direct global strategy);例如,藉由創造能夠強迫特定的資料類型、或者資料結構之一組計劃器berbot機器人,透過於短期記憶體520中可取得的特定知識和特定的自主機器人。於一個態樣中,由計劃器組件580創造之自主機器人能夠放置於自主機器人組件540中,並且經由知識網路375被使用。或可以取而代之,或以附加方式,計劃器組件580能夠執行間接全體的策略作為自主學習系統360之現時上下文、工具系統310之現時狀況、短期記憶體520之內容(該短期記憶體520能夠包含能夠操作於該內容之關聯之自主機器人)、和各種自主機器人之利用成本/利益分析之函數。應該了解到,標的基於自主生物學之學習工具300能夠提供計劃器組件之動態延伸。
計劃器組件580能夠用作為能夠確保於自主生物學基礎工具300中之製程、或目標調適不會導致其劣化之調整組件。於一個態樣中,能夠藉由創造調整的berbot機器人透過直接全體的策略執行調整的特徵,該調整的berbot機器人根據計劃之製程、或目標調適推測操作的狀況。此種推測能夠透過調整的berbot機器人所施行的資料類型之語意網路完成。應該了解到計劃器組件580能夠保存漂移在目標空間之特定的區域內的目標,該目標空間能夠緩和對目標組件(例如,工具系統310)特定的損害。
系統上下文組件590能夠獲取開發自主學習系統360之基於自主生物學之學習工具300之現時能力。系統上下文組件590能夠包含狀態確認器,該狀態確認器包括(i)關聯於內部能力程度之值(例如,工具系統310於實施製程(或者追求目標)之有效程度)、當實施該製程時使用的一組資源、最後產品或服務(追求目標之結果)之品質評估、裝置之交付時間(time-to-delivery)、等等,以及(ii)指示自主學習工具300之狀態的標籤、或確認器。舉例而言,該標籤能夠表示狀態,譬如"初始狀態"、"訓練狀態"、"監視狀態"、"學習狀態"、或者"應用知識"。能力的程度能夠藉由數字值、或者計量,而特徵化於預定之範圍。能力能夠是自主系統(例如,系統300)的總期齡之測量值,或者相對期齡(例如,自從上下文之現時狀態開始之期齡)之測量值。於是,由系統上下文組件590提供之上下文能夠用作為期齡或經驗之代表。再者,系統上下文組件590能夠包含由自主學習系統360所實施經過特定的時間間距之學習之概述,以及可能的處理或目標調適之概述,該可能的處理或目標調適鑑於實施的學習而能被執行。
第6A圖例示範例自主機器人組件540之例子。自主機器人6151至615N表示自主機器人和berbot機器人之文庫,各機器人具有特定的動態優先權6251至625N,N為自然數。自主機器人6151至615N能夠與記憶體(例如,長期或短期記憶體,或者插曲的記憶體)通訊。如前面之表示,能夠藉由自主機器人之啟動能量和禁止能量決定自主機器人之優先權。當能夠由自主機器人處理之資料是在STM中時,自主機器人(例如,自主機器人6151至615N)(透過berbot機器人)獲得啟動能量。當自主機器人能夠啟動其本身以執行其功能任務時,自主機器人(例如,自主機器人6152)啟動能量和禁止能量之加權總和,例如,Σ=wAEA+wIEI能夠決定:當Σ>Ψ時(此處Ψ為預定之內建臨限值),則自主機器人自身啟動。應該了解到,標的基於自主生物學之學習工具300能夠提供自主機器人之功能的擴大。
第6B圖例示自主機器人之範例架構650。自主機器人660能夠是包含於自主機器人組件540中之實質的任何自主機器人。功能組件663決定和執行自主機器人660能夠施行於輸入資料之操作之至少一部分。處理器666能夠執行由自主機器人660實施之操作之至少一部分。於一個態樣中,處理器666能夠操作為功能組件663之協同處理器。處理器666亦能夠包括內部記憶體669,先前實施操作之一組結果保持在該內部記憶體669中。於一個態樣中,內部記憶體操作為快取記憶體,該快取記憶體儲存關聯於自主機器人之操作、EA和EI之現時和前者值、操作之歷史記錄、等等。內部記憶體669亦能夠輔助自主機器人660(例如,經由處理器666),以學習當例如透過錯誤更正組件672建立之特定類型和數量之錯誤被回授或回傳至自主機器人660時,如何改善即將到來之結果之品質。因此,能夠透過一組之訓練週期訓練自主機器人660以於特定的方式操縱特定的輸入資料。
自主機器人(例如,自主機器人660)亦能夠是自身說明關於該自主機器人能夠特定(a)該自主機器人能夠操縱或者要求之一個或多個類型之輸入資料,(b)該自主機器人能夠產生之一種類型之資料,以及(c)於輸入和輸出資訊之一個或多個限制;透過處理器666能夠完成至少部分之操縱和產生。於一個態樣中,介面675能夠輔助自主機器人660自身說明並因此表達自主機器人對於berbot機器人之可利用性和能力,以便berbot機器人依照特定的工具方案供應啟動/禁止能量於該自主機器人。介面能夠功能上耦接至自主機器人660內一個或多個組件,包含處理器666。
第7圖例示於基於自主生物學之學習工具中自身知曉組件550之範例架構700。自身知曉組件550能夠決定有關於工具系統(例如,工具系統310)中學習之正常狀態劣化的現時層級。劣化可能發生自多個來源,譬如於工具系統中機械部件之損耗;不適當的操作或者開發操作以發展配方(例如,資料資產)或者製程(能夠強迫工具系統於一個或多個最佳範圍以外操作);工具系統之不適當的客製化服務;或者不適合的依附於維修排程。自身知曉組件550能夠透過(i)記憶體之階層,例如,其能夠是記憶平台365之部分之知曉工作記憶體(710至740),(ii)功能的操作單元,譬如能夠存在於自主機器人組件540和能夠是處理平台385之一部分的知曉自主機器人,以及(iii)一組之知曉計劃器750,而以遞迴方式組合,或者定義。根據劣化之層級,自主學習系統360能夠分析可取得的資料資產328以及資訊358以分階級可能的故障。於一個態樣中,反應於過度之劣化層級,例如,工具系統故障,實行者(例如,現場工程師)能夠實施一個或多個維修活動,像是清除室、取代對焦環(focus ring)、等等。假使工具系統之成功修復(當例如藉由恢復劣化確定)層級一致於系統故障前之劣化,則於維修活動之前之關聯之符號(例如,資料資產和樣式、關係、和摘取自此種結合之實質任何類型之了解)能夠由自主學習系統360保持。於是,於即將例示之例子,其中學習之符號透過新了解自主收集自資料資產而被確認以及劣化分析、儲存之修復計劃能夠重新進行,將減少成本並改善修復之平均時間。
知曉工作記憶體(AWM)710為STM,其包含確認為知曉感測記憶體(ASM)720之記憶體之特殊區域。該知曉感測記憶體720能夠用來儲存資料,例如,能夠發自於感測器組件325中之感測器、或者實行者390之資訊輸入358;能夠由調適器組件335中之一個或多個調適器封裝;以及能夠由知識網路375接收。自身知曉組件550亦能夠包括多個特殊功能自主機器人,該自主機器人存在於自主機器人組件540中並且包含知曉計劃器berbot機器人(APs)。
此外,自身知曉組件550能夠包括知曉知識記憶體(AKM)730,該知曉知識記憶體730為LTM之一部分並且能夠包含有關自身知曉組件550之操作之多個概念-例如,屬性(attribute)、譬如等級或因果的圖解之實體(entity of class or a causal graph)、關係(relationship)、或者過程(procedure)。於一個態樣中,用於半導體製造工具之自身知曉組件550能夠包含領域特定的概念,像是步驟(step)、運作(run)、批次(batch)、維修時間間距、濕清除週期(wet-clean-cycle)、等等,以及一般的目的概念,像是數目(number)、列表(list)、序列、組(set)、矩陣(matrix)、鏈路(link)、等等。此種概念能夠進入較高層級之摘述(abstraction);例如,晶圓運作能夠定義為定序之步驟順序,此處步驟具有配方參數(parameter)設定(例如,所希望之值),和一個或多個步驟測量。再者,AKM 730能夠包含函數的關係,該函數的關係能夠聯繫二個或更多個概念,像是平均(average)、標準偏差(standard deviation)、範圍(range)、相互關係(correlation)、主要的組件分析(principal component analysis,PCA)、多尺度主要的組件分析(multi-scale principal component analysis,MSPCA)、小波或實質任何的基本函數、等等。應該注意的是,多個函數的關係能夠是可應用的,而因此相關於相同的概念;例如,表列之數目藉由平均而對映至實數例子。該平均為(函數的)關係和標準偏差關係,以及最大值(maximum)關係、等等。當從一個或多個實體至另一個實體之關係為函數或者函數的關係(例如,函數的函數)時,能夠有可以由berbot機器人執行以便使函數有效之關聯的過程。概念之精確的定義能夠表示於譬如UML、OMGL、等等之適當的資料概要定義語言。更應該注意的是,AKM 730之內容能夠在不停止系統的情況下,於(工具系統)運作時間動態地擴大。
於AKM 730中之各概念(如本文中所說明之知識基礎中之任何概念)能夠關聯於適當性屬性和慣性屬性,引導至概念之特定情況分數。最初,在提供自主系統資料之前,用於AKM 730中所有元件之適當性值為0,但是用於所有概念之慣性可能是工具相依,並且能夠由實行者或者根據歷史的資料(例如,於資料庫355中之資料)指定。於一個態樣中,從一組之數目產生平均之過程的慣性實質上能夠低(例如,ι=1),因為平均之計算能夠視為能夠應用於實質上涉及收集之資料組之所有情況,或者來自電腦模擬之結果之明顯簡單的操作。同樣情況,變換一組數目成為單一數之最大值和最小值過程(maximum and minimum value procedure)能夠被賦予實質低的慣性值。或可取而代之,計算範圍(compute a range)和計算標準偏差(compute a standard deviation)能夠被提供較高的慣性值(例如,ι=2),因為這些知識元件更困難施加,而計算PCA(calculate a PCA)能夠顯示較高層級之慣性,而計算MSPCA(calculate a MSPCA)能夠具有又較高層級之慣性。
情況分數能夠用來決定哪一個(或一些)概念,以從AKM 730至AWM 710之間通訊(參看下文)。超過情況分數臨限之知識元件、或者概念,為適合傳輸至AWM 710。當AWM 710中有充分有效的保持概念的儲存、並且具有較高的情況分數之不同的概念尚沒有輸送至AWM 710時,則能夠傳輸此等概念。於AWM 710中概念的適合性,和因此概念的情況分數能夠蛻變為時間進展,當已經在記憶體中之一個或多個概念不再需要或者不再可應用時,該時間進展能夠允許具有較高適合性之新的概念,以進入知曉工作記憶體710。應該注意的是,概念之慣性越大,則需花較長之時間才能將該概念傳輸至AWM 710和從AWM 710去除。
當工具系統狀態改變時,例如,取代濺鍍靶、加上電子束槍、完成沉積製程、起始於原位探頭、完成退火階段、等等,知曉計劃器550 berbot機器人能夠提供文件哪些概念(例如,知識元件)能夠應用於新的狀態,並且能夠增加適合性值,和因此增加AKM 730中之各此種概念之情況分數。同樣狀況,能夠藉由自主機器人調整自主機器人6151至615N之啟動能量6171至617N,以便減少特定自主機器人之啟動能量,並且增加用於適合新情況之自主機器人之EA。能夠藉由計劃器berbot機器人將於適合性(和情況分數)之增值傳播至這些概念的第一鄰居,然後至第二鄰居、等等。應該了解到,於AKM 730中第一概念之鄰居能夠是依照選擇之測量(例如,跳躍的數目、歐幾里德距離、等等),以拓撲學的意識存在於離第一概念特定距離內之第二概念。應該注意的是,第二概念離第一概念(其接收適合性的原來增值)越遠,則第二概念於適合性的增值就越小。於是,適合性(情況分數)增值表示減緩的傳播為"概念上的距離"之函數。
於架構500中,自身知曉組件550包括知曉排程調適器(ASA)760,該知曉排程器調適器760能夠是知曉計劃器750之延伸,並且能夠請求和有效改變收集外來資料或本質資料(例如,透過互動組件330經由感測器組件325、經由輸入130、或經由(回授)鏈路155)。於一個態樣中,知曉排程器調適器760能夠引入取樣頻率調整資料-例如,其能夠調節於調適器組件335中不同的調適器能夠傳輸資料至打算用於ASM 720之知識網路375(例如,資訊輸入358)之速率。而且,知曉排程器調適器760能夠於低頻取樣,或者實質上排除關聯於不涉及資料之正常樣式之說明之製程變數、或者當從調適之推測引擎接收之資料推測時無法促進目標之完成之變數的資料之收集。反之,ASA 760能夠於較高的頻率取樣一組廣範使用於資料之正常樣式的變數,或者ASA 760能夠積極地促進目標。再者,當自主學習系統360承認狀態工具系統310之改變(或者於關聯於特定目標情況之改變),其中資料指示產品品質或製程可靠度漸漸從正常資料樣式偏差(或者目標漂移造成從目標空間中之初始目標明顯的偏移),該自主學習系統能夠經由ASA 760請求更快速取樣資料以收集較大量之可訴求資訊(例如,輸入130),該資訊能夠有效地生效劣化並且因此觸發適當的警告。於一個態樣中,目標組件能夠顯示目標漂移概述於進入初始目標之實行者;例如,於電子儲存器中客戶,該電子儲存器已經從初始消費目標實質地偏移,當從事本地娛樂系統可能被顯示在預算調整後改變預計的費用之記錄;或者資料庫架構,能夠取決於目標之調適以最佳化資料倉庫而顯示關聯於記憶體空間之成本和關聯之基礎建設。
實行者390(例如,人類動作者或者由人類動作者所使用之裝置)能夠以多種方式訓練自身知曉組件550,其能夠包含定義一個或多個插曲(包含例如例示成功調適之目標)。透過自身知曉組件550訓練自主學習系統360對於插曲能夠發生如下。實行者390創造插曲並且提供該插曲唯一的名稱。然後能夠將用於新創造的插曲之資料給至自主學習系統360。該資料能夠是於工具系統之單一特定操作步驟期間用於特定感測器之資料、單一特定步驟期間之一組參數、用於運作之單一參數、等等。
或可取而代之,或者額外地,能夠由實行者390提供更多基本的指引。舉例而言,現場支援工程師能夠對工具系統310實施預防的工具維修(preventive tool maintenance,PM)。PM能夠被計劃和週期地發生,或者其能夠是未經籌劃,或者非同期的。應該了解到,預防的工具維修能夠反應於由自主學習系統360之請求、反應於例行的預防維修、或者反應於非排程的維修,而實施於製造系統。時間間距於連續的PM之間渡過,於此時間間距期間一個或多個製程(例如,晶圓/批次製造)能夠發生於工具系統中。透過資料和產品資產(例如,資料資產、平板顯示器裝置、晶圓…)和關聯的資訊(譬如受影響的計劃器和未計劃之維修),自主學習系統能夠推測"故障週期"。於是,自主學習系統能夠利用資產328以推測故障之間之平均時間(mean time between failure,MTBF)。透過時間對故障之模型做為關鍵資料和產品資產之函數而支援此種推論。再者,自主學習系統360能夠透過接收為資訊輸入/輸出(I/0)358之不同的資產之間之關係,或者透過藉由專家實行者傳送之來自監督訓練時期導致之歷史的資料來發展模型。應該了解到,專家實行者能夠是與訓練之不同的自主學習系統互動之不同的實行者。
實行者390能夠藉由通知系統其能夠平均晶圓層級運作資料並且評估橫越PM時間間距於關鍵參數之漂移而引導該自主系統。亦能夠藉由自主系統而實施更富挑戰之練習,其中實行者390於各未籌劃之PM之前指示透過學習指令至自主學習系統360以學習特徵化資料之樣式於晶圓平均層級。此種指令能夠在未籌劃之PM之前提升自主學習系統360學習資料之樣式,以及若資料之樣式能夠由自主機器人確認的話,則當時間進展時自身知曉組件550能夠學習此種樣式。於學習樣式期間,知曉組件550能夠從自身概念化組件560或者存在於自主機器人組件540中之知曉自主機器人請求支援(或服務)。當用於工具系統之樣式用高程度之自信學習時(例如,藉由當反映於PCA分解之係數之樣式之再生性的程度、於K群演算(K-cluster algorithm)中主要群之大小、或者作為一組不同的參數和時間之函數之第一參數之大小之預測、等等而測量),則基於自主生物學之學習系統360能夠創造關聯於發生故障之參考插曲,該故障導致需要工具維修而使得在發生參考插曲之前能夠觸動警告。應該注意的是,能夠存在於自主機器人組件540中之知曉自主機器人在其需要之前可能無法完成特徵化用於故障參考插曲之資料樣式,或者能夠要求未籌劃之維修之實質上任何特定的情況。應該了解到,能夠包含深度行為和預測的功能分析之工具系統310之此種預防的良好狀況管理仍然能夠藉由在自身概念化組件560中之自主機器人來實施。
第8圖為能夠操作於知曉工作記憶體520之自主機器人之示圖800。例示之自主機器人-計量器815、預期引擎825、意外的分數產生器835、和概述產生器845-能夠組成知曉引擎(awareness engine);虛擬緊急組件(virtual emergent component),其緊急性質從基本的組成要素(例如,自主機器人815、825、835、和845)之協力操作產生。應該了解到,知曉引擎為一個或多個計劃之berbot機器人如何能夠使用協調之自主機器人之集合以實施複雜的活動之例子。計劃之berbot機器人使用各種的自主機器人(例如,平均、標準偏差、PCA、小波、導出物、等等)或者自身概念化組件560之服務,以特徵化於基於自主生物學之學習系統中接收之資料之樣式。用於各步驟、運作、批量、等等之資料,可以藉由外部實體於訓練過程中標示為正常或不正常。計量器815能夠由計劃之berbot機器人使用以利用正常資料學習資料之樣式用於原型、正常的製程。此外,計量器815能夠評估未標記之資料組(例如,資訊輸入358)(該資料組被寄存入ASM 720)並且比較正常資料樣式與未標記之資料之資料樣式。能夠透過預期引擎825儲存和操縱用於正常資料或者方程式以預測具有正常資料之參數之預期的樣式。應該注意的是,未標記之資料之樣式能夠依照多種的度量以各種的方法不同於正常資料之樣式;例如,能夠超過用於訂旅館T2統計之臨限值(當應用於PCA和MS-PCA並且從訓練運作導出);未標記之資料組之資料子組之平均值能夠與用正常、訓練運作資料計算之平均值差超過3σ(或者其他預定之偏差間距);測量參數之漂移能夠實質不同於關聯於正常運作之資料中所觀察者;以及等等。概述產生器845於是產生用於正常資料之組件的向量,而意外的分數產生器835能夠實質地協作、和分等或加權於向量之組件中之所有的此種差異,並且計算用於工具系統之淨劣化意外的分數,該淨劣化意外的分數反映工具系統之良好狀況並且反映該工具系統"偏離正常"有多遠。應該了解到,正常和未標記之度量之間的差異能夠改變為時間的函數。於是,透過收集正常資料之增加量,該基於自主生物學之學習系統360能夠隨著時間的進展用較高層級之統計信心學習各種的操作限制,並且能夠相應地調整製程配方(例如,目標)。當例如透過意外的分數測量的劣化狀況能夠經由概述產生器845報告至實行者。
第9圖例示基於自主生物學之學習系統之自身概念化組件之範例實施例900。自身概念化組件之功能為建立重要的半導體製造工具的關係和說明之了解。此種了解能夠使用來調整製程(例如,目標)。此獲得的了解以自主的方式或者結合供應指引之終端用戶(例如,實行者390)而被建立。相似於其他的主要的功能組件550和560,自身概念化組件570可以階級之記憶體、操作單元、或者自主機器人、和計劃器方面以遞迴方式組合成或定義;此種組件能夠通訊優先權致能的知識網路。
實施例900例示概念化知識記憶體(CKM)910,該CKM 910包含操作自身最佳化組件570所需之概念(例如,屬性、實體、關係、和過程)。於CKM 910中之概念包含(i)領域特定概念,譬如步驟、運作、批量、維修時間間距、濕清除週期、步驟測量、晶圓測量、批量測量、於晶圓上位置、晶圓區域、晶圓中央、晶圓邊緣、第一晶圓、最後晶圓、等等;以及(ii)一般目的、領域獨立概念,像是數目、常數(例如,eπ)、變數、序列、時間序列、矩陣、時間矩陣、細粒性質(fine-grained-behavior)、粗粒性質(coarse-grained-behavior)、等等。自身概念化組件亦包含譬如加法、減法、乘法、除法、平方、立方、羃次、指數、對數、正弦、餘弦、正切、誤差(erf)、等等之一般目的函數關係,以及能夠表現各種層級之細節並且存在於調適之概念化模板記憶體(ACTM)920中之其他領域特定函數關係之廣大的矩陣。
ACTM 920為能夠保持函數的關係之CKM 910之擴展,該函數的關係為完全或部分被與工具系統310(例如,半導體製造工具)互動之實行者(例如,終端用戶)知道。應該注意的是,雖然ACTM為CKM之邏輯的擴展,但是自主機器人、計劃器、和其他的功能組件未由此種分離影響,因為實際的記憶體儲存器能夠出現單一的儲存單元於自身概念化組件560內。自身概念化組件560亦能夠包含概念化目標記憶體(CGM)930,其為概念化工作記憶體(CWM)940之擴展。CGM 930能夠輔助現時目標,例如,學習(f、壓力、時間、步驟)之自主機器人;對於特定的製程步驟,學習壓力之函數f,其中函數依於時間。應該注意的是,學習函數f表示子目標,該子目標能夠輔助完成使用工具系統310製造半導體裝置之目標。
於ACTM 920中概念亦具有適當性數值屬性和慣性數值屬性,該慣性數值屬性能夠引導情況分數(situation score)。慣性之值能夠表示待學習之概念之可能性。舉例而言,用於矩陣概念之較高之慣性值和用於時間序列概念之較低慣性能夠引導其中自身概念化組件560能夠學習時間序列之函數之行為而非於矩陣中資料之函數之行為之情況。類似於自身知曉組件550,具有較低慣性之概念更可能從CKM 910傳輸至CWM 940。
概念計劃器(CP)提供啟動能量至各種的自主機器人並且提供情況能量至CKM 910和ACTM 920中之各種的概念,作為現時上下文、工具系統310(或者一般目標組件120)之現時狀態、CWM 940之內容、或者於CWM 940中活動的現時自主機器人之函數。應該了解到,啟動能量和情況能量之改變能夠根據知識(例如,根據學習,該知識之產生係由於用於CWM 940或CKM 910中概念之改變之語意網路之結果)引導目標調適──因為由調適之推論引擎之推論能夠根據概念之傳播態樣。
ACTM 920之內容為能夠說明上述討論之知識之概念,而因此這些概念能夠具有情況和慣性數值屬性。ACTM 920之內容能夠由自主機器人使用來學習工具系統310之函數的行為(易受到具有較低慣性之概念更可能較具有較高慣性之概念被啟動之限制)。對於所有的指引具有相同的慣性是不需要的;例如,第一個完成的函數能夠較第二個完成的函數提供較低之慣性,即使該二個概念表示皆完成的函數。
當部分的知識(像部分定義之方程式)上載於CWM 940中時,他能夠是完成的,例如,具有存在之知識──CP協調自主機器人使用可取得的資料以首先確認用於未知的係數之數值。一組特別的(ad hoc)係數因此能夠完成部分定義之方程式概念成為完成之函數概念。完成之方程式概念然後能夠使用於預先建立之函數關係概念,譬如加法、乘法、等等。具有輸出(例如,關係(輸出(kE),T))能夠輔助於CWM 940中之自主機器人以建構和評估各種函數的說明,該說明涉及用於kE和T的資料,以便確認能夠說明kE和T之間關係之最佳函數。或可取而代之,沒有輸出之基本知識也能夠用CP之幫助輔助自主機器人,以指定變數為輸出,或者獨立的變數和嘗試,以表示其為剩餘之變數之函數。當未發現良好的函數說明時,替代的變數能被指定為獨立的變數,該製程被重複直到其收斂於適當的函數關係,或者自主學習系統360指示例如至實行者390未發現適當的函數關係為止。確認之良好的函數關係能夠提供至在基於自主生物學之學習引擎360中待由自主機器人使用之CKM 910,該基於自主生物學之學習引擎360具有由CP指定之慣性之層級。舉例而言,指定之慣性能夠是確認之關係之數學複雜度之函數──二個變數之間之線性關係能夠被指定慣性值,該值低於至包含多個變數、參數、和運算子(例如,傾斜度、拉式算符(Laplacian)、偏微分、等等)之非線性關係之指定的慣性。
概念化引擎945能夠是"虛擬組件",其能夠呈現知曉自主機器人與概念化自主機器人之協調的活動。於一個態樣中,自身知曉組件550能夠將一群之變數(例如,於該群中之變數能夠是顯示良好成對方式相互關係性質之變數)前授(透過FF迴路552)至自身概念化組件560中。前授之資訊能夠輔助自身概念化組件560檢查CKM 910和ACTM 920之函數關係樣板。樣板之有效性能夠允許能夠存在於概念化引擎945中之概念化學習者(conceptualization learner,CL)之自主機器人更快速地學習在前授之群中變數之間函數的行為。應該了解到,學習此種功能行為能夠是主要目標之子目標。具有CP自主機器人之支援之CL自主機器人亦能夠使用概念化生效者(conceptualization validator,CV)自主機器人。CV自主機器人能夠評估所提出函數關係之品質(例如,預測值與測量之間之平均誤差是在儀器解析度內)。CL自主機器人能夠自主地或者透過實行者提供指引其任一方式獨立地學習函數的關係;此種實行者提供指引能夠視為外來的資料。由CL學習之函數能夠回授(例如,經由FB鏈路558)至自身知曉組件550作為一群關注之變數。舉例而言,於學習函數kE=kE0 exp(-U/T)後,其中k0(例如,漸近的蝕刻率)和U(例如,啟動阻障)擁有CL已知的特定的值,則自身概念化組件560能夠回授指引群(輸出(kE,T))至自身知曉組件550。此種回授通訊能夠供給自身知曉組件550學習關於此種變數之群之樣式,而使得能夠快速地認知關於變數之群之劣化,以及若需要的話,產生警告(例如,警告概述、驗證之警告接受者表)並且予以觸發。記憶體960為概念化插曲的記憶體。
應該注意到下列二個相關於CL和CV之態樣。首先,CL能夠包含能夠簡化方程式(例如,透過符號操縱)之自主機器人,該等方程式能夠輔助儲存函數的關係作為簡練的數學表示式。作為實例,關係P=((2+3)Φ)((1+0)÷Θ)被簡化成P=5Φ÷Θ,此處P、Φ、和Θ分別表示壓力、流動、和排氣閥角度。第二,CV能夠於複雜的方程式結構中分解因子,當其決定函數的關係之品質時──例如,對於具有實質相同特徵的參數、像是預測值對測量值之平均誤差,較簡單的方程式較佳能夠取代更複雜之方程式(例如,較簡單的方程式能夠具有較低的概念慣性)。
此外,從自身知曉組件550至自身概念化組件560之重要的FF 552通訊資訊,和從自身概念化組件560至自身知曉組件550之FB 558通訊,能夠涉及協作知曉自主機器人和概念化自主機器人,以特徵化用於插曲之資料的樣式。如上述有關第5圖之討論,當自身知曉組件550無法學習插曲時,自身概念化組件560能夠透過提供一組之相關函數關係而支援自身知曉組件550。舉例而言,插曲之特徵化能夠要求於工具系統310之製程中所運作之穩定步驟中壓力之時間相依性之細粒說明。自身概念化組件560能夠構成此種於穩定步驟中壓力之詳細的(例如,一秒接著一秒)時間相依性。於是,透過迴路558,自身知曉組件550於正常工具情況之穩定步驟期間能夠學習特徵化壓力之圖樣,並且比較學習的壓力時間相依性與於特定插曲資料中壓力之樣式。如所例示,用於插曲中資料穩定步驟之前所表現測量壓力之峰值,和於正常工具操作期間壓力資料中之缺乏峰值能夠被偵測為確認於基於自主生物學之學習工具300中發生插曲之資料樣式。
同樣情況,非排程PM之預測能夠依賴工具系統資料之重要測量之暫時的變動和由自身概念化組件570所傳輸之一組預測之函數之有效性。預測之函數能夠支援自身知曉組件(例如,組件550)以預測非計劃之PM之緊急情況,假使預測依於一組變數之計劃的值作為時間的函數。
第10圖例示於基於自主生物學之學習系統中自身最佳化組件之範例實施例1000。如上所示,自身最佳化組件功能是根據現時良好狀況分析之結果分析工具系統310之現時良好狀況(例如,性能)、診斷或者分等對於工具系統310之良好狀況之劣化之實質上所有可能的原因、並且根據由自主學習系統360所獲得的學習來確認根本原因。類似於其他主要的功能組件550和560,自身最佳化組件570從能夠屬於記憶平台365之記憶體之階層和能夠是處理平台385之部分之自主機器人和計劃器以遞迴方式建立。
最佳化知識記憶體(OKM)1010包含相關於工具系統310之行為的診斷和最佳化之概念(例如,知識)。應了解到,行為包含目標和子目標。因此,OKM 1010包含領域、或目標、特定概念,譬如步驟、步驟資料、運作、運作資料、批量、批量資料、PM時間間距、濕清除週期、製程配方、感測器、控制器、等等。後者概念關聯於製造半導體裝置之工具系統310。此外,OKM 1010包括領域獨立概念,該領域獨立概念包含讀取(例如,從感測器組件325中壓力感測器讀取)、序列、比較器、事例、事例索引、事例參數、原因、影響、原因的相依性、證明、原因的圖解、等等。再者,OKM 1010能夠包括一組功能的關係,像是比較、傳播、分等、解決、等等。此種功能的關係能夠由自主機器人所利用,該自主機器人能夠存在於自主機器人組件540中並且能夠透過執行之過程賦予OKM 1010至少部分其功能。儲存於OKM 1010中之概念擁有適合性數字屬性和慣性數字屬性,和從那兒導得的情況分數。適合性、慣性和情況分數的語意實質上相同於自身知曉組件550和自身概念化組件560之適合性、慣性和情況分數的語意。因此,若運作資料被提供較步驟資料為低的慣性,則自身最佳化組件570計劃器(例如,berbot機器人)更可能將來自OKM 1010之運作資料之概念通訊至最佳化工作記憶體(OWM)1020。轉而,運作資料與步驟資料之間之慣性關係能夠增加以運作相關概念工作之最佳化自主機器人之啟動率。
應該注意的是,透過FF鏈路552和562,自身知曉組件550和自身概念化組件560能夠影響儲存在OKM 1010之概念之情況分數,和透過能夠存在於最佳化計劃器組件1050中之最佳化計劃器(OP)之最佳化自主機器人之啟動能量。應該了解到,儲存在OKM 1010中並且透過自身知曉組件550和自身概念化組件560受影響之概念能夠決待最佳化之特定目標之態樣作為特定之上下文之函數。作為例示,若自身知曉組件550認知用於製程步驟之資料之圖樣已經明顯地劣化,則能夠增加關聯之步驟概念之情況分數。如此一來,為了修正於製程期間(例如,當追求目標時)執行之一組步驟,OP然後能夠供應額外的啟動能量至相關於步驟概念之最佳化自主機器人。同樣情況,若自身概念化組件560確認用於產品批量於工具測量之間新的函數的關係、接收自自身概念化組件560(例如,經由FF 562)之FF資訊,則自身最佳化組件570能夠增加(1)批量概念之情況分數,和(2)具有依靠批量概念之功能之最佳自主機器人之啟動能量;因此,修正批量概念的態樣(例如,於批量中晶圓之數目或類型、於主動矩陣陣列或一組之陣列中TFT之數目、批量之成本、一組主動矩陣陣列之成本、使用於批量中之資源、使用於一個或多個TFT主動矩陣陣列中之資源、等等)。調適之情況工作記憶體1040能夠致能情況分數之調適調整,並且保持用於自身最佳化組件570之操作的資料。最佳化插曲的記憶體1030能夠保持透過各種最佳化(譬如自身最佳化)收集之資料印象和知識。
能夠透過診斷引擎1025實施工具系統310之良好狀況評估,如下文之討論。應該注意的是,良好狀況評估能夠是製程之子目標。診斷引擎1025自主地創造相依性圖解並且允許實行者390擴大相依性圖解。(此種相依性圖解能夠視為外來資料或者本質資料)。依照由工具系統310所施行之製程之動力,和能夠由實行者390所設計之診斷計劃,因果的圖解能夠遞增地傳輸。舉例而言,因果的圖解能夠顯示"壓力"故障是由四種原因之其中一種所引起:沉積室具有裂縫,氣流進入室有缺陷;排氣閥角度(其控制氣流之大小)有缺陷;或者壓力感測器錯誤。工具系統310之組件具有故障之自明的可能性(例如,室裂縫可能具有0.01之發生機率、氣流可能具有0.005之缺陷機率、等等)。此外,實行者390,或者自身概念化組件560能夠定義用於壓力故障之條件相依性,其可以表示為條件機率;例如,在室具有裂縫之條件下,壓力為故障之機率是p(P|裂縫)。一般而言,能夠藉由實行者390提供與工具故障有關之條件機率。應該注意的是,由自主學習系統360假設由實行者390所定義之機率分佈可能是大約的近似值,其於許多的情況可能與實際的可能性(例如,由觀察所支援之可能性)明顯的不同。接著在下列有關第11A和11B圖中表示和討論因果的圖解之例子。
自身最佳化組件570亦能夠包括預言組件1060,該預言組件1060能夠透過關聯於工具310之資訊I/O358產生一組關於工具系統310之性能之預言。此種資訊能夠包括由功能的組件所使用之材料之品質,由工具系統310所產生之產品資產328之物理性質,譬如折射率、光吸收係數、電控光致發光量(electro-photoluminescence yield)、拉曼光譜剖面(Raman spectroscopy cross-sections)、缺陷密度、或者假使產品資產328摻雜了載子之磁傳輸性質、等等。能夠由預言組件1060使用多種技術。此等技術包括與能夠由自身知曉組件於處理資訊358時所使用之技術實質相同技術之第一特徵化技術;亦即,譬如(i)使用傅里葉變換(Fourier transforms)、加博爾變換(Gabor transforms)、小波分解、基於非線性過濾之統計技術、光譜相互關係;(ii)使用時間相依光譜性質(其能夠由感測器組件325測量)之暫時分析、非線性訊號處理技術,譬如龐加萊圖(Poincar’e map)和李亞普諾夫光譜技術(Lyapunov spectrum technique);(iii)實空間或訊號空間向量振輻和角度的變動分析;(iv)異常預測技術;等等。透過分析(i)、(ii)、(iii)、或(iv)產生之資訊或資料資產能被增補預測的技術,譬如神經網路推測、模糊邏輯(fuzzy logic)、貝氏網路傳播(Bayes network propagation)、進化的演算(像基因演算)、數據融合技術、模擬退火法、等等。可以利用分析和預測技術的結合經由確認如由感測器組件325所探測之特定的資產或性質之不適傾向、以及於OKM 1010中可取得之由最佳化計劃器組件1050所產生之適當更正之測量之資訊、和能夠存在於組件540中之最佳化自主機器人來輔助工具系統310之最佳化。
第11圖為依照本揭示發明之態樣評估工具之性能劣化、或者工具不匹配之範例系統1100之方塊圖。資訊輸入/輸出1105能夠包括從工具系統310摘取、或者輸出之資料。資料能夠關聯於由運作之一個或多個生產配方產生之資產(例如,資產328)之生產(例如,製造運作),或者能夠包含合成的資料;例如,透過實驗設計法(design of experiment,DOC)產生之資料。此外,在資訊輸出1105內之資料能夠包括於工具系統310之維修週期中產生之資料。資訊輸出1105亦能夠包含相關於譬如測量之變數之資料、產生該資料之儀器(例如,感測器、工具、、…)、產生資產所使用之配方(例如,濕清除週期配方、光學微影術蝕刻配方、薄膜電晶體之沉積)、生產運作確認器、時間戳記、操作者和製造或處理工廠資訊、停工期和連續操作之歷史資料、等等之資訊。
資料選擇器1110接收資訊輸出1105並且選擇目標或參考變數1124,和能夠影響選擇之目標變數之一組變數,例如,影響變數1122。在變數選擇後,傳輸參考變數1124和影響變數1122至基於自主生物學之學習引擎360。實質上所有接收的變數保持於變數儲存器1120中。此外,資料選擇器1110分離和聚集收集(或接收)之資料1115於至少二組資料、或資料流,該資料或資料流保持在操作資料儲存器1117和參考資料儲存器1119中。參考或訓練資料典型為收集之資料1115之小部分,並且被傳輸至基於自主生物學之學習引擎360,該基於自主生物學之學習引擎360如上述說明之分析資料,並且產生特徵化該參考資料之知識。應該注意的是,於一個態樣中,參考資料1119能夠關聯於特定的參考工具或者裝備之單元,而操作資料1117能夠關聯於不同的工具或者裝備之單元或者於與參考時間間距(例如,產生參考資料期間之時間間距)不同之時間間距之後續時間間距之參考工具或單元。於後者之情況,性能評估係針對確認參考工具和操作工具之間之不匹配性能或者參考工具經過時間後之劣化。舉例而言,當工具或者參考工具組配置用於現場中操作時,其操作被期望實質地匹配安裝在工具製造者工廠中同樣的參考工具或者參考工具組之操作。尤其是,當配置之工具或者工具組之現場執行相同組之校正或者參考配方時,期望特定的性能。此種期望之性能能夠直接對比於如本文中所說明之參考性能,並且能夠施行性能不匹配評估。於另一個態樣中,能夠透過維修週期來產生操作資料1117和參考資料1119,該維修週期能夠是週期性的或者非週期性的;例如,資訊輸出1105能夠包含於測試配方下於預定的時間間距中自工具操作摘取之資料。
操作資料1115為關聯於產生資料之一個或多個製程之一組變數之典型的測量資料。知識被客觀地產生,例如,影響變數為了學習之目的未被外部偏愛或者明確地控制,並且包含從參考變數1124和一組選擇之影響變數1122之間自然的物理相互關係發生之關聯性。應該了解到,用訓練之資料施行之客觀的學習為處理不可知論的(process agnostic);也就是說,學習依照產生於一個或多個語意網路(例如,知識網路375)之概念透過發現於關聯於影響變數1122和參考變數1124之資料之間之關係而進行。應該了解到,一旦決定了影響變數之空間和區別的參考變數,則驅使學習過程而不依賴外部的偏愛為資料其本身。此外,學習時抑制資訊可能妨礙或者限制產生自應用學習之推論之品質;例如,去除於初始組之影響變數中影響變數之子集可能阻礙推測或者自主預測之範圍和/或品質或保真度,例如,應用相關於透過初始、非抑制之影響變數組獲得的影響之學習。鑑於處理不可知的學習(process agnostic learning)或者ber客觀的學習(ber objective learning),能夠用在各種時間粒度(譬如單一步驟或多個連續步驟(例如,晶圓運作)、或者多個分開步驟、等等)之資料學習數學關係f。如此一來,於標的發明之一個態樣中,產生之知識能夠扮演為目標變數1124與影響變數1122之間數學關係或者函數f。於包含確認的關係f之資料之知識(例如,資料知識1130)被傳輸至性能評估組件1135,該性能評估組件1135分析有關經由學習的數學關係f之測量(例如,感測器、CD掃描電子顯微鏡(SEM))和預測的目標變數1124(例如,室壓力、光學微影術蝕刻率)之值之操作資料1117,和當由操作資料1117傳輸時影響變數1122(例如,氣流、退火溫度)之測量值。
透過性能評估組件1135施行的分析能夠決定關聯於產生資訊輸出1105之製程之一個或多個工具(例如,工具系統310)之性能劣化。此外,當參考資料1119是透過參考工具產生、而操作資料1117是藉由不同的工具或者相同的工具經過另一個時間間距產生時,能夠經由性能評估組件1135評估一組不同的工具之間之性能不匹配。
於一個態樣中,資料選擇器1110和性能評估組件1135透過基於自主生物學之學習引擎360經由其中的處理平台和關聯之處理器而被賦予至少部分之其功能。或可取而代之,或者額外地,能夠組構一個或多個處理器(未顯示)以賦予,以及至少部分賦予,本文中所說明之資料選擇器1110和性能評估組件1135之功能。賦予此種功能,一個或多個處理器(未顯示)能夠執行儲存於範例系統1100中記憶體中之代碼指令,以提供資料選擇器1110和性能評估組件1135之說明之功能。接著將更詳細說明資料選擇器1110和性能評估組件1135之態樣。
第12圖為資料選擇器1110之範例實施例1200之方塊圖。資料選擇器1110接收包含測量資料之資訊輸出1105。分析組件1205能夠利用經由保持於演算記憶體元件1224中演算所執行之啟發式的推論,以區別關聯於一組變數之接收資料之行為態樣,並且執行變數選擇。部分之啟發式的推論包含決定表示實質的變數、適度的變數、或者低變數之至少其中一種之變數。於一個態樣中,能夠區別表示低變數之變數,因為低變數能夠證明缺少對於狀況之靈敏度,在此狀況下施行特定的製程(例如,先進的光學裝置之化學氣相沉積、或者使用於平面直角顯示器主動矩陣陣列之薄膜電晶體(TFT)之電漿輔助化學氣相沉積)。啟發式的推論亦依照於一組變數中各變數之觀察之變化而輔助一組變數之組織。
此外,分析組件1205能夠執行用於變數和參數選擇之各種方法:(1)普遍選擇。採用非為參考變數之實質上所有、或所有的變數作為影響變數。(2)半普遍選擇。一旦選擇了目標或參考變數,則選擇能夠影響實質上任何、或任何程度目標變數之實質所有的變數作為影響變數;例如,可以選擇關聯於電學單元,譬如歐姆、法拉、安培、等等之所有的變數,當參考變數亦為關聯於電學的單元之一組變數之一員時。(3)基於知識的選擇。透過理論和模擬選擇相關於目標變數之變數作為目標變數之影響變數。此外,能夠依照用來產生一組資產(例如,資產328)之一個或多個配方選擇變數。作為後者之取代,或者額外地,能夠選擇在配方中不具有預定的設定值之變數作為影響變數之區塊。分析組件1205能夠存取記憶體1220以便檢索關聯於保持在配方儲存器1222中之生產配方、校正配方、或者維修配方之至少其中一種之資訊。(4)經驗上的選擇。選擇超越特定臨限值之變數用於相關性係數或者實質任何其他的統計測量作為影響變數。於一個態樣中,臨限值至少部分能夠由實行者(例如,配置之工具之操作者)組構,或者由基於至少部分在參考資料1119之參考工具自主地組構。(5)實行者驅使選擇。裝備之操作者,或者關聯於裝備之工具和組件(譬如電子束槍)能夠決定其特定的參考變數1124和其影響變數1122。於一個態樣中,執行此形式之變數選擇,能夠利用介面組件1107;應該了解到,介面組件1107功能上耦合資料選擇器1110。此種介面組件1105能夠允許工具操作者或者電腦化之實行者(例如,銲接機器人或者裝配機器人)選擇參考和影響變數。應該注意的是,電腦化之實行者能夠有效變數選擇用於自身診斷或者作為由第三者所執行之監視常式之部分。
聚集組件1210能夠聚集接收自不同的工具和關聯之儀器、或裝備,譬如操作室(例如,沉積室、用於晶圓分析之清潔室、用於微影蝕刻、光學微影蝕刻之室、用於先進沉積製程中清潔步驟中之室、等等)或其他操作的設備或實體之資料,以便產生資料儲存池(例如,操作資料1117或者參考資料1119)和變數(例如,變數儲存器1120)。當於不同的狀況下探測時,此種聚集能夠輔助工具性能或者儀器性能之分析,尤其是當施行DOE以評估於不同的影響變數下預定組之參考變數之衝擊時。應該注意的是,聚集組件1210能夠傳輸資料至操作資料儲存器1117和參考資料儲存器1119。
於資料選擇器1110之範例實施例1200中,聚集組件1210能夠利用格式器組件1215,該格式器組件1215能夠產生一組資料結構(例如,矩陣、向量…),該資料結構傳輸關聯於一組選擇之影響變數和一組一個或多個預定之、或可區別之參考變數之參考資料1119和操作資料1117。如所例示,第13圖顯示當經由格式化組件1215格式化的變數空間之資料矩陣之例子。變數空間藉由一組影響變數{Vλ}(以λ=1,2,…K)和參考變數OK(K為正整數)而跨越。接收資料Dνμ(ν,μ=1,2,…K)(例如,關聯於變數{Vλ}和OK之生產資料、校正資料、或者維修週期資料)是產生用於特定的情況S,其中情況能夠是於一組一個或多個處方中之一個或多個步驟;當多個步驟包括情況,該等步驟能夠是連續的或者分離的。再者,情況亦能夠包含於配方步驟層級、晶圓層級、批量層級、等等之資料。又再者,情況能夠包括經過相關於用於數個生產週期之生產週期(例如,濕清潔週期)之多個步驟平均的資料。又再者,情況能夠包括透過一組一個或多個工具產生之資料。於一個態樣中,當從不同的工具或製造室或其他操作的設備或實體聚集資料時,矩陣表示1300能夠跨越額外的情況(例如,情況Q)用於相同組之變數(例如,矩陣區塊B)。此外,當資料被聚集時,能夠擴展變數空間;於此種情況該矩陣表示加上矩陣資料區塊A和C。應該注意的是,能夠產生新的矩陣作為資料更新的結果,當生產運作中(例如,晶圓製造、TFT主動矩陣製造、或預定排程之維修)時間進展時發生該資料更新。
應該了解到,格式器組件1215能夠產生矩陣表示1300用來訓練資料(訓練矩陣)和操作資料(本文中詞彙"應用矩陣")。產生之應用矩陣能夠施行用於在晶圓層級(例如,用於在一組工具上各配方運作之晶圓運作、關聯於TFT沉積之光學微影術蝕刻運作)、影響於一個或多個工具之配方層級或者配方步驟層級、批量層級(例如,用於在一個或多個工具上各配方運作之批量運作)、關聯於一群工具之PM間距層級或者濕清潔週期、或者實質上任何、或者任何生產或時間粒度之其他層級之生產資料。能夠從完成一個或多個配方之工具或者一群工具生產使用於產生一個或多個應用矩陣之生產之各種層級之生產資料。而且,包含於產生之應用矩陣中之生產資料能夠跨越用於一個或多個工具之所有的有效資料,即使部分之此種資料針對於訓練資料。格式器組件1215能夠產生"應用矩陣"用於生產資產和傳輸在資訊輸入/輸出1105中關聯的資料之各工具,其中,產生應用矩陣之一組工具不需包含在訓練組之工具中。應該注意的是,當資產生產(例如,製造)進行時,經過時間(例如,即時連續、幾乎即時連續)、或者於預定的步驟,能夠產生應用矩陣和訓練矩陣。能夠分別儲存訓練矩陣和應用矩陣於操作資料儲存器1117和參考資料儲存器1119中。如上述討論,參考資料被傳輸至基於自主生物學之學習引擎360,該基於自主生物學之學習引擎360透過如前文中所述之自主學習和接收之參考資料能夠產生數學關係式f I 用於在參考變數1124中之各選擇之參考變數OI,其中I為正整數。此外,f I 能夠從接收之生產資料自主地學習用於一個或多個生產配方。作為例子,學習的數學關係式f I 能夠是非線性方程式。資料能夠以矩陣表示(例如,表示1300)之方式傳輸。於另一個態樣中,能夠經由基因演算法(genetic algorithm)決定f I 關係,即使能夠使用其他的方法譬如蒙地卡羅模擬(Monte Carlo simulation)或者模擬的退火以確認f I =f I (V 1,V 2,…V I -1)。應該注意的是,當矩陣表示跨越過數個情況,而情況能夠明白地包含在配方步驟或各種的配方步驟內經過的時間間距,時間亦能夠使用為影響變數。於是,對於參考變數OK,基於自主生物學之學習引擎360能夠推測譬如OK=f(tV 1,V 2,…V I -1)之數學關係。如所例示,第14圖表示影響參考變數OK之五個影響變數VA、VB、VC、VD、VE之加權之進展(例如,時間進展)之示圖1400。雖然於情況τ和τ’所有變數之加權為明顯的,但是於情況τ"影響變數Vc和VE之加權當與他們的對應部分比較時變成實質上可忽略。基於自主生物學之學習引擎360能夠從學習關係中排除那些經過時間後具有實質0、或0加權之影響變數,並因此不影響用於參考變數之輸出值。在排除了具有相對可忽略之加權之影響變數後,能夠自主地調整學習數學函數以便說明變數去除。應該進一步注意的是,基於自主生物學之學習引擎360能夠學習參考變數與影響變數(該影響變數能夠包含時間)之間之數學關係,用於配方中至少一個單一步驟、於配方中一群之連續步驟、於配方中一群之非連續步驟、或者於配方中之所有的步驟。
於一個態樣中,能夠組構一個或多個處理器(未顯示)以賦予,和至少部分賦予資料選擇器1110、和其中組件之功能,如前文之說明。為了賦予此種功能,該一個或多個處理器(未顯示)能夠執行儲存於記憶體1220中之代碼指令(未顯示)以提供資料選擇器1110和其中組件說明之功能。
第15圖為性能評估組件1135之範例實施例1500之方塊圖。於實施例1500中,分析組件1505處理接收之資料知識1130,該資料知識1130包含在一組影響變數{Vλ}方面參考變數(例如0K)之學習的正式表示式f。接收之關係保持在關係儲存器1515中,該關係儲存器1515亦能夠保持訓練和應用矩陣;能夠透過資料知識1130接收保持之訓練矩陣,但能夠透過操作資料儲存器1117接收或者從操作資料儲存器1117聚集應用矩陣。訓練矩陣能被接收用於一組參考工具或者該工具之一部分(例如,室),但接收之應用矩陣能夠提供生產資料用於一個或多個非參考工具。當生產(例如,製造)進行和產生生產資料時,能夠連續地或幾乎連續地,或者於排定的間距接收訓練矩陣和應用矩陣。能夠藉由產生應用矩陣之粒度要求排程之間距。應該了解到,接收之正式表示式f關聯於接收之訓練矩陣和一個或多個相關之應用矩陣,其中使用f來產生預測用於各該一個或多個應用矩陣。應該注意的是,應用矩陣和訓練矩陣被接收於由格式器組件1215所決定之粒度之層級。為了決定操作資料1117之來源之性能之層級,或者操作資料1117之來源之劣化或不匹配之層級,分析組件1505能夠對比於訓練矩陣和應用矩陣。欲至少達到該目的,分析組件1505能夠計算參考變數之值作為依照參考變數與選擇之組之影響變數之間學習之數學關係f用於影響變數之選擇之組之測量值的函數。於一個態樣中,為了決定預測值與來自參考室(該參考室在配方步驟層級、晶圓層級、TFT層級、批量層級、主動矩陣TFT陣列層級、或PM間距層級至少其中一種)之參考資料之間之差,分析組件1505能夠利用粒度,於該粒度訓練矩陣被接收。此外,分析組件1505能夠使用經過預定的生產時間間距接收之訓練矩陣,以決定參考工具或其儀器之操作性能。
分析組件1505能夠利用正式的分析組件1507和數值分析組件1509以綜合於訓練矩陣和一個或多個應用矩陣中資料之間之差異。此種組件至少部分能夠依賴種種的統計函數,譬如平均、標準偏差和較高衝量、最大差、和最小差,以數量方式說明一個或多個差之分佈;例如,定義參考變數與從關聯學習之函數f相關之預定值之間差之期望的大小。於一個態樣中,此種統計函數能夠經由例如統計機構類型之自主機器人組件540或者其中的處理器來執行。應該注意的是,如表示於訓練矩陣中之至少部分之參考資料1119亦能夠呈現經由自主學習數學函數計算的預測值與實際值之間之差。同樣情況,格式化為一個或多個應用矩陣之生產資料至少部分根據從參考或訓練資料自主地學習的數學函數而能夠顯示關於預測值的差異。分析組件1505亦能夠決定此種差異並且經由上述說明之統計函數產生其一個或多個概述。此外,分析組件1505能夠比較用於訓練矩陣差異之概述與用於應用矩陣差異之概述,並且使用此種比較以建立關聯於訓練矩陣差異之概述與相關於應用矩陣差異之概述之間之不正常改變。不正常改變能夠包含高於臨限值之大小偏移或者至少一部分根據百分比改變之大小偏移之至少其中一種。臨限值能夠是可以由例如實行者組構和供應。能夠評估百分比改變,對著特定的度量,該度量特徵化一個或多個差異之概述;例如,有關訓練矩陣資料中差異之平均誤差於應用矩陣資料中差異之平均誤差過量20%或10%,能夠表示不正常之改變。應該了解到,百分比之特定的大小能夠例如由實行者配置和決定。同樣情況,能夠對著可組構臨限值或百分比改變評估有關變化偏移而決定不正常改變;例如,當對於一組矩陣之用於應用矩陣資料和訓練矩陣資料之各自概述之差異之標準偏差高於臨限值時(例如,3.1),或者高於預定的百分比時(例如,高於3上5%),則建立不正常改變。
於標的發明之一個態樣中,一組工具之性能劣化或者於一組工具中工具間之性能不匹配能夠依照影響變數之關聯性簡況(relevancy profile)而以數據圖表表示,其中關聯性簡況從性能之靈敏度分析產生。此種靈敏度分析能夠藉由性能評估組件1135達成,並且例如透過學習的數學關係f之輸出之變化Δf之計算實施,當於選擇組之影響變數中單一影響變數於時間改變時,其中變化能夠是單一影響變數之確定的百分比改變(例如,5%)。或可取而代之,或者額外地,靈敏度分析能夠透過計算學習的數學關係f有關於選擇組之影響變數中之單一影響變數之數值的偏微分而實施。作為一個實例,關聯性簡況能夠包含影響變數之等級,當透過Δf之大小或者( f/ V L ),以VL為選擇組之影響變數中之影響變數;L為正整數。應該注意的是,正式的分析組件1507能夠透過至少其中一個未監督之原理證明演算或式子分析而決定影響變數之至少部分等級。同樣情況,數值分析組件1509能夠以數值方式計算f之梯度向量Δf用於一組關聯之影響變數,並且從此種至少部分根據Δf組件之大小計算建立關聯性簡況。應該注意的是,亦能夠藉由計算種種的學習函數{f}有關選擇之影響變數之偏微分以決定用於選擇之影響變數的等級,或階層之度量;例如,對於各影響變數,當取得有關影響變數和影響變數影響之常態化(normalized)之平均值時,階層之度量能夠等於呈現非0偏微分之學習的函數之數目的乘積。應該了解到,此種階層之度量為假總體的(pseudo-global),並且包含用於所有學習函數f之結果,該學習函數f說明選擇之參考變數與選擇之一組影響變數之間之關係。
應該注意的是,相似於用於影響變數之關聯性簡況之計算,分析組件1505能夠利用一組接收之學習關係,例如,保持於關係儲存器1515中之一組一個或多個函數{g},計算函數的關聯性簡況。此種簡況實質上與影響變數之關聯性簡況相同,但是他決定於學習函數{g}之空間。假使劣化或不匹配事件,當透過實質上任何、或者任何類型之臨限值而建立以評估性能,例如關鍵性能指示器(key performance indicator,KPI)、服務之品質(QoS)、等等,則函數的關聯性簡況能夠輔助分析組件1505來確認此種事件之來源,並且經由例如報告組件1510報告此種來源。
於實施例1500中,分析組件1505能夠利用保持於KPI儲存器1520中之一組關鍵性能指示器,和關聯於此KPI儲存器1520之預定的臨限值而決定是否一個或多個工具之性能為不正常,當透過測量值與透過經由參考變數OI之學習的數學關係f I 之影響變數之測量大小的計算預測值之間之計算的差異決定。應該注意的是,能夠使用實質上任何、或者任何之性能度量和相關的臨限值取代KPI和與其關聯之臨限值。當判定了不正常的性能事件或劣化事件時,分析組件1505能夠產生工具劣化時間戳記。而且,分析組件1505能夠使用一個或多個關聯於顯露不正常性能之生產資料之應用度量,以便確認資料(例如,工具、儀器、或裝備組件)之劣化的來源;資料之來源為資料之非參考來源。預定的期望值能夠是可組構的,並且至少部分根據一組一個或多個評估之工具之期望的或者歷史的性能之至少其中一種而被建立。作為一個實例,當用於預測和計算訓練矩陣和應用矩陣之間差異之平均值之間之差異超過實行者供應之臨限值時,KPI能夠使決定性能劣化為不正常。作為另一個實例,當用於應用矩陣之差異之平均誤差超過用於訓練矩陣表示之差異之平均誤差表示達10%至20%或者任何其他預定的百分比時,KPI能夠允許決定不正常工具退化或劣化。同樣情況,當訓練和應用矩陣之間差異的變化當由標準偏差概述例如超過初始(例如,於校準或配置工具或一組工具後短時間)標準偏差值時,KPI或者實質的任何性能度量能夠決定不正常工具劣化已經發生。應該了解到,能夠例如藉由使用介面組件1107,而由實行者建立KPI。當透過與基於自主生物學之學習引擎360至少部分結合之性能評估組件1135而至少部分決定能夠根據一個或多個工具的性能之歷史的推測評估而精確初始組之KPI。
應該了解到,於KPI儲存器1520中之KPI,或者實質上任何或任何性能度量能夠用來決定工具性能之不正常,而無關評估之性能是否為一個或多個生產工具之性能,或者有關標準或參考工具、儀器、或裝備之單元之性能。
報告組件1510能夠概述用於參考變數之預測值和透過用於關聯於參考變數之影響變數的實際操作資料所決定之值間之差異。此外,報告組件1510能夠傳輸此種概述的差異,或者任何其他用於性能劣化之度量,作為例如部分之性能概述1145。於一個態樣中,性能概述1145能夠傳輸(例如,透過顯示介面)影響變數之等級按照最有影響至最無影響之次序。應該了解到,能夠經由性能概述1145傳輸從最無影響至最有影響之等級次序。而且,報告組件能夠保持一個或多個工具之不正常性能或操作工具與參考工具之間之不匹配性能的暫時指示,例如,一個或多個時間戳記;該暫時指示由分析組件1505至少部分根據於觀察的資料與一個或多個參考變數之預測值之間計算之差異所產生。舉例而言,報告組件1510能夠儲存關聯於一系列不正常退化性能事件、和不匹配性能事件之資訊。對於一組工具之劣化或者於一組工具中工具間之不匹配產生於不正常性能事件之內容(例如,事件之追蹤記錄和影響變數之關聯性簡況)能夠保持於性能智慧記憶體元件1525。
於一個態樣中,能夠組構一個或多個處理器(未顯示)以賦予,以及至少部分賦予性能評估組件1135和其中之組件之功能,如上文中說明。欲賦予此種功能,一個或多個處理器(未顯示)能夠執行儲存於記憶體1514中之代碼指令(未顯示)以提供性能評估組件1135和其中之組件說明之功能。
第16A圖例示對於參考室中參考變數(例如,電壓)用於一系列之生產運作對於二個不同的工具之範例測量資料和預測值之圖表1600。於此實例中,參考變數為晶圓生產製程中較低的電壓。二個不同的工具(例如,T2和T3)使用相同的室(例如,C4)於運作之不同的組中,如點線和短劃線框所示。當從圖表1600中了解到,於學習參考變數和影響變數之間的函數關係後,測量之(灰色菱形符號)參考變數與預測之(四方塊符號)參考變數之值之間實質的一致。於此例中變數之普遍選擇被使用於參考變數(例如,電壓)之客觀的學習。
第16B圖例示對於非參考室中參考變數(例如,電壓)用於一系列之生產運作對於二個不同的工具(其與使用於圖表1600之參考室者相同)之範例測量資料和預測值之圖表1650。應該了解到,對於標的室(例如,C1),參考變數之預測值與二個工具(例如,T2和T3)之測量值不一致。尤其是,工具T3(例如,以點線框區別)之操作為使得參考變數之測量值與預測值之間有實質的不一致。亦應該了解到,實質的不一致包含與大約105次運作後生產運作率(production run index)同步的改變。於此例中變數之普遍的選擇被用於參考變數(例如,電壓)之客觀的學習。
應該進一步注意的是,根據觀察、或監視之測量值,任何方案也許遺漏可能的下列工具性能劣化問題。作為例子,於工具(例如,工具T2或工具310)上之電流感測器(例如,測量安培)可能損壞,但是電流之真正大小無誤,因此測量之電壓未觀察為錯誤。然而,當注意力集中在輸出電壓之觀察之值時,用於電流感測器(例如,為感測器組件325之部分之感測器)之感測器錯誤被掩蔽,因為該輸出電壓用品質管制圖(Shewhart chart)或其他習知的、簡單的統計基礎方法(例如,累計的總合、以指數方式的加權移動平均、範圍表…)以實施控制和監督。於一個態樣中,標的創新發明允許認知錯誤於相依變數(例如,電壓)或者獨立變數(例如,電流)之至少其中一者,而該錯誤於習知的監督或評估工具性能之機構中被遺漏。
第16C圖例示從用於單一工具和單一室中的參考變數(例如,電壓)選擇之資料組自主學習之範例測量資料和預測值之圖表1675。應該注意的是,於圖表1675中考慮為參考變數之電壓不同於圖表1600和1650之電壓參考變數。透過如前文中所述之經驗的選擇之所選擇的參考變數和影響變數之間的學習關係決定預期值。尤其是,選擇的影響變數表示至少0.4之相互關係。使用為參考資料之資料包含10%積聚於二個工具組(包含工具T2)中之所有的資料,和一組之三個室;該三個室之至少一部分使用於該組工具之各工具中。摘取用於參考變數之測量資料藉由預測值而適當產生。由資料(由圓圈符號所表示之測量值)顯露之雙模式操作,例如,一組或數組運作於高電壓,而一組或數組運作於低電壓,透過選擇之影響變數之自主、客觀的學習功能藉由預測值(十字符號)適當地說明。應該注意的是,雙模式操作之預測從參考變數和選擇之影響變數之間自主、客觀地學習關係和其實際的測量大小產生,而非於學習功能關係期間由雙模式類型操作引入。第16D圖中之範例圖表1685例示對於較低電壓模式操作之詳細的預測值和測量值。同樣情況,圖表1695例示對於用於較低電壓模式和高壓模式操作之詳細的預測值和測量值。如上所指示,自主預測和資料之間是實質一致的。
有鑑於上述表示和說明的範例系統,可以依照所揭示之標的發明內容執行之方法參照第17至21圖之流程圖而較佳了解。為了簡化說明之目的,雖然該方法顯示和說明為一系列之方塊圖,但是將了解到所揭示之態樣不受施行的次數和次序之限制,如一些施行可以不同的次序產生和/或與描述和說明於本文中之其他的方塊步驟同時產生。而且,並非所有例示之施行步驟可以被要求執行後文中所說明之方法。應該了解到,可以藉由軟體、硬體、他們得結合、或者任何適當的機構(例如,裝置、系統、製程、組件)來執行關聯方塊圖之功能。此外,應該進一步了解到,下文中以及全部此說明書中所揭示之方法能夠儲存於製造之物件中以輔助傳輸和轉送此方法至各種裝置,用來至少由處理器或處理單元或平台執行,和因此完成。應該了解到,方法能夠以交替方式表示為一系列之相互關係狀態或事件,譬如於狀態圖中。
第17圖表示用於具有上下文的目標調整之基於生物學自主學習之範例方法1700之流程圖。調適性推測引擎(例如,110),或者功能上耦合於此推測引擎之一個或多個組件能夠執行至少部分該標的範例方法1700。或可取而代之,或以附加的方式,賦予功能至調適性推測引擎或者功能上耦合至該推測引擎之一個或多個組件之處裡平台和功能的單元或者其中的處理器亦能夠執行至少於部分該標的範例方法。於施行步驟1710建立目標。目標為關聯於用來完成該目標或者目的之目標組件之功能的摘述。目標能夠是多重訓練的並且跨越各種部門(例如,工業、科學、文化、政治、等等)。一般而言能夠藉由其可能是外部的或外來的實行者執行施行步驟1710至可能耦合至學習系統(例如,調適性推測引擎110)之目標組件(例如,120)。有鑑於目標之多重訓練性質,目標組件能夠是處理多種功能之工具、裝置、或系統;例如,實施特定製程之系統(例如,工具系統310)、或者提供特定的結果至一組之請求之裝置、等等。於施行步驟1720接收資料。此種資料能夠是本質的,例如,產生於追蹤目標之目標組件(例如,組件120)之資料。於一個態樣中,作為實施該特定製程之一部分,關聯於該工具之一組感測器或探頭能夠聚集接收於調適性智慧型組件之資料。接收之資料亦能夠是外來的,譬如由實行者(例如,實行者390)所傳輸之資料,該實行者能夠是人類動作者或者具有嵌入之智慧之機器或者其他方面。外來的資料能夠是用來驅動處理,或者一般用來驅動特定的目標之完成之資料。人類動作者能夠是工具系統之操作者,並且能夠提供關聯於由該工具所實施之製程之指令或者特定的過程。實行者之情況能夠是實施工具系統之模擬之電腦,或者實質上任何目標組件。應該了解到,工具系統之模擬能夠用來決定用於該工具系統、或者用來測試用於該工具之操作之替代狀況(例如,可能對人類動作者提出危險、或者能夠節省成本之操作的狀況)之配置參數。接收之資料能夠是訓練資料,或者關聯於特定的製程(例如,沉積平坦面板顯示器主動矩陣之部分之TFT之矩陣)之生產資料,或者通常特定的代碼。
於又一個態樣中,接收之資料能夠關聯於資料類型或者關聯於程序的、或功能的單元。資料類型為實際資料之高層級摘述;例如,於工具系統中退火狀態中,溫度於退火週期之一段時間能夠被控制在規劃的層級,由工具系統中溫度感測器所測量的溫度值之時間序列能夠關聯於序列資料類型。功能的單元能夠至少部分對應於接收之指令、或者處理碼修補之文庫,該處理碼修補當至少由處理器或處理平台執行時操縱需用於工具之操作或者由該工具產生之分析資料之資料。功能的單元至少部分能夠摘取入相關於該單元之特定功能之概念,具有至少由處理器所賦予功能;舉例而言,乘法碼片斷(multiplication code snippet)能夠被摘取入乘法概念。此等概念能夠被過度負載,於單一概念能被作成相依於複數種資料類型(譬如,乘法(序列)、乘法(矩陣)、乘法(常數、矩陣))之情況。此外,關聯於功能的單元之概念能夠繼承關聯於功能的單元之其他的概念,像是導數(純量_乘積(向量、向量))(derivative (scalar_product(vector,vector))),其能夠說明表示有關獨立變數之二個向量之純量乘積之導數之概念。應該了解到,功能的概念直接類似於等級,其為於其本身之概念。再者,資料類型能夠關聯於優先權和依照該優先權能夠存放於語意網路中。同樣情況,功能的概念(或者至少部分之自主機器人;參看第6B圖)亦能夠關聯於優先權,並且存放於不同的語意網路中。概念優先權為動態的,並且能夠輔助於語意網路中之概念啟動。
於施行步驟1730從接收之資料產生知識,該資料能夠表示於語意網路中,如上述討論。能夠藉由於語意網路中傳播啟動而完成知識之產生。除了分數結合外,尚藉由指定於概念之情況分數而決定此種傳播。於一個態樣中,分數結合能夠是加權附加的二個分數,或者二個或多個分數之平均。應該了解到,依於工具系統狀況或者接收自外部實行者之資訊輸入之至少其中一者,能夠修正用於分數結合之規則。應該了解到,優先權能夠蛻變為時間進展以允許幾乎未啟動之概念變為淘汰,允許新的概念變成更有關係。
產生的知識能夠保持於記憶體中,並且使用為可作用的資訊;舉例而言,於沉積步驟中之穩定狀態之壓力能夠扮演為二個獨立的變數(像是穩定狀態流動和穩定狀態排放閥角度)之正確、已定義完善之數學函數(例如,具有所有參數之單值函數,該等參數進入決定被評估之函數,而非是可能的或未知的)。或可取而代之,或以附加方式,於轉變過程中之壓力能夠扮演為獨立的變數和/或參數之函數,或可取而代之,於配方(例如,於FPD(平坦面板顯示器)像素中TFT結構之光學微影術蝕刻)之執行過程中壓力(其中包含了所有的時間情況)能夠扮演為於配方之執行過程中其他測量的變數/參數之函數。
於施行步驟1740產生之知識被儲存用於自主工具之後續的使用和用於進一步之知識的產生。於一個態樣中,知識能夠儲存於記憶體之階層中。於記憶體中知識的持久性和用來創造某些額外的知識之知識的應用性能夠決定階層。於一個態樣中,於階層中之第三層能夠是插曲的記憶體(例如,插曲的記憶體530,或者知曉插曲的記憶體740),其中能夠收集接收之資料印象和知識。於此種記憶體中,概念之層操縱(tier manipulation)是不明顯的,記憶體用作為取代接收自工具系統或者外部實行者有效的資訊之儲存庫。於一個態樣中,此種記憶體能夠被確認為詮釋資料庫(meta-database),於此資料庫中能夠儲存多種資料類型和過程的概念。於第二層中,知識能夠儲存於短期記憶體中,其中能夠明顯地操縱概念並且於語意網路中傳佈之啟動能夠發生。於此種記憶體層中,功能的單元或過程的概念操作於接收之資料、和概念,以產生新知識、或學習。第一層記憶體能夠是長期記憶體(例如,LTM 510),其中保持知識用於主動利用,具有明顯的新知識儲存於此記憶體層中。此外,能夠藉由在短期記憶體(例如520)中之功能的單元使用於長期記憶體中之知識。
於施行步驟1750使用此產生或儲存之知識。知識能夠被使用以(i)藉由根據儲存之知識(資料和過程)和新接收之資料(參看自身知曉組件550)確認差異而決定目標組件(例如,工具系統310)之劣化之層級,其中該接收之資料能夠是外來的(例如,輸入130)或本質的(例如,部分之輸出140);(ii)例如藉由確認資料樣式或者藉由發現變數(譬如於自身概念化組件560中)之間的關係而特徵化外來的或本質的資料其中任一者或二者,其中能夠利用變數完成該建立的目標;或者(iii)產生工具系統之性能之分析,該工具系統產生資料(例如,自身最佳化組件570),以提供對於預測之故障或存在之故障之根本原因之指示以及所需的修補,或者於工具系統之劣化引起工具故障之前觸發警告用來執行預防維修。應該注意的是,儲存和產生之知識之使用被接收之資料(外來的或本質的)、和隨後產生之知識所影響。
施行步驟1760為確認步驟,其中鑑於產生之知識能夠檢查目標完成之程度。假使完成了建立之目標,則範例方法1700能夠結束。或可取而代之,若建立之目標尚未完成,則能夠於施行步驟1770再檢查該建立之目標。於後者,假使要修訂或調適現時目標,則方法1700之流程能夠引導建立新的目標;例如,目標調適能夠根據產生之知識。假使沒有修訂現時的目標要追蹤,則方法1700之流程返回以產生知識,能夠使用該知識繼續追蹤現時建立之目標。
第18圖表示調整關聯於目標組件之狀態之概念之情況分數的範例方法之流程圖1800。基於自主生物學之學習引擎(例如,360)、和功能上耦合於該基於自主生物學之學習引擎之一個或多個組件,能夠執行至少部分標的範例方法1800。或可取而代之,或以附加方式,賦予功能於該基於自主生物學之學習引擎或功能上耦合於該基於自主生物學之學習引擎之一個或多個組件之處理平台(例如,385)和功能的單元或者其中之處理器,亦能夠執行至少部分該標的範例方法。於施行步驟1810,決定目標組件之狀態。狀態典型透過上下文建立,該上下文能夠藉由各種的資料輸入(例如,輸入130),或者透過關聯於該輸入之概念之網路並且呈現特定的關係而決定。輸入資料相關於由目標組件所追求之目標;例如,用於特定的薄膜裝置(譬如TFT)之塗層製程之配方能夠被視為關聯於"沉積絕緣裝置"目標之輸入。於施行步驟1820決定能夠應用於目標組件之狀態之一組概念。此種概念能夠是進入於施行步驟1810之資料類型之摘述,或者能夠是於記憶體平台(例如,長期記憶體510,或者短期記憶體520)中存在之概念。一般而言,能夠經由至少處理器或處理單元作用於說明之概念(例如,不具有功能的組件之概念)之功能的概念能夠被更經常的用來朝向達成目標。於施行步驟1830決定用於關聯於目標狀態之一組概念之各概念之情況分數。一組情況分數能夠建立用於概念使用或應用之階層,該階層能夠決定目標之動態,像是目標調適性或者子目標創造/隨機化。用於特定的概念之情況分數之調整能夠驅使目標完成以及於目標空間內傳播做為目標調適性之部分。
第19圖表示透過推測用來產生知識之範例方法之流程圖1900。基於自主生物學之學習引擎(例如,360)、和功能上耦合於該基於自主生物學之學習引擎之一個或多個組件,能夠執行至少部分標的範例方法1900。或可取而代之,或以附加方式,賦予功能於該基於自主生物學之學習引擎或功能上耦合於該基於自主生物學之學習引擎之一個或多個組件之處理平台和功能的單元或者其中之處理器,亦能夠執行至少部分該標的範例方法。於施行步驟1910,概念關聯於資料類型和決定該概念之優先權。優先權典型能夠根據使用概念之機率、或概念之加權而決定。此種加權能夠透過參數之函數(例如,加權總和、算術平均、或者幾何平均)而決定,該參數能夠表示使用概念之容易度(例如,操作資料類型之複雜性),此種參數能夠用概念之慣性、和說明狀態(例如,能夠相關於該概念之許多鄰近概念)之概念之適合性參數來確認。應該了解到,優先權能夠是時間相依為明確的時間相依慣性和適合性參數,或者為概念傳播之結果。時間相依優先權能夠引入老化態樣於特定的概念中,並且因此能夠透過概念停止有關於特殊的知識情況(例如,於基於優先權知識網路中節點結構)提升知識彈性(例如,使用來追蹤目標,譬如用來準備奈米結構裝置譬如像是於FPD中主動矩陣陣列中TFT,之配方之範例)。於施行步驟1920,建立用於一組優先權化概念之語意網路。應該了解到,語意網路能夠包括多個子網路,其中各該多個網路能夠特徵化等級中概念之間之一組關係。作為一個範例,於二層語意網路中,第一子網路能夠表示導自於資料類型之概念之間之關係,而第二子網路能夠包括說明能夠取決於資料類型被用來改變之操作之功能的概念(例如,計劃者自主機器人或berbot機器人、概念的自主機器人)之間之關係。於施行步驟1930,該組優先權經由語意網路傳播以作推測和因此產生關聯於概念之網路的知識。於一個態樣中,此種傳播能夠用來產生最佳化計劃用於目標調適性,或者預測於追求特定目標之系統中之故障。
第20圖表示依照本文中所說明之態樣用來學習關聯於由生產(例如,製造、評估)一個或多個工具所產生之生產資料之一組變數之間之關係之範例方法2000之流程圖。基於自主生物學之學習引擎(例如,360)、和功能上耦合於該基於自主生物學之學習引擎之一個或多個組件,能夠執行至少部分標的範例方法2000。或可取而代之,或以附加方式,賦予功能於該基於自主生物學之學習引擎或功能上耦合於該基於自主生物學之學習引擎之一個或多個組件之處理平台和功能的單元或者其中之處理器,亦能夠執行至少部分該標的範例方法。於施行步驟2010,接收一組資料;該資料關聯於一個或多個資產(例如,328)之至少一部分。於一個態樣中,接收之資料能夠源自於執行於生產工具、裝備、或其儀器之生產運作(例如,製造運作)之至少其中一種;或者參考操作透過參考工具、裝備、或其儀器產生效果。如上述說明,接收源自於生產運作中之資料組,例如,生產資料,能夠從有效一個或多個生產配方(譬如沉積用於主動矩陣陣列中TFT之閘極接觸配方)獲得,以製造一個或多個資產。此外,生產資料能夠從各種的生產或配方階段、或情況產生,譬如一組一個或多個連續的配方步驟,或者一組分離的配方步驟。一個或多個資產能夠包含不同程度複雜性之裝置,例如,半導體裝置;舉例而言,資產328能夠包含基於電漿放電之平板顯示器(FPD)、基於有機發光二極體(OLED)之FPD、基於液晶顯示器(LCD)之FPD、或者其元件,譬如像是薄膜電晶體主動矩陣陣列、彩色濾光器、偏光器、等等。於施行步驟2020,收集之資料組能夠被格式化。於一個態樣中,如上述說明,資料能夠被投入矩陣格式中,具有關聯於訓練資料(例如,測試製造資料)之矩陣,確認為訓練矩陣,和由生產資料(例如,製造運作資料)組成之矩陣,確認為應用矩陣。於參考工具、或其裝備、和生產之操作過程中,能夠分別產生訓練和應用矩陣。此外,能夠於各種操作和生產情況中產生訓練和應用矩陣,譬如於一個或多個配方中步驟層級、於一個或多個配方中連續的或分離的其中任一情況之複數個步驟、等等。此外,訓練或應用矩陣能夠經由於資產層級(譬如晶圓層級、或批量層級)接收之資料產生。而且,於維修週期(例如,預防的排程維修)所收集之資料亦能夠被格式化成訓練矩陣或應用矩陣之至少其中一種。
於施行步驟2030,選擇一組參考資料用於一組的變數。該選擇的參考資料能夠是接收資料之一部分,例如,10%或20%。選擇之參考資料能夠源自於參考工具或其儀器、或者一組之生產工具。應該注意的是,該組的工具能夠包含一個或多個工具,或者操作為工具單元之工具群。此外,選擇之參考資料能夠包含一個或多個生產情況、或階段期間產生之資料之平均;例如,平均能夠是使用於一個或多個資產之生產中複數個配方中於各配方之各步驟(例如,蝕刻步驟、光學微影術、或其他方面)之步驟層級平均;用於批量或批次、或任何製造量中各生產之晶圓之晶圓層級平均;用於由一個或多個工具生產之各批量之批量層級平均;用於一個或多個製造之主動矩陣陣列之TFT沉積層級均勻;或者用於在配方中各濕清潔週期之濕清潔週期平均之至少其中之一。於一個態樣中,能夠藉由接收實行者指示而實現資料之選擇。而且,選擇之參考資料能夠從生產資料而被合理化,其中選擇之參考資料為以預定之測量取樣率收集之原始之資料。源自於所有的配方之原始的生產資料執行於一個或多個資產(例如,平板顯示器)之生產,特定執行之單一配方、或者一組執行之配方,譬如沉積配方、光學微影術配方、和蝕刻配方。對於一個或多個執行之生產配方,輔助資料選擇之測量之取樣率能夠是於一個或多個配方中之單一步驟、一群連續的步驟、或者所有的步驟之至少其中一種。
於施行步驟2040,決定在該組的變數內之參考變數,以及選擇一組影響變數。決定參考變數能夠根據於施行步驟2030中確認之該組之變數所呈現之變化之分析,而選擇影響變數能夠遵從不同的選擇機制,如前面之討論。尤其是,選擇機制能夠包含普遍選擇、半普遍選擇、基於知識之選擇、經驗上的選擇、或實行者驅使選擇之至少其中一種。影響變數能夠包含時間,譬如於配方步驟中經過之時間。於施行步驟2050,學習該決定的參考變數與該組影響變數之間正式的函數關係g。學習以實質相同的方式進行,或者與範例方法1700之於施行步驟1730中相同的方式進行。此外,學習該正式的函數關係能夠包含時間作為影響變數。應該了解到,為了學習多個函數用於數個參考變數,範例方法能夠被重新施行多次。應該進一步了解到,於標的說明書中所說明之自主系統能夠學習用於包含於該接收組之資料中各參數之函數。
第21圖表示依照本文中所說明之態樣用來建立一個或多個工具、或其儀器之性能劣化或不匹配之範例方法2100之流程圖。能夠重新施行標的範例方法2100以決定用於參考工具或生產工具之性能劣化。基於自主生物學之學習引擎(例如,360)、和功能上耦合於該基於自主生物學之學習引擎之一個或多個組件,能夠執行至少部分標的範例方法2100。或可取而代之,或以附加方式,賦予功能於該基於自主生物學之學習引擎或功能上耦合於該基於自主生物學之學習引擎之一個或多個組件之處理平台和功能的單元或者其中之處理器,亦能夠執行至少部分該標的範例方法。於施行步驟2110,測量參考變數之值。於一個態樣中,透過一個或多個工具經由使用之一個或多個配方該測量為用於資產(例如,譬如FPD之資產390)之生產製程之部分。於另一個態樣中,標的範例方法能夠使用於有關生產製程之粒度之各種層級。於施行步驟2120,測量於關聯於參考變數之一組影響變數中用於各變數之值。於施行步驟2130,根據在參考變數與該組當測量之影響變數之間學習之正式的關係預測用於參考變數之值。於施行步驟2140,使生效是否參考變數之預測值與測量值之間之差低於性能度量臨限值,其中性能度量臨限值能夠是可組構,例如,藉由實行者。經由關鍵性能指示器或者實質上任何、或任何的性能度量(譬如服務之品質),而至少能夠部分評估性能。能夠使用各種KPI,如上述討論。當差異低於KPI臨限值時,流程被重新指向參考變數之測量。反之,於施行步驟2150,產生並且保持用於影響變數和學習的正常關係之關聯性簡況。能夠如上述討論之方式執行產生之關聯性簡況。舉例而言,能夠實施靈敏度分析,其中靈敏度分析能夠至少部分根據於用於參考變數之預測值之一組影響變數之衝擊百分比,或者該組影響變數之學習的正常關係之數值的導數評估值之至少其中之一。於一個態樣中,該性能劣化或不匹配係關聯於執行製程以產生資產之一個或多個工具之操作。應該了解到,性能劣化之評估典型伴隨關聯於生產資料之學習的正常關係,而假如性能不匹配,則該學習的正常關係關聯於源自不同的工具之生產資料,其中該等工具之其中一個能夠是參考工具。
於施行步驟2160,報告性能劣化或不匹配之至少其中一種,以及保持性能報告(例如,性能概述1145)。於一個態樣中,報告包含傳輸或輸送性能資訊(譬如性能概述)至實行者。作為範例,對於不正常的性能事件,報告能夠包含顯示一組依照有具關聯於事件之時間戳記之等級準則次序排列之影響變數。
如使用於標的說明書中,詞彙"處理器"能夠參照實質任何的計算處理單元或者裝置,包括但不限於,包括:單一核心處理器、具有軟體多線程執行能力之單一處理器、多核心處理器、具有軟體多線程執行能力之多核心處理器、具有硬體多線程技術之多核心處理器、並行平台、和具有分佈共用記憶體之並行平台。此外,處理器能夠有關積體電路、特殊應用積體電路(ASIC)、數位訊號處理器(DSP)、現場可編程閘陣列(FPGA)、可編程邏輯控制器(PLC)、複雜的可編程邏輯控制器(CPLC)、分離閘或電晶體邏輯、分離之硬體組件、或者設計來實施本文中所述之功能之他們的任何的組合。為了最佳化空間使用或者提升使用者裝備之性能,處理器能夠利用奈米尺度架構,譬如,但不限於,基於分子和量子點電晶體、開關和閘。處理器亦可以執行為計算處理單元之組合。
於標的說明書中,詞彙譬如"儲存(store)"、"儲存器(storage)"、"資料儲存(data store)"、"資料儲存器(data storage)"、資料庫(database)、和有關於組件之操作和功能之實質任何其他的資訊儲存組件,參照具體實施於"記憶體"或包括該記憶體之組件中之"記憶體組件"或實體。應該了解到,本文中所說明之記憶體組件能夠是揮發性記憶體或者非揮發性記憶體其中任一者,或者能夠包含揮發性和非揮發性記憶體二者。
藉由例示之方式,而非用來限制,非揮發性記憶體能夠包含唯讀記憶體(ROM)、可編程唯讀記憶體(PROM)、電子可編程唯讀記憶體(EPROM)、電子可抹除可編程唯讀記憶體(EEPROM)、或快閃記憶體。揮發性記憶體能夠包含隨機存取記憶體(RAM),其用作為外部快取記憶體。藉由例示之方式,而非用來限制,RAM可以許多形式使用,譬如,同步RAM(SRAM)、動態RAM(DRAM)、同步DRAM(SDRAM)、雙資料率SDRAM(DDR SDRAM)、提升之SDRAM(ESDRAM)、Synchlink DRAM(S1DRAM),和直接Rambus RAM(DRRAM)。此外,本文中所揭示之系統或方法之記憶體組件欲包括,而非被限制包括這些和任何其他適合類型之記憶體。
本文中所揭示之各種態樣或特徵可以實施為方法、裝置,如硬體或硬體和軟體或硬體和韌體之結合,或者使用標準編程和/或工程技術製造之物件。實施為方法,或者為硬體和軟體之結合,能夠透過處理器或處理單元(例如,處理平台385)至少部分達成,該處理器或處理單元執行保持在記憶體中之電腦可存取碼指令。本文中所使用之詞彙“製造之物件”將包含從任何電腦可讀取裝置、載體、媒體之電腦程式可存取者。舉例而言,電腦可讀取媒體能夠包含但是不限於磁性儲存裝置(例如,硬碟、軟碟、磁帶…)、光學碟片【例如,光碟(CD)、數位多功能光碟(DVD)…】、智慧卡、和快閃記憶體裝置(例如,卡、棒、鍵驅動…)。
上述的說明包含申請專利範圍標的內容之例子。當然,不可能為了說明申請專利範圍標的內容之目的而說明每一個可以想得到的組件或方法之結合,但是一般熟悉此項技術者可以認知申請專利範圍標的內容之許多其他的結合和變換是可能的。因此,申請專利範圍標的內容是要包含落於所附申請專利範圍之精神和範圍內之所有的此種替換、修改、和變化。再者,於詳細的說明或申請專利範圍中所使用之語詞"包含(include或including)"之程度,此種語詞將包含於相似於語詞"包括(comprise或comprising)"之方式,當"包括(comprise或comprising)"被解釋使用為申請專利範圍中之翻譯字時。
100、300、500...基於自主生物學之學習系統(工具)
110...調適性推測引擎(調適的推測組件)
115、155、165...有線或無線通訊鏈路、
120...目標組件
130...輸入
140...輸出
150...資料儲存器
200...上下文目標調適之示圖
2101、2102…210N...目標
2201、2102…210N...上下文
2301、2302…230N-1...調適
310...工具系統
315...功能組件
325...感測器組件
328...資產(資料)
330...互動組件
335...調適器組件
338...資產
345...互動管理器
355...資料庫
358...資訊(資訊輸入/輸出)(資訊I/O)
360...基於自主生物學之學習引擎(系統)
365...記憶平台
368...資料
370...工具系統
375...知識網路(網路組件)
385...處理平台
390...實行者
400...半導體製造系統
405...負載/卸載部
410...處理部(處理單元)
415...介面部
420...卡匣台
425...卡匣
430...第一處理單元群
435...冷卻單元(COL)
440...對準單元(ALIM)
445...黏著單元(AD)
450...延伸單元(EXT)
455...前烘烤單元(PREBAKE)
460...後烘烤單元(POBAKE)
465...第二處理單元群
470...延伸冷卻單元(EXTCOL)
475...延伸單元(EXT)
510...長期記憶體(LTM)(記憶體組件)
520...短期記憶體(STM)(記憶體組件)
530...插曲的記憶體(EM)(記憶體組件)
540...自主機器人組件
550...自身知曉組件
552...第一前授(FF)迴路(FF鏈路)
558...第一回授(FB)迴路(FB鏈路)
560...自身概念化組件
562...第二FF迴路
568...第二FB迴路
570...自身最佳化組件
580...計劃器組件
590...系統上下文組件
660、6151至615N...自主機器人
6171至617N...啟動能量
6191至619N...禁止能量
6251至625N...動態優先權
650...自主機器人範例架構
663...功能組件
666...處理器
669...內部記憶體
672...錯誤更正組件
675...介面
700...自身知曉組件之範例架構
710...知曉工作記憶體(AWM)
720...知曉感測記憶體(ASM)
730...知曉知識記憶體(AKM)
740...知曉插曲的記憶體
750...知曉計劃器
760...知曉排程調適器(ASA)
800...自主機器人之示圖
815...計量器
825...預期引擎
835...意外的分數產生器
845...概述產生器
900...自身概念化組件之範例實施例
910...概念化知識記憶體(CKM)
920...調適之概念化模板記憶體(ACTM)
930...概念化目標記憶體(CGM)
940...概念化工作記憶體(CWM)
945...概念化引擎
950...概念化總體記憶體
960...概念化插曲的記憶體
1000...自身最佳化組件之範例實施例
1010...最佳化知識記憶體(OKM)
1020...最佳化工作記憶體(OWM)
1025...診斷引擎
1030...最佳化插曲的記憶體
1040...調適之情況工作記憶體
1050...最佳化計劃器組件
1060...預言組件
1100...評估工具之性能劣化或工具不匹配之範例系統
1105...資訊輸入/輸出
1107...介面組件
1110...資料選擇器
1115...收集之資料
1117...操作資料儲存器(操作資料)
1119...參考資料儲存器(參考資料)
1120...變數儲存器
1122...影響變數
1124...參考變數(目標變數)
1130...資料知識
1135...性能評估組件
1145...性能概述
1200...資料選擇器之範例實施例
1205...分析組件
1210...聚集組件
1215...格式器組件
1220...記憶體
1222...配方儲存器
1224...演算法
1300...矩陣表示
1400...加權之進展示圖
1500...性能評估組件之範例實施例
1505...分析組件
1507...正式的分析組件
1509...數值分析組件
1510...報告組件
1514...記憶體
1515...關係儲存器
1520...關鍵性能指示器(KPI)儲存器
1525...性能智慧記憶體元件
1600、1650、1675、1685、1695...圖表
1700...具有上下文目標調整之基於生物學之自主學習範例方法
1710、1720、1730、…1770...步驟
1800...調整情況分數方法
1810、1820、1830...步驟
1900...透過推測用來產生知識之範例方法
1910、1920、1930...步驟
2000...用來學習生產資料之一組變數之間關係之範例方法
2010、2020、…2050...步驟
2100...建立一個或多個工具之性能劣化或不匹配之範例方法
2110、2120、…2160...步驟
第1圖例示基於自主生物學之學習工具之高層級方塊圖。
第2圖為依照本文中所說明之態樣描述上下文目標調適之示圖。
第3圖例示基於自主生物學之學習工具範例之高層級方塊圖。
第4圖為用於半導體製造能夠利用基於自主生物學之學習系統之範例工具系統之方塊圖。
第5圖例示基於自主生物學之學習系統之範例架構之高層級方塊圖。
第6A和6B圖分別例示範例自主機器人組件和範例自主機器人架構。
第7圖例示基於自主生物學之學習系統之自身知曉組件之範例架構。
第8圖為依照本文中說明之態樣操作於知曉工作記憶體之範例自主機器人之示圖。
第9圖例示基於自主生物學之學習系統之自身概念化組件之範例實施例。
第10圖例示於基於自主生物學之學習系統中自身最佳化組件之範例實施例。
第11圖為依照本揭示發明之態樣評估工具之性能劣化、或者工具不匹配之範例系統之方塊圖。
第12圖為依照本文中所說明之態樣資料選擇器之範例實施例之方塊圖。
第13圖例示依照本文中所說明之態樣當透過於資料選擇器中組件格式化於變數空間之資料矩陣。
第14圖例示依照於標的說明書中說明之態樣用於特定參考變數之五個影響變數之加權之時間進展。
第15圖為依照本文中所說明之態樣性能評估組件之範例實施例之方塊圖。
第16A至16D圖例示經由如本文中說明之自主的客觀的學習測量資料和預測值,例如用於各種工具和關聯之室之參考變數。
第17圖表示依照本文中說明之態樣用於基於生物學之自主學習之範例方法之流程圖。
第18圖表示依照本標的說明書說明之態樣調整概念之情況分數之範例方法之流程圖。
第19圖表示依照本文中所提出之態樣用於產生知識之範例方法之流程圖。
第20圖表示依照本文中所說明之態樣用來學習關聯於由一個或多個工具所產生生產資料之一組變數之間之關係之範例方法之流程圖。
第21圖表示依照本文中所說明之態樣用來建立一個或多個工具之性能劣化和不匹配之範例方法之流程圖。
300...基於自主生物學之學習系統(工具)
310...工具系統
315...功能組件
325...感測器組件
328...資產(資料)
330...互動組件
335...調適器組件
345...互動管理器
355...資料庫
358...資訊(資訊輸入/輸出)(資訊I/O)
360...基於自主生物學之學習引擎(系統)
365...記憶平台
375...知識網路(網路組件)
385...處理平台
390...實行者

Claims (50)

  1. 一種系統,包括:組件,該組件選擇與資料關聯的變數組,該資料係基於工具系統中的第一單元裝備和該工具系統中的第二單元裝備而產生,其中,該工具系統生產資產,並且其中,該變數組包含參考變數和用於該參考變數之影響變數組;以及客觀的學習引擎,該客觀的學習引擎學習用於該經選擇之資料之該參考變數和與該第一單元裝備和該第二單元裝備關聯的該影響變數組之間之關係,其中,該客觀的學習引擎從該影響變數組移動影響變數,以回應決定與該影響變數關聯的加權係低於臨限值,並且,與該影響變數關聯的先前加權係高於該臨限值。
  2. 如申請專利範圍第1項之系統,其中,該資產包含至少一個半導體裝置,且該至少一個半導體裝置包括基於電漿放電之平板顯示器(FPD)、基於有機發光二極體(OLED)之FPD、基於液晶顯示器(LCD)之FPD、或者其元件,該元件包括薄膜電晶體主動矩陣陣列、彩色濾光器、或偏光器的至少其中一者。
  3. 如申請專利範圍第1項之系統,復包括性能評估組件,該性能評估組件根據該參考變數與該影響變數之間的該關係比較該參考變數之測量值與該參考變數之預測值,以決定該第一單元裝備與該第二單元裝備之性能劣化或性能不匹配,其中,該性能評估組件產生與該性能 劣化或該性能不匹配的該影響變數組的等級。
  4. 如申請專利範圍第3項之系統,其中,該資料包含製造運作資料、合成製造資料、和測試製造資料之至少其中一者。
  5. 如申請專利範圍第1項之系統,其中,資料選擇器經由自主分析或實行者輸入之至少其中一者選擇該參考變數。
  6. 如申請專利範圍第5項之系統,其中,該自主分析包含候選參考變數之配置,該配置係依照在該資產之製程中各該候選參考變數之變化大小。
  7. 如申請專利範圍第6項之系統,其中,該資料選擇器係選擇低變化變數、中變化變數、或高變化變數之至少其中一者。
  8. 如申請專利範圍第5項之系統,其中,該資料選擇器係至少部分根據普遍選擇、半普遍選擇、基於知識之選擇、經驗上之選擇、或實行者驅使之選擇之至少其中一者而選擇影響變數組。
  9. 如申請專利範圍第5項之系統,其中,該資料選擇器包含格式器組件,該格式器組件係以矩陣表示之形式傳輸經選擇之資料。
  10. 如申請專利範圍第9項之系統,其中,該矩陣表示包含參考變數和關聯於該參考變數之該影響變數組中之至少一個變數。
  11. 如申請專利範圍第10項之系統,其中,該資料選擇器 包含組件,該組件自關聯於在生產資產之裝備中之單元組之該資料而選擇參考資料,該參考資料為該資料之至少一部分。
  12. 如申請專利範圍第11項之系統,其中,該資料選擇器經由實行者輸入而選擇參考資料。
  13. 如申請專利範圍第12項之系統,其中,該格式器組件至少部分根據該經選擇之參考資料產生訓練矩陣。
  14. 如申請專利範圍第13項之系統,其中,該資料以預定的取樣率收集。
  15. 如申請專利範圍第14項之系統,其中,該參考資料包含來自用於該資產之有次序步驟組中的非連續步驟群組的資料。
  16. 如申請專利範圍第15項之系統,其中,該參考資料包含平均資料,該平均資料源自於步驟層級、晶圓層級、批量層級、主動矩陣陣列製造、或濕清潔週期之至少其中一者。
  17. 如申請專利範圍第16項之系統,其中,該參考資料包含平均資料,該平均資料源自於製造資產之程序內之預定週期,該資產包括平板顯示器裝置。
  18. 如申請專利範圍第14項之系統,其中,產生用於製造該資產之程序之一個或多個情況之該應用矩陣,該一個或多個情況包含用於該資產之該有次序步驟組中之步驟、於工具組中之晶圓運作、於工具組中之批量運作、或於該製程內之週期組之至少其中一者。
  19. 如申請專利範圍第3項之系統,其中,用於該經選擇之資料之該參考變數與該影響變數組之間之該關係為數學函數關係。
  20. 如申請專利範圍第19項之系統,其中,該數學函數關係傳輸該參考變數之測量值與該參考變數之預定值之間之差異。
  21. 如申請專利範圍第20項之系統,其中,該數學函數關係計算該參考變數之測量值與該參考變數之預定值之間之差異之變化。
  22. 如申請專利範圍第19項之系統,其中,該經選擇之資料為製造該資產之程序中用於至少一個情況之生產資料。
  23. 如申請專利範圍第19項之系統,其中,該性能評估組件包含分析組件,該分析組件透過統計機械函數組概括測量值和預測值之間之差異之分佈。
  24. 如申請專利範圍第23項之系統,其中,實行者供應的關鍵性能指示器臨限值組係用來決定不正常性能劣化或不正常性能不匹配之至少其中一者。
  25. 如申請專利範圍第24項之系統,其中,決定該不正常性能劣化或該不正常性能不匹配係用於參考工具或者該參考工具之儀器之至少其中一者。
  26. 如申請專利範圍第24項之系統,其中,該分析組件包含正式分析組件,該正式分析組件透過未監督之原理證明演算和該數學函數關係之式子分析而決定該影響變 數組之該等級。
  27. 如申請專利範圍第26項之系統,其中,該分析組件包含數值分析組件,該數值分析組件透過計算用於該影響變數組之該數學函數關係之梯度向量建立該影響變數組之該等級。
  28. 如申請專利範圍第27項之系統,其中,工具組之性能劣化或該第一單元裝備及該第二單元裝備之性能不匹配之至少其中一者,能夠依照該影響變數之等級而被簡述狀況,其中,關聯性簡況自影響變數之該等級產生。
  29. 一種用於建立一個或多個工具之性能劣化或不匹配之方法,該等工具係製造一個或多個資產,該方法包括:測量參考變數之值,該參考變數關聯於製造至少一個資產的第一工具及製造該至少一個資產的第二工具;測量關聯於該參考變數之影響變數組中用於各變數之不同值;根據與製造該至少一個資產的該第一工具和製造該至少一個資產的該第二工具關聯的該參考變數與該影響變數組之間之學習的關係預測用於該參考變數之參考變數值;以及從該影響變數組去除影響變數,以回應決定與該影響變數關聯的加權滿足臨限值及與該影響變數關聯的先前加權不滿足該臨限值的該函數。
  30. 如申請專利範圍第29項之方法,復包括報告至少一個工具的性能劣化或製造該至少一個資產的該第一工具 和製造該至少一個資產的該第二工具之間的性能不匹配的至少其中一者,以回應該參考變數的該預測值與該參考變數的該測量值之間的差異係高於性能度量臨限值,其中,該報告包括產生與該性能劣化或該性能不匹配關聯並且包括依照等級準則次序排列的該影響變數組的簡況;以及維持用於該影響變數組和學習函數的該簡況,以回應用於該參考數的該預測值與用於該參考變數的該測量值之間的差異係高於性能度量臨限值。
  31. 如申請專利範圍第30項之方法,其中,報告該至少一個工具的該性能劣化或該性能不匹配之至少其中一者包含傳輸性能資訊至實行者,該性能資訊包括該簡況或該影響變數組之至少其中一者,且該影響變數組依照具有關聯於該性能劣化或該不匹配事件之時間戳記之該等級準則次序排列。
  32. 如申請專利範圍第31項之方法,其中,產生與該性能劣化關聯的該簡況包含實施靈敏度分析,該靈敏度分析至少部分根據用於該參考變數之該參考變數值之影響變數組之衝擊百分比,或者該影響變數組之學習的函數之數值導數評估值之至少其中一者。
  33. 如申請專利範圍第29項之方法,復包括:接收至少部分關聯於該一個或多個資產之製造之資料組;選擇用於變數組之參考資料組;以及從該變數組決定該參考變數,並且選擇該影響變數 組。
  34. 如申請專利範圍第33項之方法,其中,該至少一個資產包含半導體裝置,該半導體裝置包括基於電漿放電之平板顯示器(FPD)、基於有機發光二極體(OLED)之FPD、基於液晶顯示器(LCD)之FPD的至少其中一者。
  35. 如申請專利範圍第34項之方法,其中,該資料組包含生產運作資料、合成製造資料、或測試製造資料之至少其中一者。
  36. 如申請專利範圍第35項之方法,復包括格式化該接收資料組,其中,格式化該接收之資料包含產生矩陣表示,用於該測試製造資料或該生產運作資料之至少其中一者。
  37. 如申請專利範圍第36項之方法,其中,該測試製造資料之該矩陣表示於製造該至少一個資產的該第一工具和製造該至少一個資產的該第二工具之操作期間之一個或多個情況下產生。
  38. 如申請專利範圍第36項之方法,其中,生產運作資料之該矩陣表示於製造該至少一個資產的該第一工具和製造該至少一個資產的該第二工具之操作期間之一個或多個情況下產生。
  39. 如申請專利範圍第36項之方法,其中,產生用於該測試製造資料或該生產運作資料之至少其中一者之矩陣表示被產生於一個或多個生產情況,該一個或多個生產情況包含在一個或多個配方中之步驟層級、或在該一個 或多個配方中之連續或分離之複數個步驟之至少其中一者。
  40. 如申請專利範圍第36項之方法,其中,產生用於該測試製造資料或該生產運作資料之至少其中一者之矩陣表示係經由於該資產層級接收之資料被產生。
  41. 如申請專利範圍第33項之方法,其中,選擇用於該變數組的該參考資料組包含選擇部分之該接收之資料或接收來自實行者之輸入之至少其中一者。
  42. 如申請專利範圍第41項之方法,其中,用於該變數組的該參考資料組包含來自製造該至少一個資產的該第一工具和製造該至少一個資產的該第二工具之資料,其中,該資料是以預定的取樣率收集。
  43. 如申請專利範圍第42項之方法,其中,用於該變數組的該參考資料組包含來自在一個或多個配方中生產該至少一個資產之非連續步驟群組之資料。
  44. 如申請專利範圍第43項之方法,其中,用於該變數組的該參考資料組包含平均資料,該平均資料源自於步驟層級、晶圓層級、批量層級、主動矩陣陣列製造、或濕清潔週期之至少其中一者。
  45. 如申請專利範圍第33項之方法,其中,選擇影響變數組至少部分根據普遍選擇、半普遍選擇、基於知識之選擇、經驗上之選擇、或實行者驅使之選擇之至少其中一者。
  46. 如申請專利範圍第45項之方法,復包含更新學習數學 函數,以回應從該影響變數組去除該影響變數。
  47. 一種包含電腦可讀取儲存媒體之電腦程式產品,包括指令組,該指令組在經由電腦執行時,導致該電腦施行下列動作:測量與用於製造資產之第一工具和用於製造該資產的第二工具關聯的參考變數之一組值;測量關聯於該參考變數之影響變數組中各變數之一組值;自動地學習該參考變數與關聯於用於製造該資產的第一工具與用於製造該資產的該第二工具的該影響變數組之間之正式關係;根據該學習之正式關係預測用於該參考變數之一組值;以及從該影響變數組去除影響變數,以回應決定與該影響變數關聯的第一加權係高於臨限值及與該影響變數關聯的第二加權係低於該臨限值。
  48. 如申請專利範圍第47項之電腦程式產品,其中,該動作復包括當該參考變數的預測值與該參考變數的測量值之間的差異高於關鍵性能指示器臨限值時,報告至少一工具的性能劣化或用於製造該資產的該第一工具和用於製造該資產的該第二工具的性能不匹配,其中,該報告包括依照該性能劣化或該性能不匹配相關的等級準則,排列該該影響變數的次序。
  49. 一種裝置,包括: 用於識別變數組和關聯於在生產資產之工具系統中的第一單元裝備及在生產該資產之該工具系統中的第二單元裝備之資料之機構,其中,該變數組包含參考變數和用於該參考變數之影響變數組;用於自主地學習用於該參考變數與關聯於該第一單元裝備和該第二單元裝備的該影響變數組之間之函數關係之機構;以及從該影響變數組去除經選擇之影響變數,以回應決定關聯於該經選擇之影響變數的加權係低於一組值並且關聯於該經選擇之影響變數之先前加權係高於該組值之機構。
  50. 如申請專利範圍第49項之裝置,復包括至少部分根據透過該學習的函數關係所預測之該參考變數之值,用於評估於該工具系統中之該第一單元裝備和該第二單元裝備之性能劣化或性能不匹配之至少其中一者之機構,其中,用於評估之該機構包含用於產生關聯於該性能劣化或該性能不匹配之關聯性簡況,該關聯性簡況包含依照等級準則次序排列的該影響變數組。
TW099103467A 2009-03-31 2010-02-05 用以偵測工具性能劣化及不匹配之方法及系統以及相關電腦程式產品及裝置 TWI524189B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/416,018 US8725667B2 (en) 2008-03-08 2009-03-31 Method and system for detection of tool performance degradation and mismatch

Publications (2)

Publication Number Publication Date
TW201104452A TW201104452A (en) 2011-02-01
TWI524189B true TWI524189B (zh) 2016-03-01

Family

ID=42828622

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099103467A TWI524189B (zh) 2009-03-31 2010-02-05 用以偵測工具性能劣化及不匹配之方法及系統以及相關電腦程式產品及裝置

Country Status (6)

Country Link
US (1) US8725667B2 (zh)
JP (1) JP5544413B2 (zh)
KR (1) KR101609017B1 (zh)
CN (1) CN102449623B (zh)
TW (1) TWI524189B (zh)
WO (1) WO2010114641A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI608365B (zh) * 2016-09-23 2017-12-11 財團法人工業技術研究院 擾動源追溯方法

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8423224B1 (en) * 2007-05-01 2013-04-16 Raytheon Company Methods and apparatus for controlling deployment of systems
US8725667B2 (en) 2008-03-08 2014-05-13 Tokyo Electron Limited Method and system for detection of tool performance degradation and mismatch
US8078552B2 (en) * 2008-03-08 2011-12-13 Tokyo Electron Limited Autonomous adaptive system and method for improving semiconductor manufacturing quality
US8190543B2 (en) * 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US8396582B2 (en) * 2008-03-08 2013-03-12 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US8219437B2 (en) 2008-07-10 2012-07-10 Palo Alto Research Center Incorporated Methods and systems for constructing production plans
US8165705B2 (en) * 2008-07-10 2012-04-24 Palo Alto Research Center Incorporated Methods and systems for continuously estimating persistent and intermittent failure probabilities for production resources
US8266092B2 (en) 2008-07-10 2012-09-11 Palo Alto Research Center Incorporated Methods and systems for target value path identification
US8145334B2 (en) * 2008-07-10 2012-03-27 Palo Alto Research Center Incorporated Methods and systems for active diagnosis through logic-based planning
US9058707B2 (en) 2009-02-17 2015-06-16 Ronald C. Benson System and method for managing and maintaining abrasive blasting machines
US8359110B2 (en) * 2009-03-23 2013-01-22 Kuhn Lukas D Methods and systems for fault diagnosis in observation rich systems
US9893958B2 (en) * 2009-12-23 2018-02-13 At&T Intellectual Property I, L.P. Method and system for service assurance and capacity management using post dial delays
US8280671B2 (en) * 2010-01-29 2012-10-02 Microsoft Corporation Compressive data gathering for large-scale wireless sensor networks
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
US8723869B2 (en) * 2011-03-21 2014-05-13 Tokyo Electron Limited Biologically based chamber matching
KR101216517B1 (ko) * 2011-04-01 2012-12-31 국방과학연구소 최적의 네트워크 시뮬레이션 환경 구축 방법 및 그 시스템
MY186462A (en) * 2011-06-06 2021-07-22 Paramit Corp Training ensurance method and system for computer directed assembly and manufacturing
US8762301B1 (en) * 2011-10-12 2014-06-24 Metso Automation Usa Inc. Automated determination of root cause
US10047439B2 (en) * 2011-12-08 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tool condition monitoring based on a simulated inline measurement
JP5985837B2 (ja) * 2012-03-02 2016-09-06 浜松ホトニクス株式会社 X線照射源及びx線照射装置
US20130282333A1 (en) * 2012-04-23 2013-10-24 Abb Technology Ag Service port explorer
US8849440B2 (en) * 2012-05-31 2014-09-30 International Business Machines Corporation Manufacturing control based on a final design structure incorporating both layout and client-specific manufacturing information
US20140052425A1 (en) * 2012-08-16 2014-02-20 Sankar Selvaraj Method and apparatus for evaluating a model of an industrial plant process
US9298456B2 (en) 2012-08-21 2016-03-29 Apple Inc. Mechanism for performing speculative predicated instructions
US9508042B2 (en) * 2012-11-05 2016-11-29 National Cheng Kung University Method for predicting machining quality of machine tool
US9746849B2 (en) 2012-11-09 2017-08-29 Tokyo Electron Limited Method and apparatus for autonomous tool parameter impact identification system for semiconductor manufacturing
US9265458B2 (en) 2012-12-04 2016-02-23 Sync-Think, Inc. Application of smooth pursuit cognitive testing paradigms to clinical drug development
US9405289B2 (en) * 2012-12-06 2016-08-02 Tokyo Electron Limited Method and apparatus for autonomous identification of particle contamination due to isolated process events and systematic trends
CN103092074B (zh) * 2012-12-30 2015-09-09 重庆邮电大学 半导体先进过程控制的参数优化控制方法
EP2770387A1 (en) * 2013-02-21 2014-08-27 ABB Technology AG Optimal operation of a gearless mill
US9380976B2 (en) 2013-03-11 2016-07-05 Sync-Think, Inc. Optical neuroinformatics
US9817663B2 (en) 2013-03-19 2017-11-14 Apple Inc. Enhanced Macroscalar predicate operations
US9348589B2 (en) 2013-03-19 2016-05-24 Apple Inc. Enhanced predicate registers having predicates corresponding to element widths
US9857271B2 (en) 2013-10-10 2018-01-02 Baker Hughes, A Ge Company, Llc Life-time management of downhole tools and components
US9396443B2 (en) * 2013-12-05 2016-07-19 Tokyo Electron Limited System and method for learning and/or optimizing manufacturing processes
US10290088B2 (en) * 2014-02-14 2019-05-14 Kla-Tencor Corporation Wafer and lot based hierarchical method combining customized metrics with a global classification methodology to monitor process tool condition at extremely high throughput
JP6181589B2 (ja) * 2014-03-31 2017-08-16 株式会社Ihi 計測値解析装置及び計測値解析方法
US10789367B2 (en) * 2014-04-18 2020-09-29 Micro Focus Llc Pre-cognitive security information and event management
US10722065B2 (en) * 2014-07-24 2020-07-28 Adagio Teas, Inc. Apparatus and method of multi-course infusion for brewing tea and other beverages
US20180018797A1 (en) * 2015-02-18 2018-01-18 Nec Corporation Impact visualization system, method, and program
US11042128B2 (en) * 2015-03-18 2021-06-22 Accenture Global Services Limited Method and system for predicting equipment failure
US10542961B2 (en) 2015-06-15 2020-01-28 The Research Foundation For The State University Of New York System and method for infrasonic cardiac monitoring
EP3144763A1 (de) * 2015-09-15 2017-03-22 Siemens Aktiengesellschaft System und verfahren zur steuerung und/oder analytik eines industriellen prozesses mittels einer anlagenexternen recheneinheit und einem revisionsmodul für den systembetreiber
JP6055058B1 (ja) * 2015-09-30 2016-12-27 ファナック株式会社 機械学習器及び組み立て・試験器を備えた生産設備
KR102525873B1 (ko) * 2015-10-16 2023-04-27 삼성전자주식회사 반도체 공정 시뮬레이션 장치 및 그것의 시뮬레이션 방법
CN106685750B (zh) * 2015-11-11 2019-12-24 华为技术有限公司 系统异常检测方法和装置
CN106991095B (zh) * 2016-01-21 2021-09-28 阿里巴巴集团控股有限公司 机器异常的处理方法、学习速率的调整方法及装置
JP6645993B2 (ja) * 2016-03-29 2020-02-14 株式会社Kokusai Electric 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法
US10411946B2 (en) * 2016-06-14 2019-09-10 TUPL, Inc. Fixed line resource management
JP6698446B2 (ja) * 2016-07-05 2020-05-27 東京エレクトロン株式会社 基板液処理装置、基板液処理方法および記憶媒体
JP2018005714A (ja) * 2016-07-06 2018-01-11 三菱電機ビルテクノサービス株式会社 異常データの重要度判定装置及び異常データの重要度判定方法
WO2018009643A1 (en) * 2016-07-07 2018-01-11 Aspen Technology, Inc. Computer systems and methods for performing root cause analysis and building a predictive model for rare event occurrences in plant-wide operations
TWI632441B (zh) * 2017-01-20 2018-08-11 財團法人工業技術研究院 機台的預診斷方法及預診斷裝置
JP6721121B2 (ja) * 2017-02-10 2020-07-08 日本電気株式会社 制御カスタマイズシステム、制御カスタマイズ方法および制御カスタマイズプログラム
JP6526081B2 (ja) * 2017-02-28 2019-06-05 ファナック株式会社 在庫管理および予防保全を行う機能を有する在庫管理システム
EP3391939B1 (en) * 2017-04-19 2020-01-15 Ion Beam Applications S.A. System and method for detecting hardware degradation in a radiation therapy system
CN107220713B (zh) * 2017-06-06 2020-10-09 上海理工大学 基于健康状态的机器人手臂实时保养方法
WO2019040479A1 (en) 2017-08-21 2019-02-28 Walmart Apollo, Llc IMPROVING DATA COMPARISON EFFECTIVENESS FOR DATA PROCESSING, MONITORING AND ALERTING IN REAL-TIME
US20190066010A1 (en) * 2017-08-24 2019-02-28 United States Of America As Represented By The Secretary Of The Army Predictive model for optimizing facility usage
US10810595B2 (en) 2017-09-13 2020-10-20 Walmart Apollo, Llc Systems and methods for real-time data processing, monitoring, and alerting
JP6616375B2 (ja) * 2017-10-23 2019-12-04 ファナック株式会社 状態判定装置
US11080359B2 (en) * 2017-12-21 2021-08-03 International Business Machines Corporation Stable data-driven discovery of a symbolic expression
US10217654B1 (en) * 2018-02-12 2019-02-26 Varian Semiconductor Equipment Associates, Inc. Embedded features for interlocks using additive manufacturing
DE102018105322A1 (de) 2018-03-08 2019-09-12 Carl Zeiss Smt Gmbh Verfahren zum Betreiben einer industriellen Maschine
EP3765962A1 (de) * 2018-03-12 2021-01-20 Celonis SE Verfahren zur behebung von prozessanomalien
JP7137943B2 (ja) 2018-03-20 2022-09-15 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
US11550841B2 (en) * 2018-05-31 2023-01-10 Microsoft Technology Licensing, Llc Distributed computing system with a synthetic data as a service scene assembly engine
CN110609699B (zh) * 2018-06-15 2023-10-03 伊姆西Ip控股有限责任公司 维护存储系统的组件的方法、电子设备和计算机可读介质
US11573879B2 (en) 2018-10-22 2023-02-07 General Electric Company Active asset monitoring
JP2022512775A (ja) * 2018-10-23 2022-02-07 アムジエン・インコーポレーテツド リアルタイム予測のためのラマン分光モデルの自動校正及び自動保守
US20220009049A1 (en) * 2018-11-06 2022-01-13 Rochester Institute Of Technology Calibration-Based Tool Condition Monitoring System for Repetitive Machining Operations
US11213946B1 (en) * 2018-12-27 2022-01-04 X Development Llc Mitigating reality gap through optimization of simulated hardware parameter(s) of simulated robot
KR102138122B1 (ko) * 2019-01-09 2020-07-27 에스케이실트론 주식회사 웨이퍼 카세트의 포장 장치
TWI676088B (zh) * 2019-01-11 2019-11-01 國立高雄科技大學 動力輔助裝置之偵測失效備援系統
US11133204B2 (en) * 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
TW202044056A (zh) * 2019-02-14 2020-12-01 美商蘭姆研究公司 用以支援基板製造系統之資料分析及機器學習的資料擷取與轉換
CN112148391A (zh) * 2019-06-26 2020-12-29 北京百度网讯科技有限公司 生成基于芯片的计算功能的方法、装置、设备和存储介质
CN110303380B (zh) * 2019-07-05 2021-04-16 重庆邮电大学 一种数控机床刀具剩余寿命预测方法
TW202105100A (zh) * 2019-07-16 2021-02-01 神通資訊科技股份有限公司 自動加工機之異常偵測系統及方法
JP7173937B2 (ja) 2019-08-08 2022-11-16 株式会社日立ハイテク 荷電粒子線装置
JP7159128B2 (ja) * 2019-08-08 2022-10-24 株式会社日立ハイテク 荷電粒子線装置
JP7189103B2 (ja) * 2019-08-30 2022-12-13 株式会社日立ハイテク 荷電粒子線装置
CN112819190B (zh) * 2019-11-15 2024-01-26 上海杰之能软件科技有限公司 设备性能的预测方法及装置、存储介质、终端
US11310141B2 (en) 2019-12-11 2022-04-19 Cisco Technology, Inc. Anomaly detection of model performance in an MLOps platform
US11797836B1 (en) * 2019-12-23 2023-10-24 Waymo Llc Sensor-integrated neural network
CN111581730A (zh) * 2020-05-18 2020-08-25 江铃汽车股份有限公司 一种基于Hyperstudy集成平台的汽车车架多学科优化方法
US11605038B1 (en) 2020-05-18 2023-03-14 Vignet Incorporated Selecting digital health technology to achieve data collection compliance in clinical trials
US11461216B1 (en) 2020-05-18 2022-10-04 Vignet Incorporated Monitoring and improving data collection using digital health technology
CN113686026B (zh) * 2020-05-19 2022-07-01 山东大学 一种基于机器学习的环路热管太阳能压力模式识别方法
CN111724126B (zh) * 2020-06-12 2024-03-12 北京科技大学顺德研究生院 一种工艺流程质量异常精准追溯方法及系统
US11537292B2 (en) * 2020-06-24 2022-12-27 Western Digital Technologies, Inc. Methods and apparatus for enhancing uber rate for storage devices
WO2022018466A1 (en) * 2020-07-22 2022-01-27 Citrix Systems, Inc. Determining server utilization using upper bound values
US11321211B1 (en) * 2020-10-25 2022-05-03 Motional Ad Llc Metric back-propagation for subsystem performance evaluation
CN114766023B (zh) * 2020-10-30 2023-05-16 京东方科技集团股份有限公司 数据处理方法、装置及系统、电子设备
CN113753344A (zh) * 2021-09-01 2021-12-07 普林斯顿科技发展(北京)有限公司 一种装箱机用自动化控制软件
US20230341841A1 (en) * 2022-04-24 2023-10-26 Applied Materials, Inc. Bayesian decomposition for mismatched performances in semiconductor equipment
US11928128B2 (en) * 2022-05-12 2024-03-12 Truist Bank Construction of a meta-database from autonomously scanned disparate and heterogeneous sources
WO2024059729A1 (en) * 2022-09-15 2024-03-21 Onto Innovation Inc. Parameter aggregation and normalization for manufacturing tools
CN116147833A (zh) * 2023-04-19 2023-05-23 苏州森斯缔夫传感科技有限公司 一种基于数据挖掘的压力传感器性能分析方法及系统

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2007200A (en) * 1931-11-25 1935-07-09 Semet Solvay Eng Corp Water gas carburetor
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
JPH04112204A (ja) 1990-09-03 1992-04-14 Agency Of Ind Science & Technol 制御知識生成装置
JP3115082B2 (ja) 1992-03-10 2000-12-04 株式会社東芝 熱源機器の運転制御装置
JPH05265512A (ja) * 1992-03-17 1993-10-15 Hitachi Ltd 学習型制御装置およびファジィ推論装置
US5644686A (en) 1994-04-29 1997-07-01 International Business Machines Corporation Expert system and method employing hierarchical knowledge base, and interactive multimedia/hypermedia applications
JPH08272761A (ja) * 1995-03-28 1996-10-18 Nippon Telegr & Teleph Corp <Ntt> パラメータチューニング法
US5867799A (en) 1996-04-04 1999-02-02 Lang; Andrew K. Information system and method for filtering a massive flow of information entities to meet user information classification needs
JP3377163B2 (ja) * 1997-03-06 2003-02-17 株式会社日立製作所 自律的制御システム
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6122397A (en) 1997-07-03 2000-09-19 Tri Path Imaging, Inc. Method and apparatus for maskless semiconductor and liquid crystal display inspection
JPH11110367A (ja) * 1997-09-30 1999-04-23 Ffc:Kk プラントの状態総合評価における評価構造・パラメータ自動調整装置
JP3612973B2 (ja) * 1997-12-22 2005-01-26 松下電工株式会社 成形性解析方法
JP3325833B2 (ja) 1998-05-20 2002-09-17 東京エレクトロン株式会社 熱処理装置
US8938688B2 (en) * 1998-12-04 2015-01-20 Nuance Communications, Inc. Contextual prediction of user words and user actions
US6678572B1 (en) 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6931644B2 (en) 2000-12-21 2005-08-16 International Business Machines Corporation Hierarchical connected graph model for implementation of event management design
US7233933B2 (en) * 2001-06-28 2007-06-19 Microsoft Corporation Methods and architecture for cross-device activity monitoring, reasoning, and visualization for providing status and forecasts of a users' presence and availability
US6965895B2 (en) * 2001-07-16 2005-11-15 Applied Materials, Inc. Method and apparatus for analyzing manufacturing data
US7218980B1 (en) 2001-07-23 2007-05-15 Esilicon Corporation Prediction based optimization of a semiconductor supply chain using an adaptive real time work-in-progress tracking system
AU2002356946A1 (en) * 2001-11-16 2003-06-10 Joseph Chen Pausible neural network with supervised and unsupervised cluster analysis
US7133804B2 (en) 2002-02-22 2006-11-07 First Data Corporatino Maintenance request systems and methods
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
GB0216858D0 (en) * 2002-07-19 2002-08-28 Bae Systems Plc Fault diagnosis system
WO2004019472A1 (ja) 2002-08-22 2004-03-04 Sanken Electric Co., Ltd. 直流変換装置
US7194445B2 (en) 2002-09-20 2007-03-20 Lenovo (Singapore) Pte. Ltd. Adaptive problem determination and recovery in a computer system
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
IE20030437A1 (en) 2003-06-11 2004-12-15 Scient Systems Res Ltd A method for process control of semiconductor manufacturing equipment
MY138544A (en) * 2003-06-26 2009-06-30 Neuramatix Sdn Bhd Neural networks with learning and expression capability
US20050114829A1 (en) 2003-10-30 2005-05-26 Microsoft Corporation Facilitating the process of designing and developing a project
US6876894B1 (en) 2003-11-05 2005-04-05 Taiwan Semiconductor Maufacturing Company, Ltd. Forecast test-out of probed fabrication by using dispatching simulation method
TWI267012B (en) * 2004-06-03 2006-11-21 Univ Nat Cheng Kung Quality prognostics system and method for manufacturing processes
US7451011B2 (en) 2004-08-27 2008-11-11 Tokyo Electron Limited Process control using physical modules and virtual modules
US7212878B2 (en) 2004-08-27 2007-05-01 Tokyo Electron Limited Wafer-to-wafer control using virtual modules
US7177714B2 (en) 2004-09-28 2007-02-13 Siemens Technology-To-Business Center, Llc Method and apparatus for determining and representing continuous resource loading profiles and overload probability functions for complex discrete manufacturing
GB0423110D0 (en) 2004-10-18 2004-11-17 Manthatron Ip Ltd Acting on a subject system
US20060129257A1 (en) * 2004-12-13 2006-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Novel method and apparatus for integrating fault detection and real-time virtual metrology in an advanced process control framework
JP4525477B2 (ja) 2005-02-23 2010-08-18 ソニー株式会社 学習制御装置および学習制御方法、並びに、プログラム
JP2007018490A (ja) 2005-02-23 2007-01-25 Sony Corp 行動制御装置および行動制御方法、並びに、プログラム
JP4900642B2 (ja) 2005-02-23 2012-03-21 ソニー株式会社 学習制御装置、学習制御方法、およびプログラム
US7127304B1 (en) * 2005-05-18 2006-10-24 Infineon Technologies Richmond, Lp System and method to predict the state of a process controller in a semiconductor manufacturing facility
CN100386702C (zh) 2005-06-10 2008-05-07 同济大学 基于信息素的用于半导体生产线的动态调度方法
US7937264B2 (en) 2005-06-30 2011-05-03 Microsoft Corporation Leveraging unlabeled data with a probabilistic graphical model
US7359759B2 (en) 2005-10-31 2008-04-15 Taiwan Semiconductor Manufacturing Company Method and system for virtual metrology in semiconductor manufacturing
US7571019B2 (en) 2005-12-30 2009-08-04 Intel Corporation Integrated configuration, flow and execution system for semiconductor device experimental flows and production flows
US7454312B2 (en) * 2006-03-15 2008-11-18 Applied Materials, Inc. Tool health information monitoring and tool performance analysis in semiconductor processing
US7596718B2 (en) * 2006-05-07 2009-09-29 Applied Materials, Inc. Ranged fault signatures for fault diagnosis
TWI315054B (en) 2006-05-10 2009-09-21 Nat Cheng Kung Universit Method for evaluating reliance level of a virtual metrology system in product manufacturing
US20070288419A1 (en) 2006-06-07 2007-12-13 Motorola, Inc. Method and apparatus for augmenting data and actions with semantic information to facilitate the autonomic operations of components and systems
US7522968B2 (en) 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
JP2008158748A (ja) 2006-12-22 2008-07-10 Toshiba Corp 変数選択装置、方法およびプログラム
US7373216B1 (en) 2007-03-30 2008-05-13 Tokyo Electron Limited Method and apparatus for verifying a site-dependent wafer
US7531368B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
US7596423B2 (en) 2007-03-30 2009-09-29 Tokyo Electron Limited Method and apparatus for verifying a site-dependent procedure
US7974728B2 (en) * 2007-05-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. System for extraction of key process parameters from fault detection classification to enable wafer prediction
US8010321B2 (en) * 2007-05-04 2011-08-30 Applied Materials, Inc. Metrics independent and recipe independent fault classes
US7702411B2 (en) 2007-05-31 2010-04-20 International Business Machines Corporation Integration of job shop scheduling with discreet event simulation for manufacturing facilities
US20090222123A1 (en) 2007-11-07 2009-09-03 Optessa, Inc. Method and system for scheduling a set of events in real time
US8396582B2 (en) 2008-03-08 2013-03-12 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US8725667B2 (en) 2008-03-08 2014-05-13 Tokyo Electron Limited Method and system for detection of tool performance degradation and mismatch
DE102008020379A1 (de) 2008-04-23 2009-10-29 Siemens Aktiengesellschaft Verfahren zur rechnergestützten Steuerung und/oder Regelung eines technischen Systems

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI608365B (zh) * 2016-09-23 2017-12-11 財團法人工業技術研究院 擾動源追溯方法

Also Published As

Publication number Publication date
US20090240366A1 (en) 2009-09-24
CN102449623B (zh) 2015-07-01
JP2012522307A (ja) 2012-09-20
WO2010114641A1 (en) 2010-10-07
JP5544413B2 (ja) 2014-07-09
KR20110133497A (ko) 2011-12-12
CN102449623A (zh) 2012-05-09
KR101609017B1 (ko) 2016-04-04
TW201104452A (en) 2011-02-01
US8725667B2 (en) 2014-05-13

Similar Documents

Publication Publication Date Title
TWI524189B (zh) 用以偵測工具性能劣化及不匹配之方法及系統以及相關電腦程式產品及裝置
US9424528B2 (en) Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
KR101546556B1 (ko) 자율적인 적응적 반도체 제조
TWI794451B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
KR101611628B1 (ko) 자율적인 생물학 기반 학습 툴
JP7402399B2 (ja) 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法