TWI280620B - System, method and apparatus for self-cleaning dry etch - Google Patents

System, method and apparatus for self-cleaning dry etch Download PDF

Info

Publication number
TWI280620B
TWI280620B TW94103252A TW94103252A TWI280620B TW I280620 B TWI280620 B TW I280620B TW 94103252 A TW94103252 A TW 94103252A TW 94103252 A TW94103252 A TW 94103252A TW I280620 B TWI280620 B TW I280620B
Authority
TW
Taiwan
Prior art keywords
processing chamber
species
substrate
cleaning
volatile
Prior art date
Application number
TW94103252A
Other languages
Chinese (zh)
Other versions
TW200536013A (en
Inventor
Andrew D Bailey Iii
Shrikant P Lohokare
Arthur M Howald
Yun-Sang Kim
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/390,117 external-priority patent/US6939796B2/en
Priority claimed from US10/390,520 external-priority patent/US6821899B2/en
Priority claimed from US10/744,355 external-priority patent/US7009281B2/en
Priority claimed from US10/802,460 external-priority patent/US7140374B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200536013A publication Critical patent/TW200536013A/en
Application granted granted Critical
Publication of TWI280620B publication Critical patent/TWI280620B/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method for cleaning a processing chamber that includes heating an inner surface of the processing chamber to a first temperature. The first temperature can be sufficient to cause a first species to become volatile. The first species can be one of several species deposited on the inner surface. A cleaning chemistry is injected into the processing chamber. The cleaning chemistry can be reactive with a second one of the species to convert the second species to the first species. The volatilized first species can also be output from the processing chamber. A system for cleaning the process chamber is also described.

Description

1280620 九、發明說明: 【發明所屬之技術領域】 本發明一般係關於半導體 導體基板以及清職刻處理室所用之ti與=關於乾式爛半 【先前技術】 之將路裝置(以半導體基板與晶圓之型式存在) ===層電電 施加至電軸室之-或ί個電 定ί Ϊ f田化學劑)。電漿侧室⑽之壓力亦被控 中之處理氣體會被活化而建立η:,,處理室 期望之半導體晶圓之選擇耻,電漿係用以執行所 細ιί ΐ些f知技術之f漿細製程中會產生低揮發性副產物。 巧物增帥福哪)在處理錢面上。最後,副產物增生二 居度i後,增生之物開始從處理錢面「剝落」了 ,此變成顯著賴粒來源。這些微粒會污染處理室巾正被之 基板。 、導電副產物之沈積物亦可阻礙電漿之運作。舉例而言,導電 沈積物可旎致使電漿減弱甚至消失。導電沈積物亦可改變電漿密 度,其可大幅地影響一電感耦合電漿室中之製程。一種非導電^ 積物可改變一電容耦合電漿室中之電極區域條件。這些盥豆他= 果可衝擊蝕刻多層薄膜堆疊之功效。 〃 〃 吾人認定電漿蝕刻室之内部表面是暴露至電漿,這些處理室 兩被没什成允許使用簡單内襯零件(lining part)(例如圓盤、環狀物 5 1280620 2柱狀物)之。m為這些内鮮件係設計朗轉 到錢。由於此種暴露,源中並受 增生層__,因此需要更 所需要之實際成本及損失的生麟間兩者 更換 理及/或更換成本會變得很昂貴。者上沾内襯零件之清 ^外,處理室亦必須轉清理,喊少微粒污 過復盍内表面之副產物之可變即_合所導致條、二 化。經常清理之絲減讀職可於_ 上的雙 進而 減少處理室之基板產祐 ^ ΐ於上述說明,吾人需要—種用於降低處理室清理需长之牵 統與方法,藉此增加處理室可利用於侧製程之時。而求之糸 【發明内容】 /廣義來說,本發明藉由提供―種用喊少處理 mr”足這些需求。吾人應該明__多方 本^明,匕含以製程、設備、系統、電腦可讀媒體或裝置之^ 式。炫將本發明之數個發明實施例說明於下。 〆 理室以、ί理—處理室之方法。此方法包含將處 至 内表面加熱至一弟一溫度。第一溫度足以使一望一版插 揮發、’第了物種可以是沈積仙表面上之數個物種其巾之一。一 化學劑被注入至處理室中。清洗化學劑可以^這些物種之 一種反應’以將該第二物鋪換。_性的第 一物種亦可從處理室輸出。 皁毛性的弟 處理至亦可包含—待處理基板。基板之表面亦可於★ 被ί洗Ϊ時間相同的時間被侧。钱刻基板之i面可/包 3蝕刻在「早一處理室中之基板上之一多層薄膜堆疊。 匕 此方法亦可包含加熱基板。基板可被—麵所 被加熱。基板實質上可被加熱至第—溫度。—偏壓亦可被施^至 6 1280620 基板。 彳 =處理室輸出揮發性的第一物種可包含令在溫度低於第一溫 度之弟=表面上之揮發性第一物種之至少一部分凝結。 一稀將ΐ洗化學劑注入至處理室中可包含減少這些物種之其中第 二2一物種ΐ、為一金屬與鹵素化合物以及一金屬與氧化合物 ^種,而第二物種係為一非揮發性金屬與一含金屬化合物 ^種。此金屬可包含由銅、組、鎢、白金、銥、釕、铪、 釔與鋁所組成之群組之至少一種。 成#係被加熱至約200至約4〇〇<t之範圍。内表面可以由形 辟、Ϊ内之一電裝所加熱。清洗化學劑可包含由一鹵素氣 版 吨^與一含氫氣體所組成之群組之至少一種。 室中重基板之侧方法。此方法包含在一處理 係:t 在處理室之内表面上。第—基板之第—表面 分減+甘鳇播:日守將内表面上之沈積的非揮發性物種之至少一部 發性物種。第-基板係從處理室被移除。 一第將—第—基板裝載至處理室中。第二基板之 沈二面:同;輸=物=咖_ 揮發性物種。積的非揮發性物種之至少—部分減少並轉換成 之多種處:室’;包含上面具有多重沈積物 -内i;r熱”二二:以 夹頭以及連接!夹亦可包含用以支撐一基板之-熱 冷凝==具有低於第-溫度之 一溫度之一冷凝表面。 本發明提供降低或實質上消除處理室清理需求進而增加基板 7 1280620 處:本發明亦提供—種比以前所利用的更簡化的清理赞 洗化學劑。簡化的清洗化學财可減少清理處理室之財^ ㈣上亦可降侧由電漿室之内表面上之沈積物所導 宙“水始又改變所引起的製程變異性。因此,從基板到 變異性不但可大幅地被降低,而且允 度之多層薄膜堆疊之整合處理。舉例而言,牛連 如金屬介騎询刪捕。這項域=;伸= 如顧刻一 MRAJV[堆疊之其他應用上。 本發明之其他實施樣態與伽將從配合經由本發明 例子所描述的附圖之下述詳細說明而更顯清楚。 ”、 【實施方式】 —種改善的處理室清理製程之數個例示實施例。 藝明白本發明亦可在沒有於此所提出之某些或所 有的具體細節之情況下實現。 /、一/斤 王面f,肷平坦化之系統、方法與設備(system,Methodand义 apparatus For Improved Global Dual-Damascene Planarization), 〇 ϊ μ 「專利申請案號1()/39(),52(),申請日為年3 月14曰:名稱為「改良局部雙重镶後平坦化之系統 (ystem, Method and apparatus For Improved Local Dual-Damas'cene Planarization)」。 侧S物=素ΐΐ麵製程可在處理室之内表面上形成含銅 -德ir 1為鋼乾钱刻製程中之典型現象。這些沈積物 取後可脫洛離㈣表面,並導致正被⑽之基板之表面之微粒污 1280620 0 0ltb 室可利用__程之污染。經常清理之需求減少處理 理掉。各種物沈積亦可能極難以從處理室之内表面清 上:4ϊ 2=2 -士,盖阈皇41二一处化予训有很多是很複雜且昂貴的。舉例而 :i處’名稱為「銅侧製程後之半導體裝 ^ Prolsit Cl! ^(Meth〇d 〇f Clea^g a Semiconductor Device ^ After a㈣沉触加㈣」綱 _ ,,,,,/、鼠_2,4·戊二酮之處理室清理方法。 移除於銅1 虫刻製程與一清理製程,該清理製程可用以 声Π貝i 刻製程施加域板上時即已沈積於處理室内 ^面^銅物種。因此,實質上消除了 铜-tfi物種在某—揮發溫度之上是揮發性的。每個不同的 執^〜ΐΐ有各自的揮發溫度。於適合之揮發溫度或之上加 種 =I虫刻製程(例如,自一基板侧銅或其他材料)。於此侧製 二^刻副產物(例如’銅—鹵素物係從基板釋出。此製程亦 清理操作(例如’在一基板正在處理室中接受處理或沒有 妾文處理的情況下減少及/或移除來自處理室之内表面之沈積 —此製程可使用一種鹵素蝕刻化學劑,其係與亦可被輸入至處 理至之含氫化學物混合。舉例而言,一種含氯钱刻化學劑(例如, 9 12806201280620 IX. Description of the Invention: [Technical Field] The present invention generally relates to a semiconductor conductor substrate and a ti The type of the circle exists) === The layer of electricity is applied to the shaft chamber - or ί 电 Ϊ Ϊ 田 chem chemical agent). The pressure of the plasma side chamber (10) is also controlled to activate the process gas to establish η:, the selection of the desired semiconductor wafer in the processing chamber is shameful, and the plasma is used to perform the fine-grained process. Low volatility by-products are produced in the fine process. The skill is to increase the handsome blessing) in dealing with the money. Finally, after the byproducts proliferated, the hyperplastic substance began to “peel off” from the processing surface, which became a significant source of granules. These particles can contaminate the substrate on which the processing chamber is being treated. Deposits of conductive by-products can also hinder the operation of the plasma. For example, conductive deposits can cause plasma to weaken or even disappear. Conductive deposits can also alter the plasma density, which can greatly affect the process in an inductively coupled plasma chamber. A non-conductive material can change the electrode area conditions in a capacitively coupled plasma chamber. These cowpeas can be used to impact the effectiveness of etching multilayer film stacks. 〃 吾 We have determined that the internal surface of the plasma etch chamber is exposed to the plasma. These chambers are not allowed to use a simple lining part (eg disc, ring 5 1280620 2 pillars) It. m is the design of these fresh parts to the money. Because of this exposure, the source is also subject to a proliferating layer __, so it is expensive to replace and/or replace the cost of both the actual cost and the loss required. In addition to the cleaning of the lining parts, the treatment room must also be cleaned up, shouting less particulates. The variable of the by-products on the inner surface of the retanning is caused by the splicing. Frequently cleaned the silk can reduce the number of readings on the _ and then reduce the substrate of the processing room. ΐ In the above description, we need a kind of method and method for reducing the need for cleaning the processing room, thereby increasing the processing room. Can be used at the side of the process. However, in the broadest sense, the present invention satisfies these needs by providing "small use of shouting less mr". We should __ multi-party, including the process, equipment, systems, computers A method of readable media or device. A number of inventive embodiments of the invention are described below. The method of treating the chamber is to heat the chamber to the inner surface. The first temperature is sufficient to cause a single plate to be volatilized, 'the first species can be one of several species on the surface of the deposited fairy. A chemical agent is injected into the processing chamber. The cleaning chemical can be one of these species. The reaction is carried out to replace the second substance. The first species of the sex can also be exported from the processing chamber. The soapy hair can be processed to include the substrate to be treated. The surface of the substrate can also be washed by ★ The same time is on the side. The surface of the substrate can be etched into one of the multilayer film stacks on the substrate in the early processing chamber. The method can also include heating the substrate. Heated. The substrate can be heated substantially to - Temperature. - Bias can also be applied to the 6 1280620 substrate. 彳 = The first species of process chamber output volatility can include at least a temperature lower than the first temperature = the surface of the volatile first species at least Part of the condensation. Injecting the scrubbing chemical into the processing chamber may include reducing the second species of the species, the metal and the halogen compound, and the metal and oxygen compound, and the second species is a non-volatile metal and a metal-containing compound. The metal may comprise at least one of the group consisting of copper, group, tungsten, platinum, rhodium, ruthenium, osmium, iridium, and aluminum. The inner surface may be heated by a shape or a sheath of the crucible. The cleaning chemical may comprise a group consisting of a halogen gas and a hydrogen-containing gas. At least one of the group. The method of the side of the heavy substrate in the chamber. The method comprises: in a processing system: t on the inner surface of the processing chamber. The first surface of the substrate - the surface is reduced + the sweet potato is broadcast: the inner surface is on the inner surface At least one of the deposited non-volatile species The first substrate is removed from the processing chamber. A first substrate is loaded into the processing chamber. The second substrate has two sides: the same; the input = material = coffee _ volatile species. At least - partially reduced and converted into a variety of species: chamber '; contains multiple deposits on the inside - i; r heat" 22: with the chuck and the connection! The clip can also contain a heat to support a substrate Condensation = = has a condensation surface that is lower than one of the temperatures of the first temperature. The present invention provides for reducing or substantially eliminating process chamber cleaning requirements and thereby increasing the substrate 7 1280620: the present invention also provides a more simplified cleaning lining agent than previously utilized. Simplified cleaning chemistry can reduce the cost of cleaning up the processing chamber. (4) It can also reduce the process variability caused by the sediment on the inner surface of the plasma chamber. Therefore, from the substrate to the substrate The variability can be greatly reduced, and the integrated processing of the multi-layer film stack is allowed. For example, Niu Lianru is a metal-based rider. This field =; stretch = as a memorandum MRAJV [other applications on the stack] Other embodiments of the invention and the gamma will be more apparent from the following detailed description of the accompanying drawings, which are illustrated by the example of the invention.", EMBODIMENT - Several examples of improved process chamber cleaning processes Example. It is understood that the invention may be practiced without some or all of the specific details set forth herein. /, one / kg king face f, 肷 flattened system, method and equipment (system, Methodand meaning for improved Global Dual-Damascene Planarization), 〇ϊ μ "Patent Application No. 1 () / 39 (), 52 (), the application date is March 14th: the name is "Istem, Method and apparatus For Improved Local Dual-Damas'cene Planarization". The side S material = plain surface process can form a copper-containing surface on the inner surface of the processing chamber - Deir 1 is a typical phenomenon in the steel dry etching process. These deposits can be removed from the (iv) surface and cause particulate contamination on the surface of the substrate (10). The 1280620 0 0bb chamber can be contaminated with __. The need to clean up frequently is reduced. Various deposits may also be extremely difficult to clear from the inner surface of the processing chamber: 4 ϊ 2 = 2 - 士, 盖 阈 41 41 41 二 二 41 41 41 41 41 41 41 有 有 有 有 有 有 有 有 有 有 有 有 有 有 有For example: i at the name of "the semiconductor package after the copper side process ^ Prolsit Cl! ^ (Meth〇d 〇f Clea^ga Semiconductor Device ^ After a (four) sinking plus (four)" outline _,,,,, /, rat Method for cleaning the treatment room of _2,4·pentanedione. Removed from the copper 1 insect engraving process and a cleaning process, the cleaning process can be deposited in the processing chamber when the domain plate is applied by the acoustic mussel engraving process ^ Surface copper species. Therefore, the copper-tfi species are virtually eliminated from being volatile above a certain volatilization temperature. Each of the different enthalpy has its own volatilization temperature. Species = I insect engraving process (for example, copper or other materials from a substrate side). Two side by-products are produced on this side (for example, 'copper-halogen is released from the substrate. This process is also cleaned up (eg 'in ' Degrading and/or removing deposition from the inner surface of the processing chamber while a substrate is being processed in the processing chamber or without processing - the process may use a halogen etch chemistry that may also be input to the processing To the hydrogen-containing chemical mixture. For example, one contains Money engraved chemicals (e.g., 91,280,620

Cl2、Ηα、BC13等)可被輸入至處理室;含氯侧化學劑亦可 體或rt之^/生載氣一起被輸入。氫氣或含氳氣體、(例 如’氏、丽3、(¾、Ha、HBr等)亦可與含氯爛化學劑一起被 輸入。舉例而言,總流速大約5至大約5〇〇sccm 被輸入至處理室。於-實施射,氯分預氫分子 於九約1.1之比率,然而,亦可使用較小(例如約i: 2之、Cl2, Ηα, BC13, etc.) can be input to the processing chamber; the chlorine-containing chemical agent can also be input together with the rt or the carrier gas. Hydrogen or helium-containing gas, such as 'Shi, Li 3, (3⁄4, Ha, HBr, etc.) may also be input together with the chlorine-containing chemical. For example, a total flow rate of about 5 to about 5 〇〇 sccm is input. To the processing chamber. In the implementation of the shot, the chlorine pre-hydrogen molecule is at a ratio of about 1.1, however, it can also be used less (for example, about i: 2,

: 或較大(例如約2 ·· 1之比率)之比率。 J ‘ 在操作⑽中,㈣副產物之第-部分(例如Cucl2、Cu 兀素銅)可沈積於處理室之内表面上。在操作115巾, 靜 •=卿產物可例如藉由—洗淨或―毅程序而從處理 舉例而言,一含氯蝕刻化學劑可蝕 °!α2' C或更冋之處理溫度下,Cu3ci3可揮發性秋c ^ 素銅可能轉揮發性。即使錢缺2之溫 400。〇,侧副產物之非揮發第一 ^J下(^=於1 銅)可沈積在處理室之内表面上;侧副(產! 2禮3與元素: or a ratio of a larger (for example, a ratio of about 2 · 1). J ‘In operation (10), the fourth portion of the (IV) by-product (for example, Cucl 2, Cu bismuth copper) may be deposited on the inner surface of the processing chamber. In operation 115, the static product can be treated, for example, by a -washing or "yi" procedure, for example, a chlorine-containing etching chemistry can be etched at a temperature of ?2'C or more, Cu3ci3 Volatile autumn C ^ copper may turn volatile. Even if the money is short of 2, the temperature is 400. 〇, the side by-product non-volatile first ^J (^ = 1 copper) can be deposited on the inner surface of the processing chamber; side vice (production! 2 ritual 3 with elements

Cufl3)係從處理室被輸出。 弟一揮龟。卩分(例如 然而,當CU3Cl3之第二部分冷卻時(例如,各Γ ,處理室之内表面之—冷卻器表 7 ^接觸例如 度下是非揮發性的c i 轉換成在處理溫 時’,層可以形成於處理室程期間形成 =作=中’處理室之内表面係^ 作125中,與鹵素蝕刻化學 慝理/皿度。在刼 内表面上之働j副產物層(例如CuCl2里。處理室之 原成元素銅且,氣盥Ir^應非揮發性氣化銅係還 在操作處理溫度下為揮發性的HC1。 操们35中透過槳反應,以變成可經由在 種’然後這些方法摔作即;至之一個或多個揮發性物 木作即可結束。吾人應理解操作购35並不需 10 1280620 要依所顯示之順序執行。某些操作實質上可同或以所述以外的 順序發生。 圖2係為依據本發明之一實施例之一處理室200之簡化圖。 處理室200可包含一處理用基板2〇2 ; 一基板支座(例如一夾 頭)γ〇4,可支擇该處理用基板,亦可包含一扣環2仍,以更牢固地 支撐並使基板置於夾頭204上。亦設有一進氣口 2〇6,俾能使處理 氣體與其他化學劑可被輸入至處理室2〇〇。多重入口氣體源 208Α-208_Ν可以連接至入口 2〇6。 ’ 一夕重入口氣體源208Α-208-Ν允許每一種氣體以處理室中待 她订^製程可能需要之不同流速、濃度與壓力來混合並化合。舉 氣體源1 2G8A可提供—惰性載氣(例如—種或多種 VI,體或鼠}。入口氣體源2 2_可提供一齒素處理化學劑,而 =氣體源N208-N可提供氫。不同的入口氣體源2〇8A、2_ 各,流速與壓力可受到控制,以提供鹵素處理化學劑 ^風辰度與混合物,其中氫储由載氣而輸送至處理室 一處理至200亦包含一出口 21〇。此出口可以連接至一真空 俾缺處理室取出製闕產物。雜所顯示之處理室200 f早=口 206與單-出口 21G,但是吾人應注 重入口與出口。 ^ ^ ^ u a y :相對應的冷卻器表面212可被設置在接近 ί在出口 210内側。如上所述,冷卻器絲212具有小於 ί之溫度,能收集許多可能沈積在這樣的冷卻器表4上之副ΐ 、,产ί ^可^由主_被動冷卻而被維持於小於i理 卻器細亦可包含一流向改道裝置213,二 上使出口 210與基板202隔離。 局口I5且貝體 電漿214可以利用感應或電容的 -204 1 214 1280620 室200與夾頭204可藉由各個熱源218A、218B、218C與210來 加熱。電漿214及個別熱源218Α、218Β、218C與210亦可組合 使=,以加熱處理室200與夾頭204。熱夾頭亦可將基板202加熱 主處理溫度。 一偏壓源22〇亦可連接至夾頭204。施加至夾頭204且藉以施 加至基板202之一偏壓可增進基板之處理。 圖3Α與3Β顯示依據本發明之一個實施例之發生在處理室 =内之方法操作100之更詳細視圖。圖3Α與3β顯示具有多重 寺,部302之基板202,其中亦可包含一擴散阻障層(例如 4。 滿這一 ^ 之內ΐίΐ=3Α’一鹵素電漿214係形成在處理室内。基板2〇2 内表面310與頂層306係暴露至鹵素電漿214。鹵素電 4 之元素銅及/或氧化銅反應,且銅及域氧化銅314可能 /成於内表面310上。鹵素電漿214與銅3〇6、314 3〇8(^a°cu3ci3) ° r 從處理室被輸出。—層非揮發性的銅·鹵素化合 内表面上時’即可形成非揮發性的銅--辛化合 物312。齒素電漿214與銅3〇6、314 ^ 非揮發性的終鹵素化合物312。 應之生成物亦可形成 現在參考圖3B,將含氫氣體320加至處 解到可與齒素電漿叫形成於處理室中的同至日理 由移除鹵素成分而還原非揮發性的終鹵i二 理溫度下是具__,且可彳續 輸出。退原非揮發性的銅-鹵素化合物312使元|^ 12 l28〇62〇 2〇〇之内表面上之沈積物312、312丨與314實皙卜n士α 之形成的同時(例如在一蝕刻製程期間)被移除。’、一廷沈積物 雖然上述操作係從移除銅的角度(例如 清理製程中)來作說明,但是吾人應理解到可與 ,紐、TaN、Pt、Ir、a卜 Α1〇χ、·χ 與、^^移 及’的材料。又’ _在上述例子中所說 以 可藉由其他物種例如氧與 含氫化學劑中揮發時,沈積物 田沈和物正在 種。因此,〇2、α2:ΗΧ===,成, 用作為還原劑。 ,彳)'^6、^^3亦可被使 於此所說明之形成本發明之一部分之任 器操作,本發明亦關於—種用 ^有用的機 J備可能為所需要的目的而特丁六以=備。此 中之一電腦程式選擇_啟動或配用^:疋=存於電腦 =的=,器可能與依據此處之教導所寫入^腦^各^ 用或者建構較專門的設備來執式起使 本發明亦可且體化A 一 ♦ HK&lt; 而要的刼作可旎更形方便。 電腦可讀媒體係為任二 -電腦系統讀取。電腦可氕置’其接著可被 器陶、唯讀記憶體:存之:包^、網路附加儲存 CD-RW、磁帶从其他絲、CD_R、 媒體亦可分散於網腦^特裝置1腦可讀 一種分散方式來儲存與執=錢上,俾使電腦可讀程式碼可以 吾人將更進一步明白:以 並不需要依所顯示之順序來執行作來表示之指令 可能不是實現本發明所必 k二知作表不之所有處理 亦可在儲存於RAM、ROM或硬切述附圖所說明之製程 A更式磁碟機之任一個或其組合之軟 1280620 體中被貫施。 雖然為了清楚理解故,已相當詳細地說明上述發明 ,明白,下中請專利範圍之範4内可實行某些改變與 因此,本實施例係被視為例示性而非限制性,且本發明並夫&amp;。 325供的細節’而是可在以下申料利範圍之範鳴與ίί 设計内作變化。 h、今戏 【圖式簡單說明】 本發明將藉由下述詳細說明配合附圖而得輕 同的參考數字標示相同的構造元件。 w叫而相 作之=依據本發明之一實施細 ^係為依據本發明之-個實施例之—處理室之簡化視圖。 錄本發明之—個實施例之發生在處理室内 之方法刼作之更詳細視圖。 【主要元件符號說明】 1〇〇〜方法操作流程圖 1〇5〜將製程施加在一處理室中 110〜使蝕刻副產物之一第一部分沈積在處理室之内表面上 115〜輸出其餘的揮發性钱刻副產物 120〜將處理室之内表面加熱至處理溫度 12 5〜減少處理室之内表面上的蝕刻副產物層 130使處理至之内表面上之餘刻副產物之減少層揮發 135〜輪出揮發性物質 200〜處理室 202〜基板 2〇4〜基板支撐部/夾頭 205〜扣環 14 1280620 • 206〜入口/進氣口 . 208A、208B、208-N〜入口 氣體源 210〜出口 212〜冷卻器表面 213〜流體移開裝置 214〜齒素電漿 ‘ 216、218A、218B、218C〜熱源 , 220〜偏壓電壓源 302〜特徵部 304〜擴散阻障層 ♦ 306〜銅層/頂層 308〜銅-鹵素化合物 310〜内表面 312〜非揮發性的銅-鹵素化合物 312’〜氧化銅 314〜氧化銅 316〜揮發性的銅-ii素化合物 320〜含氫氣體Cufl3) is output from the processing chamber. The younger brother waved the turtle. Splitting (for example, when the second part of CU3Cl3 is cooled (for example, each Γ, the inner surface of the processing chamber - the cooler table 7 ^ contact, for example, the non-volatile ci is converted to the processing temperature), the layer It may be formed during the processing chamber to form the inner surface system 125 of the processing chamber, and to etch the chemical chemistry/diffness with the halogen. In the 副j byproduct layer on the inner surface of the crucible (for example, CuCl2). The original element of the processing chamber is copper, and the gas 盥Ir^ should be a non-volatile vaporized copper system that is volatile at the operating temperature. HC3 passes through the paddle reaction to become available through the species' then these The method is completed; one or more of the volatiles can be completed. We understand that the operation of 35 does not require 10 1280620 to be performed in the order shown. Some operations may be substantially the same or described Figure 2 is a simplified diagram of a processing chamber 200 in accordance with an embodiment of the present invention. The processing chamber 200 can include a processing substrate 2〇2; a substrate holder (e.g., a collet) γ〇 4, the substrate for the treatment may be selected, or a buckle 2 may be included The support is more firmly supported and the substrate is placed on the collet 204. An air inlet 2〇6 is also provided, so that the processing gas and other chemicals can be input to the processing chamber 2. The multiple inlet gas source 208Α- 208_Ν can be connected to the inlet 2〇6. 'One-night heavy inlet gas source 208Α-208-Ν allows each gas to be mixed and combined at different flow rates, concentrations and pressures that may be required by the process in the process chamber. Source 1 2G8A can provide an inert carrier gas (eg, one or more VIs, bodies or rats). The inlet gas source 2 2_ can provide a dentate treatment chemical, while the = gas source N208-N can provide hydrogen. The inlet gas sources 2〇8A, 2_, the flow rate and pressure can be controlled to provide a halogen treatment chemical agent and a mixture, wherein the hydrogen storage is transported by the carrier gas to the processing chamber. The process 200 to 200 also includes an outlet 21此. This outlet can be connected to a vacuum defect processing chamber to take out the sputum product. The processing room shown in the miscellaneous shows 200 f early = port 206 and single-outlet 21G, but we should pay attention to the inlet and outlet. ^ ^ ^ uay : phase A corresponding cooler surface 212 can be provided In the vicinity of ί on the inside of the outlet 210. As described above, the cooler wire 212 has a temperature less than ί, and can collect many of the secondary rafts that may be deposited on such a cooler table 4, which can be cooled by the main_passive And being maintained at less than the size of the device can also include a first-class redirecting device 213, and the outlet 210 is isolated from the substrate 202. The port I5 and the shell plasma 214 can utilize the induction or capacitance -204 1 214 1280620 The 200 and collet 204 can be heated by respective heat sources 218A, 218B, 218C and 210. The plasma 214 and the individual heat sources 218, 218, 218, and 210 may also be combined to heat the processing chamber 200 and the chuck 204. The thermal chuck also heats the substrate 202 to the main processing temperature. A bias source 22A can also be coupled to the collet 204. The bias applied to the collet 204 and applied to one of the substrates 202 enhances the processing of the substrate. Figures 3A and 3B show a more detailed view of the method operation 100 occurring within the process chamber = in accordance with one embodiment of the present invention. 3 and 3β show a substrate 202 having a plurality of temples, a portion 302, which may also include a diffusion barrier layer (for example, 4. 满 ΐ ΐ Α Α 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一 一2 〇 2 inner surface 310 and top layer 306 are exposed to halogen plasma 214. Elemental copper and/or copper oxide of halogen electricity 4 reacts, and copper and domain copper oxide 314 may be formed on inner surface 310. Halogen plasma 214 With copper 3〇6, 314 3〇8(^a°cu3ci3) ° r is output from the processing chamber. - When the layer is non-volatile copper·halogenated on the inner surface, it can form a non-volatile copper--sim Compound 312. dentate plasma 214 and copper 3〇6, 314 ^ non-volatile terminal halogen compound 312. The product may also be formed. Referring now to Figure 3B, the hydrogen-containing gas 320 is added to the solution. The plasma is called the same day as it is formed in the processing chamber. The halogen component is removed and the non-volatile final halogen is reduced. The temperature is __, and the output can be continued. The non-volatile copper is degraded. The halogen compound 312 causes the deposits 312, 312, and 314 on the inner surface of the element 12 12 28 〇 62 〇 2 〇〇 At the same time (for example, during an etching process) is removed. ', a court deposit Although the above operation is from the point of view of removing copper (such as in the cleaning process), but we should understand that Materials of TaN, Pt, Ir, a, Α, χ, 、, and ^', and ' _ in the above examples, when volatilized by other species such as oxygen and hydrogen-containing chemicals, Sediment fields are being planted. Therefore, 〇2, α2: ΗΧ ===, cheng, used as a reducing agent, 彳) '^6, ^^3 can also be used to form the invention as described herein. Part of the operation of the device, the present invention is also concerned with the use of a useful machine J may be required for the desired purpose. One of the computer programs to choose _ start or use ^: 疋 = stored in the computer = =, the device may be written according to the teachings here according to the ^ brain ^ ^ ^ or construct a more specialized equipment to stand up The invention can also be used to form A ♦ HK &lt; and the desired operation can be more convenient. Computer readable media is read by any computer-computer system. The computer can be set up to 'can be used by the device, read-only memory: save: package ^, network attached storage CD-RW, tape from other silk, CD_R, media can also be dispersed in the network brain ^ special device 1 brain Read a decentralized way to store and execute the money, so that the computer readable code can be further understood by us: instructions that do not need to be executed in the order shown may not be necessary to implement the invention. All of the processing may be performed in a soft 1280620 body stored in RAM, ROM, or any one or a combination of Process A drives described in the accompanying drawings. Although the invention has been described in considerable detail for the purpose of clarity of understanding, it is understood that the invention may be And husband &amp; The details of 325's can be changed within the scope of the following claims. BRIEF DESCRIPTION OF THE DRAWINGS [Brief Description of the Drawings] The present invention will be described with reference to the accompanying drawings. </ RTI> </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; A more detailed view of the method of the present invention, which occurs within the processing chamber, is illustrated. [Main component symbol description] 1〇〇~ method operation flow chart 1〇5~ The process is applied in a processing chamber 110~ The first portion of one of the etching byproducts is deposited on the inner surface of the processing chamber 115~ Output the remaining volatile The charcoal by-product 120~ heats the inner surface of the processing chamber to a processing temperature of 12 5 - reduces the etching by-product layer 130 on the inner surface of the processing chamber to volatilize the reduced layer of the by-products on the inner surface of the processing chamber. ~ Round out volatile material 200 ~ Process chamber 202 ~ Substrate 2 〇 4 ~ Substrate support / Chuck 205 ~ Retaining ring 14 1280620 • 206 ~ inlet / inlet. 208A, 208B, 208-N ~ inlet gas source 210 ~ Outlet 212 ~ cooler surface 213 ~ fluid removal device 214 ~ dentate plasma '216, 218A, 218B, 218C ~ heat source, 220 ~ bias voltage source 302 ~ feature 304 ~ diffusion barrier layer ♦ 306 ~ copper Layer/top layer 308~copper-halogen compound 310~ inner surface 312~nonvolatile copper-halogen compound 312'~copper oxide 314~copper oxide 316~volatile copper-ii compound 320~hydrogen-containing gas

1515

Claims (1)

I28062fiP件一 ·第94103252號專利申嗜室击士 案中文申請專利範圍修正本(無劃線) - 1 山&amp;士 95年5月24日修訂 十、申請專利範圍: 1· 一種處理室之清理方法,包含以 將該處理室之一内表面加熱至—第一溫产 ^ 以使-第-物種揮發,該第-物種係為制=弟:溫度係足 . 將一清洗化學劑注入至該處理室中,兮、、主 .=^之其中第观應,以舞^轉換 斤從該處理室輸出該揮發性第—物種,包含使至少 之該揮發性第―物種凝結’該第二表面之溫度該 2.如申請專利範圍第1項所述之處理室 處理室中包含-待處理基板。 之/月理方法,其中該 3·如申請專利範圍第2項所述之處理室之清理 實質上與處理室正被清洗的時間相同_ 4. 如申請專利範圍第3項所述之處理室之清理方 刻該基板^該表面之該步驟包含在一單一處理室中蝕刻該美、中蝕 之一多層薄膜堆疊。 X ^ 土板上 5. 如申請專利範圍第2項所述之處理室之清理古 加熱該基板之步驟。 / ,更包含 6·如申請專利範圍第2項所述之處理室之清理方 基板係被一夾頭所支撐。 /、中。亥 7·如申請專利範圍第6項所述之處理室之清理方 夾頭係被加熱。 ',/、中該 8·如申請專利範圍第2項所述之處理室之清理方法, 人 將該基板加熱至實質上該第一溫度之步驟。 / ,更匕&amp; 9·如申請專利範圍第2項所述之處理室之清理方法,更包人 16 1280620 將一偏壓施加至該基板之步驟。 。ία如巾請翻範圍第丨項所述之處理室之清理方法, 入至該處理室中之該步驟包含減少該複數個物種 笛-ILtt請專利範圍第1項所述之處理室之清理方法’其中兮 -=種屬與*素化合物以及—金屬與氧化合物之至; .物之至少:二弟二物種絲—非揮發性金屬與—含金屬之化合 兮全專纖龄11項所叙處理室之清理方法,並中 忿鶴、白金、級令铪^與麵組成之中 笨肉申請專利範圍第1項所述之處理室之清理方法,立㈣ 專内表面係被加熱至約20(rc至约4⑻。c之一範圍 /、中遠 等内彳範圍第1項所述之處理室之清理方法,其中兮 寺内表面係由形成於該處理室内之一電漿所加熱。 、肀μ 15·如申請專利範圍第i項所述之 、^ ^ 清洗化學她含由-鹵錄體、—域其中該 組之至少一種。 ’、3虱軋體所組成之群 16·—種基板之蝕刻方法,包含以下步驟: 在—處理室中蝕刻一第一基板之一第—+ =_並使一非揮發性物種沈 輪出- 中綱該第—基板之第-表面的步驟包含至之内表面上,其 以祐將ΐ處理室之一内表面加熱至一第—溫度,兮第-、® 弟-物種揮發,該第—物種係為該 ==皿度係足 數個非揮發性物種的其中一個; 至之内表面上之複 將—清洗化學劑注入至該處理室中,兮、、主、、生&amp;赶 Ϊ個非揮發性物種之其中第二種反應,以:Ϊ化與該複 弟一物種;以及 V弟—物種轉換成該 從該處理室輸出該揮發性第—物種,包含使至少—部分在一 17 1280620 十之該揮發性第一物種凝結,該第二表面之溫度低於該 使該弟一基板之該第一表面赫yf卜,品q 士 面上之該沈積非揮發性物種 少並將該等内表 以及 V 邛刀轉換成一揮發性物種; _ 從該處理室移除該第一基板。 '以下^如中請專利範圍第16項所述之基板之細方法,更包含 將一弟—基板裝載入該處理室中; 使該第二基板之該第一二=°亥寻内表面上;以及 面上之該沈積非揮發性 18. —種處理室,包含: °卩刀奂換成該揮發性物種。 複數,内絲,其上冑複_沈積物; 以i含—清洗化學劑源連接至該進氣口; 熱源’其能將該複數個内表面之至,纟、 4〇〇t之範_之—第_溫度/由之至v—者加熱至約20(TC至 二;=:巧物種係為 由該處理室中之一第一制 / 甲個’该稷數個沈積物 之至少-者反應,且該出口包含一 =…亥稷數個物種 第二溫度低於該第-溫度度^冷凝表面,該 份凝結於其上。 疋使鱗u切-物種之至少_部 -電i9·。如申物觸㈣彻叙歧室,㈣熱源係為 〇·如申β專利範圍第18項所述之處理室,更包含: 18 1280620 一熱夾頭,用以支撐一基板;以及 .一偏壓源,連接至該夾頭。 十一、圖式:I28062fiP Part I No. 94103252 Patent Application Room Warrior Case Chinese Patent Application Revision (without line) - 1 Mountain &amp; Semester, May 24, 1995 Revision X. Patent Application: 1. A treatment room The cleaning method comprises heating the inner surface of one of the processing chambers to a first temperature production to volatilize the -first species, wherein the first species is a system: the temperature is sufficient. a cleaning chemical is injected into the method In the processing chamber, the first part of the ., 。, 。, 、, 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 The temperature of the surface is as follows: 2. The processing chamber of the processing chamber described in claim 1 contains a substrate to be processed. The method of the present invention, wherein the cleaning of the processing chamber as described in item 2 of the patent application is substantially the same as the cleaning of the processing chamber. 4. The processing chamber as described in claim 3 The step of cleaning the substrate to the surface includes etching the one or more multilayer multilayer film stacks in a single processing chamber. X ^ soil plate 5. The step of cleaning the substrate by cleaning the processing chamber as described in claim 2 of the patent application. / , and further includes 6. The cleaning device of the processing chamber as described in claim 2 of the patent application is supported by a chuck. /,in. Hai 7· The cleaning chamber of the processing chamber described in item 6 of the patent application is heated. ', /, and 8. The cleaning method of the processing chamber described in claim 2, wherein the substrate is heated to substantially the first temperature. / , 匕 匕 &amp; 9 · The cleaning method of the processing chamber described in claim 2, and the method of applying a bias voltage to the substrate. . Ία如巾 Please turn to the cleaning method of the processing chamber described in the above paragraph, the step of entering the processing chamber includes reducing the processing of the plurality of species flute-ILtt, the processing chamber described in the first item of the patent scope 'where 兮-= species and * compounds and - metal and oxygen compounds to;; at least: two brothers two species silk - non-volatile metals and - metal-containing compound 兮 full-length fiber age 11 The cleaning method of the treatment room, and the cleaning method of the treatment room described in the first paragraph of the patent application scope of the medium-sized crane, the platinum, the grade 铪^ and the surface composition, the vertical (4) the inner surface is heated to about 20 The cleaning method of the processing chamber described in the first item of the range of rc to about 4 (8), a range of c, and the inner range of the inner chamber of the present invention, wherein the inner surface of the temple is heated by a plasma formed in the processing chamber. 15. As described in item i of the patent application, ^ ^ cleaning chemistry contains a halogen-containing body, a domain of at least one of the groups. ', 3 虱 rolling body consists of a group of 16 The etching method comprises the following steps: etching a first in the processing chamber One of the substrates - + = _ and a non-volatile species sinking - the step of the first surface of the first substrate is included on the inner surface, which heats the inner surface of one of the processing chambers To the first - temperature, 兮 -, - 弟 - species volatilization, the first - species is the = = the degree of the system is one of several non-volatile species; to the surface of the complex - cleaning chemistry The agent is injected into the processing chamber, and the second reaction of the non-volatile species is carried out by the cockroaches, the main, the raw & the amp; to: the sputum and the genus of the genus; and the V brother-species are converted into the Outputting the volatile first species from the processing chamber, comprising causing at least a portion of the volatile first species to condense at a level of 17 1280620, the temperature of the second surface being lower than the first surface of the substrate The yf 卜, the deposited non-volatile species on the surface of the sputum and the conversion of the internal surface and the V trowel into a volatile species; _ remove the first substrate from the processing chamber. Please refer to the detailed method of the substrate described in Item 16 of the patent, including a younger brother. Loading a substrate into the processing chamber; placing the first substrate on the inner surface of the second substrate; and depositing the non-volatile 18. processing chamber on the surface, including: Forming the volatile species. a plurality, an inner wire, an upper ruthenium deposit; an i-containing-cleaning chemical source connected to the gas inlet; the heat source 'which can bring the plurality of inner surfaces to, 纟, 4 〇〇t's _ _ _ temperature / from v to - heat up to about 20 (TC to two; =: Qiao species is one of the first in the processing room / A 'the number of 稷At least one of the deposits reacts, and the outlet contains a number of species, the second temperature is lower than the first temperature, the condensation surface, and the portion is condensed thereon.疋 Make the scale u cut - at least _ part of the species - electricity i9 ·. For example, the application object touches (4) the ruthless chamber, and (4) the heat source system is the processing chamber described in item 18 of the patent scope of the patent, and further includes: 18 1280620 a thermal chuck for supporting a substrate; The pressure source is connected to the collet. XI. Schema: 1919
TW94103252A 2003-03-14 2005-02-02 System, method and apparatus for self-cleaning dry etch TWI280620B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/390,117 US6939796B2 (en) 2003-03-14 2003-03-14 System, method and apparatus for improved global dual-damascene planarization
US10/390,520 US6821899B2 (en) 2003-03-14 2003-03-14 System, method and apparatus for improved local dual-damascene planarization
US10/744,355 US7009281B2 (en) 2003-03-14 2003-12-22 Small volume process chamber with hot inner surfaces
US10/802,460 US7140374B2 (en) 2003-03-14 2004-03-16 System, method and apparatus for self-cleaning dry etch

Publications (2)

Publication Number Publication Date
TW200536013A TW200536013A (en) 2005-11-01
TWI280620B true TWI280620B (en) 2007-05-01

Family

ID=37068872

Family Applications (1)

Application Number Title Priority Date Filing Date
TW94103252A TWI280620B (en) 2003-03-14 2005-02-02 System, method and apparatus for self-cleaning dry etch

Country Status (1)

Country Link
TW (1) TWI280620B (en)

Also Published As

Publication number Publication date
TW200536013A (en) 2005-11-01

Similar Documents

Publication Publication Date Title
US8211238B2 (en) System, method and apparatus for self-cleaning dry etch
JP2023015253A (en) Semiconductor processing apparatus
KR100363343B1 (en) Cleaning gas and method for cleaning vacuum treatment apparatus by flowing the cleaning gas
JP3990881B2 (en) Semiconductor manufacturing apparatus and cleaning method thereof
US20180350621A1 (en) Dry stripping of boron carbide hardmask
JPS5817615A (en) Method of bonding metal and silicon with low pressure cvd to form metal silicide
US20070105392A1 (en) Batch photoresist dry strip and ash system and process
TW201635874A (en) Method for integrated circuit fabrication
JP5021907B2 (en) Method and apparatus for cleaning nitride semiconductor manufacturing apparatus
KR100959205B1 (en) Cleaning method and method for manufacturing electronic device
JP2002526648A (en) How to clean a process chamber
TW201040303A (en) Silicon film formation apparatus and method for using same
JP2006016288A (en) Method for treating surface of metal carbide substrate for use in semiconductor manufacturing processes and metal-carbide substrate
TWI288785B (en) Methods of depositing materials over substrates, and methods of forming layers over substrates
US20120312234A1 (en) Process gas diffuser assembly for vapor deposition system
TW200807562A (en) Baking method of quartz products, computer program and storage medium
JP4039385B2 (en) Removal method of chemical oxide film
US20070072401A1 (en) Method for purifying a metal carbonyl precursor
TWI280620B (en) System, method and apparatus for self-cleaning dry etch
JP2004343094A5 (en)
TWI299191B (en) A method for supercritical carbon idoxide processing of fluoro-carbon films
TWI709434B (en) Substrate processing apparatus including exhaust gas decomposition module and method of processing exhaust gas
TW201945519A (en) Methods of increasing selectivity in selective etch processes
US7723155B2 (en) Method for the treatment of a surface of a metal-carbide substrate for use in semiconductor manufacturing processes as well as such a metal-carbide substrate
JP2022164060A (en) Etching method and processor

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees