TWI267951B - A device having multiple silicide types and a method for its fabrication - Google Patents

A device having multiple silicide types and a method for its fabrication Download PDF

Info

Publication number
TWI267951B
TWI267951B TW94133976A TW94133976A TWI267951B TW I267951 B TWI267951 B TW I267951B TW 94133976 A TW94133976 A TW 94133976A TW 94133976 A TW94133976 A TW 94133976A TW I267951 B TWI267951 B TW I267951B
Authority
TW
Taiwan
Prior art keywords
metal
active region
layer
semiconductor device
stop layer
Prior art date
Application number
TW94133976A
Other languages
Chinese (zh)
Other versions
TW200620560A (en
Inventor
Chun-Chieh Lin
Wen-Chin Lee
Yee-Chia Yeo
Chenming Hu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/955,349 external-priority patent/US20050156208A1/en
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW200620560A publication Critical patent/TW200620560A/en
Application granted granted Critical
Publication of TWI267951B publication Critical patent/TWI267951B/en

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Provided are a semiconductor device and a method for its fabrication. In one example, the semiconductor device includes an active region formed on a substrate using a first silicide type and another active region formed on the substrate using another silicide type. The two silicide types differ and at least one of the two silicides is an alloy silicide. An etch stop layer may overlay at least one of the silicide regions.

Description

1267951 九、發明說明: 【發明所屬之技術領域】 本么明所揭露係有關於半導體積體電路領域,更特別有關於一種裝 置’其具有多種金屬石夕化物形式及其製造方法。 【先前技術】 ’ 料體積體電路(1C)工業迅速發展,積體電路(IC)材料及設計的 技術使更新世代積體電路⑽產品更為縮小及複雜化,然而如此的進步 籲將〜加裝造積體電路(IC)及製程工藝上的複雜度,因此在製造積體電路 (ic)及製程上需有相同的發展。 «碰電路⑽的發展,當財(例域賴魅產出最小的零 件)縮小時’功能性密度(每-晶片面積上互連裝置的數目)隨之增加, 製程的微縮可增加生產效率及降低成本,然而如此的微縮也產生相當高的 功率消耗,尤其是在制低轉雜裝朗如簡型錄半電m(cm〇s) 時。 ”互補型金氧半電晶體(CMOS)包括兩個不同的電晶體,一個N型金 氧半電晶體(NMOS)及-個P型金氧半電晶體⑽〇s),已知在瞬間轉 換時的互·金氧半電晶體(CMQS)賴肋只會有—個電晶體運作,如 此在電源線(Vdd)及地線(Vss)間可能會產生高阻抗,暫時忽略轉換哭 的狀態J因此互補型金氧半電晶體(CM〇s)内可能包含邏輯間門以消耗; 命電功率(standbypower) 〇 在金氧半場效應電晶體⑽SFET)技術中,可使用自行對準金屬石夕 化物(sdf-aHgned si脑e,salicide)結構,其可以包含一金屬石夕 於多晶树上’其中多晶赠形朗極以及用以提供源極及難的雜 域,以製造金氧半場效應電晶體(聰FET)。金屬石夕化物可用供人 屬線及基底接狐,例如多祕祕、料極及魏朗轉面,將金^1267951 IX. DESCRIPTION OF THE INVENTION: TECHNICAL FIELD The present invention relates to the field of semiconductor integrated circuits, and more particularly to a device having a plurality of metal-lithium forms and a method of manufacturing the same. [Prior Art] 'The material volume circuit (1C) industry has developed rapidly, and the integrated circuit (IC) material and design technology has made the product of the new generation integrated circuit (10) more compact and complicated. However, such progress will be increased. The complexity of the integrated circuit (IC) and process technology is required, so the same development is required in the fabrication of integrated circuits (ic) and processes. «The development of the touch circuit (10), when the financial (the smallest part of the production of parts) shrinks, the functional density (the number of interconnects per wafer area) increases, and the process shrinks to increase production efficiency and This reduces the cost, but such a miniaturization also results in a relatively high power consumption, especially when making low-profile miscellaneous equipment such as semi-electric m (cm〇s). "Complementary MOS semi-transistor (CMOS) consists of two different transistors, an N-type MOS transistor and a P-type MOS transistor (10) 〇s), known to be converted instantaneously. The mutual MOSQS GaN ribs only have a transistor operation, so high impedance may occur between the power line (Vdd) and the ground line (Vss), temporarily ignoring the state of switching cries. Therefore, complementary metal oxide semi-transistors (CM〇s) may contain logic gates for consumption; power generation (standbypower) in the gold-oxygen half-field transistor (10) SFET technology, self-aligned metallurgical compounds can be used ( sdf-aHgned si brain, salicide structure, which may contain a metal stone on a polycrystalline tree, in which polycrystalline forms a rare pole and a source and a difficult source to provide a gold-oxygen half-field effect Crystal (Cong FET). Metallic stone cerium can be used for human genus and base to connect foxes, such as multiple secrets, material poles and Wei Lang turn surface, will be gold ^

0503-A31625TWF 1267951 人石夕化物放在源極及汲極上可以減少金屬接點及下層結構間通道的平面電 阻’然而’軸在錄電晶體形式—般上使用相同的金私化物,不同電 晶體(例如咖s及PM0S)的平面電阻可能隨其所使用的金屬或 化物類型而有所不同。 ' 目此’而要-種方法使用多種形式的金屬石夕化物來製造積體電路㈤) ,裝置’也提供-種裝置具有多種形式的金屬石夕化物,其中每一種形式可以 在製造過程中進行調整’甚且,希望在裝置的金屬石夕化物部分製造後,在 接觸蝕刻過程中能降低金屬矽化物的流失。 【發明内容】 ^發明揭露提供-積體電路,具有_第—主動區及—第二主動區,其 中該第-主動區具有-NM〇s電晶體,其財—第一形式之金屬魏物圖 案及-拉伸應力之接職刻停止層(CESL)結構,以及該第二主動區具有 - PMOS電晶體’其具有一第二形式之金屬石夕化物圖案及一壓縮應力之接 觸餘刻停止層(CESL)結構。金屬石夕化物圖案之第一及第二形式可提供連 接於主動區之較健點電阻,細侧停止層(CESL)結構有助於優化製 _ k舰以射缺,以及在接闕π形成過程巾級控制終止點。藉由應 用於主動區之應力,第-及第二接觸餘刻停止層(CESL)結構更增加積體 電狀效能;在-實施财,藉由細侧停止層(CESL)之拉伸應力, NMOS可具有雛之載子鷄率,崎由接職刻停止層(cesl)之壓縮 應力’ PMOS可具有較佳之載子移動率;在另一實施例中,一接觸飯刻停 止層(CESL)只形成於第一及第二主動區其中之一。 纟-貫施射’本發明揭露提供_半導體裝置具有—第_主動區及一 第二主動區,其形成於一基底中;多個第一金屬石夕化物圖形,其由一第一 金屬梦化物所形成,位於該第-主動區内;多姆二金财化物圖形,其 由-第二金屬雜物所形成,位於該第二主動區内,其由—第二金屬石夕化 0503-A31625TWF . 1267951 物所形成,位於該第二主動區内,其_該第二金屬梦化物係異於該第一金 屬石夕化物yx及財至少有—金屬概物係—合金魏物;以及一侧停 止層,覆盍該第-主祕及第二主龍中之至少__主祕。 、在半‘體裝置中’第-及第二主動區中之至少__主動區係包含一升起 式源極及絲,或-離結構電晶體(βη stmeture脇effeet &咖·,0503-A31625TWF 1267951 The human lithium compound is placed on the source and the drain to reduce the planar resistance of the channel between the metal contact and the underlying structure. However, the axis uses the same gold chevron in the form of the recording crystal, different transistors. The planar resistance of (eg, coffee beans and PMOS) may vary depending on the type of metal or compound used. 'There is a way to - use a variety of forms of metal lithology to make integrated circuits (5)), the device 'also provides a device with a variety of forms of metal lithology, each of which can be in the manufacturing process Adjustments are made. Moreover, it is desirable to reduce the loss of metal telluride during the contact etching process after the metallization of the device is fabricated. SUMMARY OF THE INVENTION The present invention provides an integrated circuit having a _first active region and a second active region, wherein the first active region has a -NM〇s transistor, and the first form of metal material a pattern and a tensile stress stop-stop layer (CESL) structure, and the second active region has a - PMOS transistor having a second form of metal-lithium pattern and a compressive stress contact stop Layer (CESL) structure. The first and second forms of the metal lithium pattern provide a stronger point resistance connected to the active region, and the thin side stop layer (CESL) structure helps to optimize the formation of the yk, and the formation of the π The process towel level controls the termination point. By applying the stress to the active region, the first and second contact residual stop layer (CESL) structures further increase the integrated electrical performance; in the implementation, the tensile stress of the fine side stop layer (CESL), The NMOS can have a young carrier rate, and the compression stress of the stop layer (cesl) can have a better carrier mobility; in another embodiment, a contact stop layer (CESL) It is formed only in one of the first and second active regions. The present invention discloses that a semiconductor device has a first active region and a second active region formed in a substrate; a plurality of first metallization patterns, which are composed of a first metal dream Formed by the compound, located in the first active region; a Dom-two gold chemical pattern formed by the second metal impurity, located in the second active region, which is composed of - the second metal stone Xihua 0503 A31625TWF. 1267951 is formed in the second active zone, and the second metal dreaming compound is different from the first metallization yx and the at least one metal-based alloy-alloy; and one The side stop layer covers at least the __ master secret of the first main secret and the second main dragon. At least one of the first and second active regions in the semi-body device comprises a rising source and a wire, or a structure transistor (βη stmeture threat effeet &

FmFET)結構。该第一主動區係包含_ N型金氧半電晶體(丽〇s),以 及該第二主祕係包含—P型金氧半電晶體(pM〇s)。該侧停止層係分 別具有-第-應力於該第-主動_,及—第二應力於該第二主動區内。 在一例子中’該第_應力係—拉伸應力,以及該第二應力係—壓縮應力。 在^-例子中’該第-應力具有—拉伸應力係大於⑹帕斯卡(阿⑻, ,二應力具有—壓縮應力係大於1〇9帕斯卡(轉⑴。該侧停止層係 匕'材料’其選擇自一含氮材料’ 一含氧材料,及其組合物。該钱刻停 止層係包含-材料,其選擇自氮化石夕,氮氧化石夕,氧化石夕,一高介電值 Ough-k)材料係具有—k值至少1(),及其組合物。 形 如申請專利範圍第i項所述之铸體裝置,更包含:一接點結構w 笛於至^開D内,其中该至少—開口係延伸通過該侧停止層,到達該 弟-金屬魏物圖形及該第二金屬魏物圖形中之至少—金射化物圖 在半導體裝置中,該第-金射化物及該第二金屬概物中之至少 ^石夕化物係包含-單-金屬純物,該第—金屬魏物及該第二金心 :包^—材料,其選擇自魏録、魏㈣化鶴、魏、 矽化餌、矽化鈀及其組合物。 知 开,ί半導體裝置中,該第—主動區及該第二主動區係包含閘極介電層g 氧切、高介電值__k)材料及其組'爾、^ 係具有-介電常數至少1G,該高介 值(h映k)材半 ⑽電值(峋⑷材料係包含-材料,其竭FmFET) structure. The first active region comprises a _N-type MOS transistor (Liss s), and the second host system comprises a P-type MOS transistor (pM〇s). The side stop layer has a -th-stress in the first-active_, and - a second stress in the second active zone. In an example, the _ stress system - tensile stress, and the second stress system - compressive stress. In the example - the first stress has a tensile stress system greater than (6) Pascal (A (8), and the second stress has a compressive stress system greater than 1 〇 9 Pascal (trans (1). The side stop layer system 匕 'material' Selecting from a nitrogen-containing material, an oxygen-containing material, and a composition thereof. The money-stopping layer contains a material selected from the group consisting of nitrite, nitrous oxide, oxidized stone, and a high dielectric value Ough- k) The material has a -k value of at least 1 (), and a composition thereof. The casting device of the invention of claim i, further comprising: a contact structure w flute to the inside of the opening D, wherein The at least-opening system extends through the side stop layer, and reaches at least the gold-injection pattern of the di-metal artifact pattern and the second metal-property pattern in the semiconductor device, the first-gold-emitting material and the first The at least one of the two metal alloys comprises a mono-metal pure substance, the first metal-wet material and the second gold core: a package-material, which is selected from Wei Lu, Wei (four) Huahe, Wei , bismuth bait, deuterated palladium and combinations thereof. In the semiconductor device, the first active region and the The second active region includes a gate dielectric layer g oxygen cut, a high dielectric value __k) material and its group 'er, ^ system has a dielectric constant of at least 1G, the high dielectric value (h-k) half (10) Electrical value (峋(4) material contains - material, which is exhausted

0503-A31625TWF 1267951 - 擇自金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬 氮化物、過渡金屬矽化物、金屬氮氧化物、金屬鋁酸鹽、矽酸鍅、鋁酸鍅、 -二氧化給(Hf02)、二氧化錯(Zr〇2)、氮氧化結(Zr〇xNy)、氮氧化給 (Hf〇xNy )、石夕酸給(HfSixOy )、石夕酸錯(ZrSixOy)、氮氧石夕給(HfSix〇yNz)、 、 二氧化二鋁(A12〇3)、二氧化鈦(Ή02)、五氧化鈕(Ta205)、三氧化二 • ' 二氧化鈽(Ce〇2)、石夕酸叙(Bi4Si2〇i2)、氧化鶴(w〇3)、 氧化紀(Y203 )、__(LaA103)、鈦酸鋇銷(Bai_xSrxTi〇3)、鈦酸鋇 (BaTi〇3)、錯酸錯(PbZr〇3)、鈕酸銃錯(PbSczTal_z〇3 ,簡稱psT)、 _ _鋅錯(PbZn趣-z〇3,簡稱p則、錯鈦酸錯(pbZr〇3_pbTi〇3,簡 稱PZT)、氧化铪(PbMgzNbl-z03,簡稱!>_)及其組合物。 在半導體裝置中,該第-主祕及該第二主祕係包含閘極電極,該 閘極電極係包含-材料,其選擇自含石夕材料、含鍺材料、含金屬材料及其 組合物,該閘極電極係包含一材料,其選擇自多晶石夕、多晶石夕錯、金屬、 金屬石夕化物、金屬IUb物、金屬氧化物及其組合物。 在半導體裝置中,該基底係包含一元素半導體,譬如石夕及錯,該基底 係包含-化合物半導體,縣底係包含—合金半導體,包含—材料,盆選 #擇自含石夕㈣、含騎料及含碳材料,該合金半導體純含補,該基底 係包含-漸變石夕錯結構,該基底係包含一石夕覆蓋絕緣層㈤讓如 insulator ’ SOI)結構,譬如矽覆蓋絕緣層圖形。 【實施方式】 ==斤揭露係有關於半導體積體電路領域,更特別有關於一糊 置,,、具有夕種金屬矽化物形式及其製造方法。 ^ δ ’ NM0S及PM〇s裝置皆使用相同金屬或金屬合金石夕化物所0503-A31625TWF 1267951 - selected from metal oxides, metal nitrides, metal halides, transition metal oxides, transition metal nitrides, transition metal halides, metal oxynitrides, metal aluminates, strontium ruthenate, aluminate鍅, -2,2,2,2,2,2,2,2,2,2,2,2,2,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5 ZrSixOy), nitrous oxide (HfSix〇yNz), aluminum oxide (A12〇3), titanium dioxide (Ή02), pentoxide (Ta205), bismuth dioxide • 'cerium dioxide (Ce〇2) , Shi Xi acid (Bi4Si2〇i2), oxidized crane (w〇3), oxidized (Y203), __ (LaA103), barium titanate (Bai_xSrxTi〇3), barium titanate (BaTi〇3), wrong Acid error (PbZr〇3), N. citrate (PbSczTal_z〇3, abbreviated as psT), _ _Zinc wrong (PbZn interesting-z〇3, abbreviated as p, wrong titanium acid error (pbZr〇3_pbTi〇3, referred to as PZT) And yttrium oxide (PbMgzNbl-z03, abbreviated!>_) and a composition thereof. In a semiconductor device, the first main secret and the second main secret system comprise a gate electrode, and the gate electrode system comprises a material selected from the group consisting of a stone material, a cerium-containing material, a metal-containing material and a composition thereof, the gate electrode comprising a material selected from the group consisting of polycrystalline stellite, polycrystalline stellite, metal, and metallic stone An oxime compound, a metal IUb material, a metal oxide, and a combination thereof. In a semiconductor device, the substrate comprises an elemental semiconductor such as a stone-like compound, the substrate comprises a compound semiconductor, and the bottom of the county comprises an alloy semiconductor. Including - material, pot selection # choose from the stone Xi (4), containing riding materials and carbon-containing materials, the alloy semiconductor purely contains, the substrate contains a -graduate stone fault structure, the substrate contains a stone cover insulating layer (5) let Such as the insulator 'SOI) structure, such as 矽 covering the insulation layer pattern. [Embodiment] The == jin exposure is related to the field of semiconductor integrated circuits, and more particularly to a paste, a singular metal halide form, and a method of manufacturing the same. ^ δ ′ NM0S and PM〇s devices use the same metal or metal alloy Shi Xi Chemical Institute

Γ〇δ ^PMOS 極細_,抑摻轉極及汲㈣細數(霄恤_有所不同,Γ〇δ ^ PMOS extremely fine _, suppressing the rotation of the pole and 汲 (four) fine number (the shirt _ is different,

0503-A31625TWF 1267951 因此難以金射錄材料所具㈣功函射 PMOS源極及汲極的接觸平面電阻。 旁低NMOS及 2,年8月29日美_植碼第咖,心所揭露—種具有互補型 金屬石夕化储構之健,其互麵魏物結構提供柯裝置之互翻 金屬矽化物,例如-N型金氧半電晶體(刪叫及_ p型 互補·屬魏物結構_湖魏物材料進行微綱曰獲 仔-所而之功函數,財祕降低多晶補極及馳級極_之接點及串 聯電阻。⑽’在概之接點侧過程中可能出鷄外㈣題,例如在深 次微米技術中,譬如(U卿及其以後之製程,金屬石夕化物之厚度通常少於 350Α且對於厚度波動及流失現象較為敏感,此外對於多重金屬魏物结構 難以侧及控制接點敲刻之終止點,如果接點兹刻並不在適當時間停止 % ’可此產生額外的金屬石夕化物流失’接點姓刻過程中之金屬石夕化物流失 會增加接點的電阻’惡化短通道效應,以及增加接合處之漏電流。在下述 說明中將揭示更詳細的内容’一接觸钮刻停止層在接點侧過程中,可用 以將金屬矽化物之流失減到最小。 另為方便說明起見,所有圖示中標示*4係代表結構,而係 鲁代表PMOS結構,例如後文敘述參考第丨圖時,係請同時參閱第圖及 弟1-2圖,依此類推,不再贅述。 請苓考第1圖,在一實施例中,在一單一結構中提供一互補型金屬矽 化物,係包含一 N型金氧半電晶體(NMOS) 1〇〇及一 P型金氧半電晶體 (PMOS) 120 ’其觀08 100及卩!^08 120皆在一半導體基底(圖中未示) 上所製造。NMOS 100係包含一閘極多晶矽區1〇2,間隔層1〇4及1〇6,閘 極介電層108,閘極金屬矽化物區114, 一源極(圖中未示)及一源極金屬 石夕化物區116 ’ 一没極(圖中未示)及一沒極金屬石夕化物區ns,以及一接 觸蝕刻停止層(CESL或ESL) 112,譬如一薄膜。PMOS 120係包含一閘 極多晶矽區122,間隔層124及126,閘極介電層128,閘極金屬矽化物區 0503-A31625TWF 9 1267951 - % ' / 134,一源極(圖中未示)及一源極金屬石夕化物區136,一汲極(圖中未示) 及汲極金屬石夕化物區138,以及一接觸餘刻停止層(CESL或脱), •譬如。可理解的是其他零件或其他層可能存在,但於圖巾並不清楚 繪示。 , 料體基底上之丽0S 100及PMOS 12〇可藉由使用—元素半導體, •例如單㈣、多晶硬、非日㈣、錯、及鑽石,-合成物半導體,例如礙化 石夕、坤化鎵’或-合金半導體,例如石夕鍺、石申磷化鎵(GaAsp)、銦碎化紹 (AlInAs)、鎵神化銘(A1GaAs)、銦磷化鎵⑹脱)及其組合物所製造。 籲再者,此半導體基底可能是絕緣體上之一半導體,例如石夕覆蓋絕緣體 (SOI)。例如,此半導體基底可能包含一摻雜磊晶層、一漸變半導體層, 或更包含-半導體層覆蓋-相異形式之半導縣,例如於_贿層上形成 -石夕層。在其他例子中,合成物半導體係包含一多重石夕結構或一多層化合 物半導體結構。 曰口 NM0S 100及PM0S 120可藉由使用一 p型井(p姻υ及m型井 (N-well)結構所製造,以及可直接製造於半導體基底上或其内,在本發明 例子中,NM0S 100及PM0S 120之間具有-隔離區(圖令未示),此祕 φ 區可利用隔離技術來形成,例如局部矽氧化(LOCOS)及淺溝槽離(STI), 再者,NM0S及PM0S可具有一升起式源極及汲極結構、一鰭狀結構電晶 體(fin structure field effect transistor,FinFET)結構、或一雙閘極妗構,此 外,NM0S及PM0S可包含一高應力薄膜。 NMOS100内之閘極介電層1〇2及刚〇812〇内之閘極介電層122可為 任何適合之介電層材料,最好具有較高完整性及低漏電流,可包含氧化矽、 氮氧化石夕、或一高介電值(highk)介電層,例如氧化給、氧化錯、氧化鋁、 氧化铪及氧化鋁(HfOrAl2〇3)合金、或其組合物。nmqs閘極介電層 及PM0S閘極介電層122可以是具有相同或相異摻雜之摻雜多晶石夕。位於 NM0S閘極102兩側之間隔層1〇4及1〇6,以及位於pm〇s閑極122兩侧0503-A31625TWF 1267951 Therefore, it is difficult for the gold recording material to have a contact plane resistance of the PMOS source and the drain. Side low NMOS and 2, August 29th, the United States _ plant code the first coffee, the heart revealed - a kind of complementary metal stone Xihua storage health, its mutual surface Wei structure to provide a mutual device For example, -N-type gold-oxygen semi-transistor (deleted and _ p-type complementary · Wei-wei structure _ lake Wei material material for micro-system 曰 仔 - - - - - - - - - - - - - - - - - - - - - - - The junction of the pole _ and the series resistance. (10) 'In the process of the joint side, there may be a problem outside the chicken (four), for example, in the deep submicron technology, such as (U Qing and its subsequent processes, metal lithium The thickness is usually less than 350 Α and is sensitive to thickness fluctuation and loss. In addition, it is difficult for the multiple metal weft structure to be side and control the termination point of the contact. If the contact does not stop at the appropriate time, % ' may generate extra The loss of the metal lithium compound 'the loss of the metal lithology during the contact surname process increases the resistance of the junction' to deteriorate the short channel effect and increase the leakage current at the junction. More details will be revealed in the following description. A contact button engraved stop layer on the contact side In the process, it can be used to minimize the loss of metal telluride. For convenience of explanation, all the diagrams indicate that *4 represents the structure, and Lu represents the PMOS structure, for example, when referring to the figure below, Please refer to the figure and the brothers 1-2, and so on, and will not repeat them. Please refer to Figure 1, in an embodiment, a complementary metal telluride is provided in a single structure, including a N-type MOS semi-transistor (NMOS) 1 〇〇 and a P-type MOS transistor (PMOS) 120 ' 观 08 100 and 卩! ^ 08 120 are on a semiconductor substrate (not shown) Manufactured. NMOS 100 includes a gate polysilicon region 1〇2, spacer layers 1〇4 and 1〇6, gate dielectric layer 108, gate metal germanide region 114, a source (not shown) and A source metallization region 116' is a poleless (not shown) and a immersion metal lithium region ns, and a contact etch stop layer (CESL or ESL) 112, such as a thin film. PMOS 120 system A gate polysilicon region 122, spacer layers 124 and 126, gate dielectric layer 128, gate metal germanide region 0503-A31625TWF 9 1 267951 - % ' / 134, a source (not shown) and a source metallization region 136, a drain (not shown) and a bungee metal lithiation region 138, and a contact Insert stop layer (CESL or off), • For example, it can be understood that other parts or other layers may exist, but the figure is not clearly shown. The 00S 100 and PMOS 12〇 on the substrate can be used by Use - elemental semiconductors, • such as single (four), polycrystalline hard, non-Japanese (four), wrong, and diamonds, - synthetic semiconductors, such as the infiltrated Shihua, Kunhua gallium ' or - alloy semiconductors, such as Shi Xixi, Shi Shen phosphorus Gallium (GaAsp), indium sulphide (AlInAs), gallium deification (A1GaAs), indium gallium phosphide (6) off and its composition. Further, the semiconductor substrate may be a semiconductor on the insulator, such as a stellite insulator (SOI). For example, the semiconductor substrate may comprise a doped epitaxial layer, a graded semiconductor layer, or a semiconducting region containing a semiconductor layer covering-different form, for example, a layer formed on the layer of the bribe. In other examples, the composite semiconductor system comprises a multiple layered structure or a multilayered semiconductor structure. The mouthwash NM0S 100 and the PM0S 120 can be fabricated using a p-type well (p-in-law and n-well) structure, and can be fabricated directly on or in a semiconductor substrate, in the example of the present invention, There is an isolation region between NM0S 100 and PM0S 120 (not shown). This secret φ region can be formed by isolation technology, such as local oxidation (LOCOS) and shallow trench isolation (STI). Furthermore, NM0S and The PM0S may have a rising source and drain structure, a fin structure field effect transistor (FinFET) structure, or a double gate structure. In addition, the NM0S and PMOS may comprise a high stress film. The gate dielectric layer 122 in the gate dielectric layer 〇2 and the gate 812 of the NMOS 100 may be any suitable dielectric material, preferably having high integrity and low leakage current, and may contain oxidation.矽, nitrous oxide oxide, or a high dielectric (highk) dielectric layer, such as oxidized, oxidized, alumina, yttria and alumina (HfOrAl 2 〇 3) alloys, or combinations thereof. nmqs gate The dielectric layer and the PMOS gate dielectric layer 122 may be doped polycrystals having the same or different doping Shi Xi. The spacers 1〇4 and 1〇6 on both sides of the NM0S gate 102, and on both sides of the pm〇s idle pole 122

0503-A31625TWF 12679510503-A31625TWF 1267951

之間隔層124及126,可能包含一介電層材料,例如氮化石夕 矽、氮氧化矽及其組合物。 氣化發、碳化 NMOS卿可包含-源極及-錄(圖中未示),其直接形成於半導體 基底上’於-P型井(P-well)結構中,或使用一升起式結構,可以在源極 及閘極頂部上方形成金屬矽化物,以分別形成源極金屬矽化物區IK及'、及 極金屬砍化物區118 ’也可以在多晶秒閘極1〇2頂部上方形成金屬碎化物 以形成閘極金屬矽化物區114,NMOS 100中之金屬矽化物區114、ιΐ6、ιΐ8The spacer layers 124 and 126 may comprise a dielectric layer material such as nitride nitride, bismuth oxynitride, and combinations thereof. The gasification and carbonization NMOS can include a source and a recording (not shown) formed directly on the semiconductor substrate in a 'P-well' structure or using a rising structure. Metal halides may be formed over the top of the source and gate to form source metal halide regions IK and ', and the metal metal cleavage region 118' may also be formed over the top of the polycrystalline second gate 1〇2. The metal is shredded to form a gate metal halide region 114, and the metal halide region 114, ι6, ι8 in the NMOS 100

係包含矽化鎳、矽化鈷、矽化鎢、矽化鈕、矽化鈦、矽化鈾、發化斜、石夕 化鈀、或其組合物。 PMOS 120可包含-源極及-汲極(圖中未示),其直接形成於半導體 基底上,於- N型井(N-well)結構中,或使用_升起式結構,可以在源 極及閘極頂部上方形成金屬矽化物,以分別形成源極金屬矽化物區136及 汲極金屬矽化物區138,也可以在多晶矽閘極122頂部上方形成金屬矽化 物,以形成閘極金屬矽化物區134,NMOS 120中之金屬矽化物區134、136、 138係包含矽化鎳、矽化鈷、矽化鎢、矽化组、矽化鈦、矽化鉑、矽化铒、 梦化纪、或其組合物。The invention comprises nickel telluride, cobalt telluride, tungsten antimonide, antimony telluride, titanium telluride, uranium hydride, oxidized slant, palladium, or a combination thereof. The PMOS 120 may include a source and a drain (not shown) formed directly on the semiconductor substrate, in an N-well structure, or using a _raised structure, which may be at the source A metal telluride is formed over the top of the gate and the gate to form a source metal germanide region 136 and a drain metal germanide region 138, respectively, and a metal germanide may be formed over the top of the polysilicon gate 122 to form a gate metal germanium. In the object region 134, the metal telluride regions 134, 136, and 138 in the NMOS 120 include nickel telluride, cobalt telluride, tungsten telluride, telluride, titanium telluride, platinum telluride, antimony telluride, dreams, or combinations thereof.

接綱虫刻停止層112及132可包含-種材料开)式,在接點姓刻製程中 具有一高耐力,而可以在接點蝕刻製程中保護下層金屬矽化物,接觸蝕刻 停止層112及132之材料可選擇一絕緣材料,例如包含氮化矽、氮氧化矽、 碳化矽、氧化矽及其組合物,可理解的是接觸蝕刻停止層112及132意指 分離的相關數目層數,可包含一單一接觸餘刻停止層。 可使用不同方法沉積接觸银刻停止層112及132,例如,可以沉積接觸 蝕刻停止層112及132以覆蓋一相當大之區域包括nmos 1〇〇及pM〇s 120,在一些實施例中,可圖形化接觸蝕刻停止層112及132以覆蓋選擇性 區域,譬如只有NMOS 100或PMOS 120、或只有接點區域如源極、汲極、 及閘極,若有需要,在接點蝕刻以移除絕緣層材料後,可以自覆蓋區域移The gate stop layers 112 and 132 may comprise a type of material, which has a high endurance in the process of the contact surname, and may protect the underlying metal telluride during the contact etching process, contacting the etch stop layer 112 and The material of 132 may be selected from an insulating material, for example, including tantalum nitride, hafnium oxynitride, tantalum carbide, niobium oxide, and combinations thereof. It is understood that contact etch stop layers 112 and 132 mean the number of layers associated with the separation. Contains a single contact residual stop layer. The contact silver stop layers 112 and 132 can be deposited using different methods, for example, the contact etch stop layers 112 and 132 can be deposited to cover a substantial area including nmos 1 and pM〇s 120, in some embodiments, The etch stop layers 112 and 132 are patterned to cover selective regions, such as only NMOS 100 or PMOS 120, or only contact regions such as source, drain, and gate, if desired, etched at the contacts to remove After the insulation material, it can be moved from the coverage area

0503-A31625TWF 11 1267951 ’ 除接觸蝕刻停止層112及132。 -在一些實施例中,可以選擇及製造接觸蝕刻停止層112及132以符合 • 既定之壓力標準,例如,形成一接觸蝕刻停止層具有一拉伸應力大於1〇giga pascal以覆蓋NMOS 1〇〇,同樣,形成一接觸钱刻停止層具有一壓縮應力大 於L0 gigapascal以覆蓋pm〇s 120,每一個接觸姓刻停止層112及132具 有一微調後之應力以增加效能,譬如NM0S 1〇〇及PM〇s 12〇之載體移動 ’ 率。 在第1圖結構中,於NMOS 100之金屬矽化物區114、116、118 (以下 φ 總稱· 金屬矽化物區)中形成之金屬矽化物,係異於PMOS 120之 金屬矽化物區134、136、138 (以下總稱·· PM0S金屬矽化物區)中形成之 金屬矽化物,例如,NM〇S金屬矽化物區及pM0S金屬矽化物區可以都是 金屬石夕化物,但形式相異,或者可能是不同組成之合金矽化物,或相同組 成之合金矽化物但具有不同之材料比例,同樣情況,NMOS金屬矽化物區 可能是金屬矽化物,但PM0S金屬矽化物區可能是合金石夕化物,或相反, 這樣的金屬石夕化物結構有時稱為互補型金屬梦化物(c〇mplementaiy silicide),互補型金屬矽化物提供金屬矽化物區及pM〇s金屬矽化 物區之可變微調,以改善接點電阻、黏著力、及一致性。 在一互補型金屬矽化物之例子中,可使用鎳及鈷之不同組合,使NM0S 金屬石夕化物及PM0S金屬矽化物之組成可以微調以適合所需之功函數及平 面電阻,例如,NM0S金屬矽化物之功函數可微調使其小於4.4 eV,但PM0S 金屬矽化物之功函數可微調使其大於4.6 eV。 可理解的是互補型金屬矽化物不限於NM0S及PM0S結構,但可用以 形成任二金屬矽化物區連接至一基底,其中第一區具有一第一形式之金屬 矽化物且第二區具有一第二形式之金屬矽化物,每一區可包含之結構譬如 一換雜梦或換雜多晶珍區域、《源極、^—沒極、及一閘極’再者’母一區 之結構可包含一裝置譬如一 NM0S、一 PM0S、一 CMOS、一鰭狀結構電 0503-A31625TWF 12 1267951 晶體(fm structure field effect transistor,FinFET )、一雙極電晶體、一電 器、一電阻器、或其組合物。 參考第2a圖及第2b至2h圖,在一實施例中,可以使用一方法2〇〇以 形成第1圖之互補型金屬矽化物結構具有一 及一 pM〇s,第沘至0503-A31625TWF 11 1267951 ' In addition to contacting the etch stop layers 112 and 132. - In some embodiments, contact etch stop layers 112 and 132 may be selected and fabricated to conform to established pressure criteria, for example, forming a contact etch stop layer having a tensile stress greater than 1 〇 giga pascal to cover NMOS 1 〇〇 Similarly, the formation of a contact stop layer has a compressive stress greater than L0 gigapascal to cover pm〇s 120, and each contact stop layer 112 and 132 has a fine-tuned stress to increase efficiency, such as NM0S 1〇〇 PM〇s 12〇's carrier movement' rate. In the structure of Fig. 1, the metal telluride formed in the metal silicide regions 114, 116, 118 of the NMOS 100 (hereinafter referred to as the metal halide region) is different from the metal halide regions 134, 136 of the PMOS 120. The metal telluride formed in 138 (hereinafter referred to as the PM0S metal telluride region), for example, the NM〇S metal telluride region and the pM0S metal telluride region may all be metallized, but the forms are different, or may be It is an alloy telluride of different composition, or an alloy telluride of the same composition but having a different material ratio. In the same case, the NMOS metal telluride region may be a metal telluride, but the PM0S metal telluride region may be an alloy lithiate, or Conversely, such a metallic compound structure is sometimes referred to as a c〇mplementaiy silicide, and the complementary metal telluride provides a variable fine-tuning of the metal telluride region and the pM〇s metal telluride region to improve Contact resistance, adhesion, and consistency. In the case of a complementary metal halide, different combinations of nickel and cobalt can be used to fine tune the composition of the NM0S metallization and the PMOS metal halide to suit the desired work function and planar resistance, for example, NM0S metal. The work function of the telluride can be fine-tuned to less than 4.4 eV, but the work function of the PM0S metal telluride can be fine-tuned to be greater than 4.6 eV. It is understood that the complementary metal halide is not limited to the NMOS and PMOS structures, but may be used to form any two metal ruthenium regions connected to a substrate, wherein the first region has a first form of metal ruthenium and the second region has a The second form of metal telluride, each zone may contain a structure such as a dream change or a polycrystalline area, "source, ^ - no pole, and a gate" and then the structure of the mother area The device may include a device such as an NM0S, a PM0S, a CMOS, a fin structure, a 0503-A31625TWF 12 1267951 crystal (fm structure field effect transistor, FinFET), a bipolar transistor, an electrical device, a resistor, or combination. Referring to Figures 2a and 2b to 2h, in one embodiment, a method 2 can be used to form the complementary metal halide structure of Figure 1 having one and one pM〇s,

2h圖係繪出第2a圖中相對應製造步驟之一例示積體電路之剖面示意圖,下 述較洋細况明第2a圖中方法200,第2b至2h圖之剖面示意圖對應於其所 指之目的’可理解的是方法200不限於對州^〇3及1>]^〇3結構之一互補型 金屬矽化物結構之形成,但可以在一半導體製程過程中用以形成任二區, 其中第-區具有-_或材料比似第二區具有—相異組成或材料比例。 如第2b圖所示,在本發明例子中,第一區係一 NM0S 240以及第二區 係-PM0S 270,可理解的是可以在進行方法細之前製造麗〇8 24〇及 PM0S 270之部分,例如,NM〇s 24〇係包含一多晶石夕閘極242、間隔層施 及246、及一閘極介電層248,PM〇s 27〇係包含一多晶矽閘極272、間隔 層274及276、及一閘極介電層278。 特別芩照第2a圖及第2c圖,方法2〇〇先依照步驟21〇中,沉積第一金 屬部分250、280 (使用相同金屬,A,)以分別覆蓋應〇3 24〇及pM〇s 27〇, 第-金屬部分250、280之沉積方法係包含使用物理氣相沉積法(pVD)譬 如麵及蒸鍍,或電鐘,或化學氣相沉積法(CVD)譬如電裝加強。^ (PECVD)、大氣壓力CVD 、低壓、高密度 電漿CVD (HDPCvd)及原子層咖⑽㈣),或其他沉積製程,在 本發明之例子中使賤鑛沉積,第—金屬部分細、可包含鎳、始 |旦、鈦、m或任何其他金屬,在—提狀溫度下使其與石夕反應 以形成一低電阻狀態之金屬矽化物。 …2h is a schematic cross-sectional view showing an integrated circuit in one of the corresponding manufacturing steps in Fig. 2a. The following is a more detailed description of the method 200 in Fig. 2a, and the cross-sectional views in Fig. 2b to 2h correspond to the reference The purpose of the present invention is understood to be that the method 200 is not limited to the formation of a complementary metal halide structure of one of the states 3, 1 and 3, but can be used to form any two regions in a semiconductor process. Wherein the first-region has -_ or the material has a different composition or material ratio than the second region. As shown in Fig. 2b, in the example of the present invention, the first zone is a NM0S 240 and the second zone is a PMOS 270, it being understood that parts of the Radisson 8 24 and the PMOS 270 can be manufactured before the method is performed. For example, the NM〇s 24〇 system includes a polycrystalline silicon gate 242, a spacer layer 246, and a gate dielectric layer 248. The PM〇s 27〇 system includes a polysilicon gate 272 and a spacer layer 274. And 276, and a gate dielectric layer 278. Referring specifically to Figures 2a and 2c, Method 2 first deposits first metal portions 250, 280 (using the same metal, A,) in accordance with step 21, to cover the respective 〇 3 24 〇 and pM 〇 s 27〇, the deposition method of the first-metal portions 250, 280 includes using physical vapor deposition (pVD), such as surface and evaporation, or electric clock, or chemical vapor deposition (CVD), such as electrical reinforcement. ^ (PECVD), atmospheric pressure CVD, low pressure, high density plasma CVD (HDPCvd) and atomic layer (10) (4), or other deposition processes, in the case of the present invention, the antimony deposit, the first metal part can be included Nickel, Si, Dan, Titanium, m or any other metal is reacted with Shi Xi at a temperature of the lift to form a metal halide of a low resistance state. ...

在本發明例子中,第一金屬部分25〇、係包含鎳,在金屬石夕化物尺 寸小於ai3gm的金屬魏物技術_具有—些伽,因為概其他適合的 金屬需要較低的熱供應量,在相當低的溫度時,约攝氏溫度2耽至_^, 0503-A31625TWF 13 1267951 的加熱步驟中形成石夕化鎳,減少基底中料消耗量,因此能夠 步驟中極接合。可藉域_以形成鎳的沉積,在適合的製程 滅鍍鎳。3 氣酸,一雜前的氨侧以準備石夕表面,以及之後的 * 料第=圖及步驟212,可選擇性移除第—金屬部分,留下完整 _ 金屬部分250,先前技術中已知可使用微影及侧製程以選擇性移除 弟一金屬部分280,包括在金屬部分25〇及28〇上形成光阻,自一光罩轉換 侧圖案至光阻上,钱刻,以及剝除光阻,也可以在剝除光阻後進行蝕刻, 裝ί壬最好依據第一金屬部分28〇,例如,如果材料是鎳,可選擇濕侧 製程使用麵射彳雜如碰及魏錢混合物(耶〇4+恥池〇),如 果材料是録,濕侧溶液可包含無機酸(如HC1)及過氧化氫溶液。 參考第2e圖及步驟214,沉積第二金屬部分252、282以分別覆蓋NM〇S 240及PM0S 270,使用相同金屬(金屬,B,)形成第二金屬部分252、282, 4疋使用不同金屬或金屬合成物以形成第一金屬部分250、280,沉積製程 可使用物理氣相沉積法(PVD)或化學氣相沉積法(CVD),第二金屬部 分252、282可包含鎳、始、鎢、鈕、鈦、鉑、斜、鈀、或任何其他金屬, 鲁在一提升之溫度下使其與矽反應以形成一低電阻狀態之金屬矽化物,在本 發明例子中,第二金屬部分252、282為鈷。 參考第2f圖及步驟216,在NMOS 240及PMOS 270上皆形成一金屬 矽化物,然而NMOS 240上所形成之金屬矽化物異於PMOS 270上所形成 之金屬矽化物,因為NMOS 240上所形成之金屬矽化物包含第一金屬部分 250 (金屬A或鎳)及第二金屬部分252 (金屬B或鈷)(參照合金矽化物) 兩者皆有,然而PMOS 270上所形成之金屬矽化物只包含第二金屬部分282 (錄)。 如第2f圖所繪,在NMOS 240之閘極、源極、汲極土形成金屬矽化物, 產生閘極金屬矽化物254、源極金屬矽化物256、及汲極金屬梦物258, 0503-A31625TWF 14 1267951 、 在PMOS 270之閘極、源極、汲極上形成金屬矽化物,產生閘極金屬矽化 • 物以4、源極金屬矽化物286、及汲極金屬矽化物288,閘極金屬矽化物254、 • 源極金屬矽化物256、及汲極金屬矽化物258係合金矽化物(鎳及鈷),然 而閘極金屬石夕化物284、源極金屬石夕化物286、及汲極金屬石夕化物288係石夕 • 化鈷。合金石夕化物中金屬A/B (例如鎳繼)之比例可藉由優化金屬沉積製 程及矽化製程進行調整,以提供所需之功函數;在依據特定金屬所選擇之 一提升之温度下,其矽化製程促使第二金屬(或第一及第二金屬)及矽(或 多晶矽)之間的反應;參照退火步驟,在一氣體環境下,如氬、氦、氮或 鲁其他惰性氣體,使用一快速熱退火(rapid thermal annealing,RTA)製程, 反應的金屬石夕化物可能在亞穩態(metastablephase,或稱變換穩定態)中而 需要一第二退火步驟或RTA (例如,在依據一特定金屬及化合物所選擇之 一較咼溫度下),因此形成一穩定之金屬矽化物狀態具有降低的電阻丨也 可以在步驟218 (如下所述)移除未反應金屬之後,實行這樣的第二退火步 驟;可以理解的是一些金屬矽化物如矽化鎳,可以在一較低溫度下在一步 驟RTA中形成。 參考第与圖及步驟218,可以從_〇3 24〇及?]^〇827〇移除未反應 _ 金屬如同其他區域(圖中未示),譬如一隔離結構,附著在隔離區域上 之金屬可能未絲切層航切層反應,需要使用金屬侧溶液進行選 擇丨生私除,可在二步驟中完成蝕刻,對於不同金屬可以在每一步驟中使用 不同钱刻溶液及標的物,將在多晶石夕閘極及源極/汲極接觸區域上留下完整 的金屬石夕化物,一般而言,不需要微影製程以圖案化接點的金屬石夕化物層, 因為藉由選擇性反應及侧(參照自行對準金屬石夕化物,salicide)可以使 金屬矽化物對準閘極及源極/汲極區域。 參考第2h圖及步驟220,形成接觸蝕刻停止層26〇及29〇,如上所述, 接觸飿刻停止層26〇及29〇對於接點侧製程具有一相當高耐力,而且與 金屬石夕化物姆(例如,可以良好黏著於金屬石夕化物上,不會與其反應或In the example of the present invention, the first metal portion 25 is made of nickel, and the metal material technology having a size smaller than ai3 gm in the metallization of the metal has a certain amount of gamma because other suitable metals require a lower heat supply. At relatively low temperatures, the formation of nickel in the heating step of about 2 degrees Celsius to _^, 0503-A31625TWF 13 1267951 reduces the amount of material consumed in the substrate, and thus enables pole bonding in the step. The field can be used to form nickel deposits, and nickel plating can be performed in a suitable process. 3 gas acid, a heterogeneous ammonia side to prepare the surface of the stone, and the following material = map and step 212, the metal portion can be selectively removed, leaving the complete metal part 250, which has been in the prior art It is known that lithography and side processes can be used to selectively remove the metal portion 280, including forming photoresist on the metal portions 25 and 28, converting the pattern from the reticle to the photoresist, etching, and stripping. In addition to the photoresist, it can also be etched after stripping the photoresist. The mounting is preferably based on the first metal portion 28〇. For example, if the material is nickel, the wet side process can be selected to use the surface shot. Mixture (Jeremiah 4 + Shame Pool), if the material is recorded, the wet side solution may contain a mineral acid (such as HCl) and a hydrogen peroxide solution. Referring to FIG. 2e and step 214, second metal portions 252, 282 are deposited to cover NM〇S 240 and PMOS 270, respectively, using the same metal (metal, B,) to form second metal portions 252, 282, 4 using different metals. Or a metal composition to form the first metal portions 250, 280, the deposition process may use physical vapor deposition (PVD) or chemical vapor deposition (CVD), and the second metal portions 252, 282 may comprise nickel, tin, tungsten. , button, titanium, platinum, oblique, palladium, or any other metal, which reacts with helium at a raised temperature to form a metal halide of a low resistance state, in the present example, second metal portion 252 282 is cobalt. Referring to FIG. 2f and step 216, a metal halide is formed on both NMOS 240 and PMOS 270. However, the metal halide formed on NMOS 240 is different from the metal halide formed on PMOS 270 because of formation on NMOS 240. The metal halide includes a first metal portion 250 (metal A or nickel) and a second metal portion 252 (metal B or cobalt) (refer to alloy telluride), but the metal halide formed on the PMOS 270 is only A second metal portion 282 (recorded) is included. As depicted in Figure 2f, a metal telluride is formed at the gate, source, and drain of NMOS 240, resulting in gate metal telluride 254, source metal germanide 256, and bungee metal dream 258, 0503- A31625TWF 14 1267951, the formation of metal telluride on the gate, source and drain of PMOS 270, resulting in gate metal deuteration, 4, source metal telluride 286, and germanium metal telluride 288, gate metal deuteration 254, • source metal telluride 256, and bismuth metal telluride 258 alloy bismuth (nickel and cobalt), but gate metal lithium 284, source metal lithium 286, and bismuth metal Xixiang 288 series Shi Xi • Cobalt. The ratio of metal A/B (eg, nickel) in the alloy ceramsite can be adjusted by optimizing the metal deposition process and the hydration process to provide the desired work function; at a temperature that is elevated according to one of the particular metals selected, The deuteration process promotes the reaction between the second metal (or the first and second metals) and the antimony (or polysilicon); referring to the annealing step, in a gaseous environment, such as argon, helium, nitrogen or other inert gases, In a rapid thermal annealing (RTA) process, the reacted metallazine may be in a metastable phase or a reversed state, requiring a second annealing step or RTA (eg, based on a specific One of the metals and compounds is selected to be at a higher temperature), thus forming a stable metal telluride state with reduced electrical resistance. Such a second annealing may also be performed after the unreacted metal is removed in step 218 (described below). Steps; it will be appreciated that some metal halides such as nickel telluride may be formed in a step RTA at a lower temperature. Refer to the figure and step 218, which can be obtained from _〇3 24〇? ]〇〇827〇Remove unreacted_Metal as other areas (not shown), such as an isolation structure, the metal attached to the isolated area may not be reacted by the wire-cut layer, and the metal side solution is required for selection. In the private process, the etching can be completed in two steps. For different metals, different solutions and targets can be used in each step, and will be left on the polycrystalline gate and the source/drain contact area. Integral metallide, in general, does not require a lithography process to pattern the metallization layer of the joint, because by selective reaction and side (refer to self-alignment, salicide) The metal telluride is aligned with the gate and source/drain regions. Referring to FIG. 2h and step 220, contact etch stop layers 26A and 29A are formed. As described above, the contact etch stop layers 26A and 29B have a relatively high endurance for the contact side process, and are associated with the metal lithium compound. Mum (for example, it can adhere well to the metallurgical compound and does not react with it or

0503-A31625TWF 150503-A31625TWF 15

1267951 2散至下層金屬矽化物),接觸蝕刻停止層細及彻材料之選擇, 據一絕緣層材料(圖中夫〒^ 々 ^ ’、 心所使用之蝕刻劑,例如,可使用氮化 含聰ts=M=::=層細及可沉積覆蓋所有區域包 雖已知可以使用一選擇性沉積製程,特定沉 =法之選擇可依據接職刻停止層細及㈣所制之材料,以及可以 、CVD、或—加熱製程,以及可以在多個步驟中完成,例如,接 / τ止層260及290可選擇_氮化梦薄膜,藉由Lpcv〇、pEcw、或 ”他已知方絲成氮切薄膜,為了例示之目的使用—嗯^製程,可提1267951 2 scattered to the lower metal halide), contact etching stop layer fine and thorough material selection, according to an insulating layer material (Figure 〒 ^ ^ ^ ^, the etchant used in the heart, for example, can be used nitrided Cong ts=M=::=layer fine and depositable covering all areas. Although it is known to use a selective deposition process, the specific sinking method can be selected according to the order of the stop layer and (4) the material, and Can be, CVD, or - heating process, and can be done in multiple steps, for example, the / τ stop layer 260 and 290 can choose _ nitride dream film, by Lpcv 〇, pEcw, or "he knows the square wire Nitrogen-cut film, for the purpose of illustration - um ^ process, can be mentioned

=低溫細目雜絲結構,在pECVD製針,可以在鎌巾反應沉積 ,’元^silane)及氨(或氮),可藉由三甲基石夕烧(仿咖邮沿咖)之pEcvD 形成礙化% (SiC),先前技腕知可使賴影及_餘_化接紐刻 停止層260、290 〇 /參考第3a圖及第3b至3h圖,在另一實施例中,可以使用—方法· 以形成第1圖之互補型金屬石夕化物結構具有一蘭⑶及―pM〇s,第北 至3h圖係緣出帛3a圖甲相對應製造步驟之一例示積體電路之剖面示意 圖,下述較詳細說明第3a圖中方法3〇〇,第%至%圖之剖面示意圖對應 於八所々曰之目的’可理解的是方法3〇〇不限於一互補型金屬石夕化物結構之 形成,但可以在-半導體製程過程中用以形成任二區,其中第—區具有一 組成或材料比例且第二區具有一相異組成或材料比例。 乂如第3b圖所示,在本發明例子中,第一區係一 NM〇s 34〇以及第二區 係-PMOS 370,可理解的是可以在進行方法3〇〇之前製造雇⑽34〇及 PMOS 370之^刀’例如,滿j〇s 34〇係包含一多晶石夕閘極撕、間隔層 及346、及閘極介電層348,PMOS 370係包含-多晶石夕閘極372、間隔 層374及376、及' —閑極介電層378。= low temperature fine-filament structure, in pECVD needle, can be deposited in the towel reaction, 'yuan ^ silane' and ammonia (or nitrogen), can be formed by the trimethyl zebra burning (like coffee espresso) pEcvD % (SiC), the prior art knows that the image can be used to stop the layer 260, 290 〇 / refer to the 3a and 3b to 3h diagrams, in another embodiment, the method can be used The schematic diagram of the integrated circuit is illustrated by the one of the corresponding manufacturing steps of the complementary metallization structure of the first figure having a blue (3) and "pM〇s", and the north to the 3h figure. The method 3 in Figure 3a is described in more detail below. The cross-sectional view of the % to % graph corresponds to the purpose of the eight '. It is understood that the method 3 is not limited to the formation of a complementary metal lithium structure. However, it can be used in the semiconductor process to form any two regions, wherein the first region has a composition or material ratio and the second region has a different composition or material ratio. As shown in Fig. 3b, in the example of the present invention, the first zone is a NM〇s 34〇 and the second zone is a PMOS 370, it being understood that it is possible to manufacture (10) 34〇 before performing the method 3〇〇 The PMOS 370 knives 'for example, the full 〇 〇 34 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 PMOS PMOS PMOS PMOS PMOS PMOS PMOS PMOS PMOS PMOS PMOS PMOS PMOS 372 372 372 372 372 372 372 372 , spacer layers 374 and 376, and 'seat dielectric layer 378.

0503-A31625TWF 16 1267951 、、 特別參照第3a圖及第3c圖,方法300先依照步驟310中,沉積第一金 屬部分350、380 (使用相同金屬,A,)以分別覆蓋34〇及pM〇s 37〇, 第一金屬部分350、380之沉積方法係包含使用PVD或CVD製程,第一金 屬。P刀35〇、380可包含鎳、録、鎢、组、鈦、翻、铒、把、或任何其他金 • 屬’在一提升之溫度下使其與石夕反應以形成-低電阻狀態之金屬石夕化物。 、在本發明例子中,第一金屬部分350、380係包含鎳,可藉由贿鎳以形成 錄的沉積,在適合的製程步驟中包含:浸泡氫氟酸叫_前的氮侧以 準備矽表面,以及之後的濺鑛鎳。 ® 參考第3d圖及步驟312,沉積第二金屬部分352、382以分別覆蓋_0!5 340及PMOS 370,使用相同金屬(金屬,b,)形成第二金屬部分352、382, 但是使用不同金屬或金屬合成物以形成第一金屬部分35〇、38〇,沉積製程 可使用PVD或CVD,第二金屬部分352、382可包含鎳、録、鎢、組、鈦、 鉑、铒、鈀、或任何其他金屬,在一提升之溫度下使其與矽反應以形成一 低電阻狀恶之金屬梦化物,在本發明例子中,第二金屬部分%為始。 參考第3e圖及步驟314,可選擇性移除第二金屬部分382,留下完整 的第二金屬部分352,先前技術中已知可使用微影及蝕刻製程以選擇性移除 鲁第二金屬部分382,包括在金屬部分352及382上形成光阻,自一光罩轉換 蝕刻圖案至光阻上,蝕刻,以及剝除光阻,也可以在剝除光阻後進行蝕刻, 鍅刻製程最好依據第二金屬部分382之組成。 參考第3f圖及步驟316,在NMOS 340及PMOS 370上皆形成一金屬 矽化物,然而NMOS 340上所形成之金屬石夕化物異於PM〇s 37〇上所形成 之金屬矽化物,因為NMOS 34〇上所形成之金屬矽化物係一合金矽化物, 包含第一金屬部分350 (鎳)矽化物及第二金屬部分352(鈷)矽化物兩者 皆有,然而PMOS 370上所形成之金屬石夕化物只包含第二金屬部分搬(録) 石夕化物。 如第3f圖所繪,在NM〇s 340之閘極、源極,、汲極上形成金屬、矽化物, 0503-A31625TWF 17 1267951 產生閘極金屬碎化物354、源極金屬石夕化物356、及没極金屬石夕化物358 ’ 在PMOS 37〇之閘極、源極、汲極上形成金屬矽化物,產生閘極金屬矽化 物38心源極金屬矽化物386、及汲極金屬矽化物388。閘極金屬矽化物354、 源極金屬矽化物356、及汲極金屬矽化物358係合金矽化物(鎳及鈷),然 而閘極金屬矽化物384、源極金屬矽化物386、及汲極金屬矽化物388係矽 化鎳。合金石夕化物中金屬A/B (例如鎳/始)之比例可藉由優化金屬沉積製0503-A31625TWF 16 1267951, in particular with reference to Figures 3a and 3c, the method 300 first deposits the first metal portions 350, 380 (using the same metal, A,) in accordance with step 310 to cover 34 〇 and pM 〇 s, respectively. 37. The deposition method of the first metal portions 350, 380 includes using a PVD or CVD process, the first metal. The P-knife 35〇, 380 may comprise nickel, nickel, tantalum, titanium, turn, turn, turn, or any other metal genus that reacts with the stone at a raised temperature to form a low resistance state. Metallic stone. In the example of the present invention, the first metal portions 350, 380 comprise nickel, which can be formed by bribing nickel to form a recorded deposit. In a suitable process step, the method includes: soaking the nitrogen side of the hydrofluoric acid to prepare the crucible. Surface, and subsequent splashing of nickel. Referring to Figure 3d and step 312, second metal portions 352, 382 are deposited to cover _0!5 340 and PMOS 370, respectively, using the same metal (metal, b,) to form second metal portions 352, 382, but using different Metal or metal composition to form first metal portions 35〇, 38〇, deposition process may use PVD or CVD, and second metal portions 352, 382 may include nickel, tungsten, group, titanium, platinum, rhodium, palladium, Or any other metal, which reacts with hydrazine at a elevated temperature to form a low resistance metal alloy, in the example of the invention, the second metal portion is %. Referring to FIG. 3e and step 314, the second metal portion 382 can be selectively removed leaving a complete second metal portion 352, which is known in the art to selectively remove the second metal using a lithography and etching process. The portion 382 includes forming photoresist on the metal portions 352 and 382, converting the etching pattern from the mask to the photoresist, etching, and stripping the photoresist, and etching may also be performed after stripping the photoresist, and the etching process is the most It depends on the composition of the second metal portion 382. Referring to FIG. 3f and step 316, a metal germanide is formed on both NMOS 340 and PMOS 370. However, the metal formed on NMOS 340 is different from the metal germanide formed on PM〇s 37〇 because of NMOS. The metal telluride formed on the 34th layer is an alloy telluride comprising both the first metal portion 350 (nickel) telluride and the second metal portion 352 (cobalt) telluride, but the metal formed on the PMOS 370 Shi Xixiang only contains the second metal part to move (record) Shi Xi compound. As shown in Fig. 3f, a metal, a telluride is formed on the gate, source, and drain of NM〇s 340, and 0503-A31625TWF 17 1267951 generates a gate metal fragment 354, a source metal lithium 356, and The immersion metal lithium 358' forms a metal ruthenium on the gate, source and drain of the PMOS 37, resulting in a gate metal telluride 38 source metal bismuth 386 and a germanium metal bismuth 388. Gate metal telluride 354, source metal germanide 356, and bismuth metal telluride 358 alloy bismuth (nickel and cobalt), but gate metal telluride 384, source metal telluride 386, and drain metal Telluride 388 is a nickel-deposited nickel. The ratio of metal A/B (for example, nickel/start) in the alloy ceramsite can be optimized by metal deposition.

私及矽化製程進行調整,以提供所需之功函數;在依據特定金屬所選擇之 一提升之溫度下,其矽化製程促使第二金屬(或第一及第二金屬)及矽(或 多晶石夕)之間的反應;反應的金屬石夕化物可能在亞穩態(metastablephase, 或稱變換穩定態)中而需要一第二退火步驟或5^入,因此形成一穩定之金 屬矽化物狀態具有降低的電阻;也可以在步驟318 (如下所述)移除未反應 金屬之後,實行這樣的第三退火步驟;可以理解的是一些金屬石夕化物如石夕 化鎳,可以在一較低溫度下在一步驟以丁八中形成。 參考第3g圖及步驟318,可以從NMOS 340及PMOS 370移除未反應 金屬’如同其他區域(圖中未示),譬如一隔離結構,附著在隔離區域上 之金屬可絲與氧切層或氮切層反應,需要使用金屬蝴溶液進行選 擇性移除,將在乡Μ雜及雜/藤鋪輯上冑 物,一般而言,不議影製程權化接點的爾化物層, 矽化物係一自行對準金屬矽化物(salicide)。 參考第3h圖及步驟32〇,形成接觸綱停止層及39〇,如上所述, 接觸侧停止層及獨對魏賊聽程具有—讀高耐力,而錢 金屬石夕化触容,鋪侧停止層及材料之選擇, 缝 在本發明例子中,接觸钕刻停止層及可沉積覆有 含NMOS 340及PM0S 37〇,雖然0知可以使用—選擇性沉積製程,- 化石夕、石厌化石夕、或氧化石夕,以形成接觸姓刻停止層36〇及·。The private and deuteration processes are adjusted to provide the desired work function; the deuteration process promotes the second metal (or first and second metal) and germanium (or polycrystalline) at a temperature that is elevated according to one of the particular metals selected The reaction between the metal sulphate; the metal sulphate of the reaction may be in a metastable phase or a stable state, requiring a second annealing step or a second annealing step, thereby forming a stable metal bismuth state. Having a reduced electrical resistance; such a third annealing step can also be performed after removing the unreacted metal in step 318 (described below); it will be understood that some metal cerium compounds such as shihua nickel can be lower It is formed in Dingba in one step at a temperature. Referring to FIG. 3g and step 318, unreacted metal can be removed from NMOS 340 and PMOS 370 as other regions (not shown), such as an isolation structure, metal wire and oxygen cut layer attached to the isolation region or The nitrogen-cut layer reaction requires the selective removal of the metal butterfly solution, which will be used in the noisy and miscellaneous/vine layouts. In general, the alloy layer of the joints is not discussed. A self-aligned metal salicide. Referring to the 3h figure and the step 32〇, the contact stop layer and 39〇 are formed. As described above, the contact side stop layer and the unique pair of thieves have a high endurance, while the money metal stone touches the touch, paving the side. The selection of the stop layer and the material, the slit in the example of the present invention, the contact engraving stop layer and the depositable coating with NMOS 340 and PMOS 37 〇, although 0 can be used - selective deposition process, - fossil eve, stone anaerobic fossil Evening, or oxidized stone eve, to form a contact with the last stop layer 36 〇 and .

0503-A31625TWF 18 1267951 _:積方法之選擇可依據接觸蝴亭止層及39〇所使用之材料,以及可以 包3 PVD CVD、或-加熱製程,以及可以在多個步驟中完成,例如,接 •觸侧彳T止層36G及390可選擇-氮切薄膜,藉由LPCVD、PECVD、或 其他已知方法形成氮化石夕薄膜,為了例示之目的使用—製程,可提 ,供-低溫製程相容於底層結構,在pECV〇製程中,可以在電聚中反應沉積0503-A31625TWF 18 1267951 _: The choice of the method can be based on the materials used to contact the wafer stop and 39 ,, and can be 3 PVD CVD, or - heating process, and can be completed in multiple steps, for example, • The contact side T-stop layers 36G and 390 may be selected from a nitrogen-cut film to form a nitride film by LPCVD, PECVD, or other known methods, for the purpose of illustration, process, extraction, and supply-low temperature process Capacitance of the underlying structure, in the pECV〇 process, can be deposited in the electropolymerization

•矽烷㈤ane)及氨(或氮),可藉由三甲基魏之 PECVD 开/成石厌化石夕(SiC) ’先前技術已知可使用微影及侧製程圖案化接觸侧 停止層360、390。 籲 參考第4a圖及第4b至41圖,在另-實施例中,可以使用-方法細 以形成弟1圖之互補型金屬石夕化物結構具有一通j〇S及一 pM〇s,第仙 至41圖係、、’曰出第4a目中相對應製造步驟之一例示積體電路之剖面示意圖, 下述較詳細說明第4a圖中方法400,第4b至41圖之剖面示意圖對應於其 所指之目的,可理解的是方法4〇〇不限於一互補型金屬石夕化物結構之形成, 但可以在-半導體製程過程中用以形成任二區,其中第—區具有一組成或 材料比例且第二區具有一相異組成或材料比例。 如第4b圖所示,在本發明例子中,第一區係一雇〇§ 44〇以及第二區 φ係一 PM〇S 470,可理解的是可以在進行方法400之前製造NMOS 440及 PMOS 470之部分,例如,nmos 44〇係包含一多晶矽閘極恥2、間隔層4料 及446、及一閘極介電層448,pM〇s 47〇係包含一多晶矽閘極仍、間隔 層474及476、及一閘極介電層478。 特別參照第4a圖及第4c圖,方法400先依照步驟410中,沉積硬式罩 幕部分449、479以分別覆蓋NMOS 440及PMOS 470,硬式罩幕部分449、 479之沉積方法係包含使用pvD製程、CVD製程、或氮氣或氧氣間之一高 /里反應’硬式罩幕部分449、479可包含氧化石夕、氮化石夕、碳化;5夕、或其組 合物,例如,可藉由高溫CVD、LPCVD、或PECVD形成氮化石夕,可以藉 由二氯矽烷(dichl〇rosilane,siCUH2)及氨(NH3)反應以形成LPCVD氮• decane (five) ane) and ammonia (or nitrogen), which can be opened by the PECVD of trimethyl-Wei/SiC. [SiC] It is known in the prior art to use the lithography and side process to pattern the contact side stop layer 360, 390. Referring to Figures 4a and 4b to 41, in another embodiment, the method can be used to form a complementary metal-lithium structure of the first embodiment, having a pass j〇S and a pM〇s, FIG. 41 is a schematic cross-sectional view showing an integrated circuit in one of the corresponding manufacturing steps in FIG. 4A. The method 400 in FIG. 4a is described in more detail below, and the cross-sectional views in FIGS. 4b to 41 correspond to For the purposes indicated, it is understood that the method 4 is not limited to the formation of a complementary metal-lithium structure, but can be used to form any two regions in a semiconductor process, wherein the first region has a composition or material. The ratio and the second zone have a different composition or material ratio. As shown in FIG. 4b, in the example of the present invention, the first zone is an employee § 44 〇 and the second zone φ is a PM 〇 S 470, it being understood that the NMOS 440 and the PMOS can be fabricated prior to performing the method 400. In part 470, for example, the nmos 44〇 system comprises a polysilicon gate, a spacer layer 4 and a 446, and a gate dielectric layer 448. The pM〇s 47〇 comprises a polysilicon gate, a spacer layer 474, and 476, and a gate dielectric layer 478. Referring specifically to FIGS. 4a and 4c, method 400 first deposits hard mask portions 449, 479 to cover NMOS 440 and PMOS 470, respectively, in step 410. The deposition method of hard mask portions 449, 479 includes using a pvD process. , CVD process, or one of the high/reaction reactions between nitrogen or oxygen' hard mask portions 449, 479 may comprise oxidized stone, cerium nitride, carbonized; ceram, or a combination thereof, for example, by high temperature CVD , LPCVD, or PECVD to form a nitride, which can be reacted by dichloromethane (siCUH2) and ammonia (NH3) to form LPCVD nitrogen.

0503-A31625TWF 19 1267951 -$ ▼藉由熱氧化或cvd製程形成氧化石夕,可藉由三甲基石夕烧 -(論她_咖)之PECVD形成碳化矽(Sic )。 "、參考第4d圖及步驟412,可選擇性移除硬式罩幕部分449,留下完整 的更式罩幕^刀们9,先前技術中已知可使用微影及侧製程以選擇性移除 ,硬式罩幕部分449,包括在硬式罩幕部分449及479上形成光阻,自-光罩 轉換侧圖案至光阻上,蝴,以及剝除光阻,也可以在剝除光阻後進行 侧,侧製程最好依據於形_式罩幕之材料,例如,在光阻塗佈、曝 光、顯影之後,根據自-光罩上預先設計的圖案轉換至光阻上,以進行乾 φ 蝕刻碳化矽硬式罩幕。 參考第4e圖及步驟似,沉積第一金屬部分wo、·#分別覆蓋丽〇3 440及PMOS 470,使用相同金屬(金屬,A,)形成第一金屬部分45〇、48〇, 沉積製程可使用PVD或CVD,第一金屬部分彻、可包含鎳、始、鎢、 組、鈦、翻”、或任何其他金屬,在一提升之温度下使其與石夕反應 以开> 成一低電阻狀態之金屬石夕化物,在本發明例子中,第一金屬部分45〇、 480為錄。 參考第4f圖及步驟416,在NMOS 440上形成一金屬石夕化物,nmos 440 • 上所形成之金屬矽化物只包含第一金屬部分450 (例如金屬A或鎳)矽化 物,然而,因為PMOS 470被硬式罩幕479所覆蓋,包含第一金屬部分480 之金屬A (鎳)無法與pm〇S 470之石夕或多晶矽反應。 如第4f圖所示,在nm〇s 440之閘極、源極、汲極上形成金屬矽化物, 產生閘極金屬矽化物454、源極金屬矽化物456、及汲極金屬矽化物458, 在依據特定金屬所選擇之一提升之溫度下,其矽化製程促使金屬A及矽(或 多晶矽)之間的反應;矽化製程可包含一第二退火步驟,使其退火反應的 金屬石夕化物處於亞穩態(metastablephase,或稱變換穩定態),以及形成一 穩定之金屬矽化物狀態具有降低的電阻;也可以在步驟418 (如下所述)移 除未反應金屬之後,實行這樣的第二退火步驟;可以理解的是一些金屬石夕 0503-A31625TWF 20 1267951 : 化物如矽化鎳,可以在一較低溫度下在一步驟RTA中形成。 • 參考第4g圖及步驟418,可以從NMOS 440及PMOS 470移除未反應 • 金屬’如同其他區域(圖中未示),譬如一隔離結構,其連接於NMOS440 之未反應金屬係包含步驟418後金屬A之殘留物;連接於隔離區域、氮化 • 石夕/氧化石夕間隔層、及PM〇S 470 (硬式罩幕覆蓋於其上)之金屬,未與氧 化石夕層或氮化石夕層反應’而可以使用一金屬餘刻方式移除,在Nm〇s 440 上的多晶矽閘極及源極/沒極接點區域上留下完整的金屬石夕化物。 參考第4h圖及步驟420,自PMOS 470移除硬式罩幕部分479,可藉 Φ 由一蝕刻製程如濕蝕刻或乾餘刻,以移除硬式罩幕部分479,例如在濕蝕刻 中,可選擇一蝕刻溶液,在氮化矽及其他材料(包含氧化矽及金屬矽化物) 之間具有一高蝕刻選擇比。 參考第4i圖及步驟422,沉積第二金屬部分452、482以分別覆蓋NMOS 440及PMOS 470,使用相同金屬(金屬Έ,)形成第二金屬部分452、482, 但是使用不同金屬或金屬合成物以形成第一金屬部分450、480,沉積製程 可使用PVD或CVD ’弟一金屬部分452、482可包含錄、銘、鐵、组、欽、 鉑、铒、鈀、或任何其他金屬,在一提升之溫度下使其與矽反應以形成一 鲁 低電阻狀態之金屬矽化物,在本發明例子中,第二金屬部分452、482為鈷。 參考第4j圖及步驟424,在NMOS 440及PMOS 470上皆形成一金屬 矽化物,然而NMOS 440上所形成之金屬矽化物異於PMOS 470上所形成 之金屬矽化物,因為NMOS 44〇上所形成之金屬矽化物係一合金矽化物, 包含第一金屬部分450 (金屬A或鎳)矽化物及第二金屬部分452 (金屬B 或鈷)矽化物兩者皆有,然而PMOS 470上所形成之金屬石夕化物只包含第 二金屬部分482 (鈷)矽化物。 如第4j圖所繪,在NMOS 440之閘極、源極、汲極上形成金屬矽化物, 產生閘極金屬矽化物454、源極金屬矽化物456 \及汲極金屬矽化物458, 在PMOS 470之閘極、源極、汲極上形成金屬砍化物,產生閘極金屬矽化 0503-A31625TWF 21 1267951 物484、源極金屬矽化物486、及汲極金屬矽化物488,閘極金屬矽化物454、 源極金屬石夕化物456、及汲極金屬石夕化物458係合金石夕化物(錄及錄),然 而閘極金屬矽化物484、源極金屬矽化物486、及汲極金屬矽化物488係矽 化!古0 如前所述,在步驟416過程中,先在NMOS 440上形成金屬A之矽化 物,在目前的步驟424中,NMOS 440上的金屬A矽化物與金屬B反應以 形成一合金矽化物。合金矽化物中金屬A/B (例如鎳/始)之比例可藉由優 化金屬/儿積裝程及石夕化製程進行調整,以提供所需之功函數’·在依據特定 金屬所選擇之一提升之溫度下,其矽化製程促使第二金屬(或第一及第二 金屬)及石夕(或多晶石夕)之間的反應;反應的金屬石夕化物可能在亞穩態 (metastable phase ’或稱變換穩定態)中而需要一第二退火步驟或rta, 因此形成-穩定之金屬石夕化物狀態具有降低的電阻,·也可以在步驟概(如 下所述)移除未反應金屬之後,實行這樣的第二退火步驟;可以理解的是 -些金屬雜物如魏鎳,可以在—較低温度下在—步驟rta中形成。 參考第4k圖及步驟426,可以從厕⑶44〇及pM〇s 47〇移除未反應 金屬,如同其他區域(圖中未示),譬如—隔離結構,附著在隔離區域上 之金屬可能未與氧切層或氮娜層反應,需要使用金屬_溶液進行選 擇性移除,將在多晶石烟亟及源極_接觸區域上留下完整的金射化物。 參考第41圖及步驟428,形成接觸侧停止層460及490,如上所述, 接觸侧停止層及彻對於接點侧製程具有一相當高耐力,而且與 ϊίΓΓ:容,接觸银刻停止層460及490材料之選擇,可依據一絕緣 材料山(圖中未示)以符合所使用之姓刻劑,例如,可使用氮化石夕、氮氧 化矽石反化石夕、或氧化矽,以形成接觸钱刻停止層及3如。 八^本發明例子中’接觸侧停止層46〇及可沉積覆蓋所有區域包 0及PM0S 470,雖然已知可以使用一選擇性沉穆製程,特定沉 積方法之選擇可依據接觸蝕刻停止層及·所使用之材料,以及可^0503-A31625TWF 19 1267951 -$ ▼ The formation of oxidized stone by thermal oxidation or cvd process, the formation of niobium carbide (Sic) by PECVD of trimethyl-stone. ", with reference to Figure 4d and step 412, the hard mask portion 449 can be selectively removed, leaving a complete, more masked mask, 9 which is known in the prior art to use lithography and side processes to selectively The removable, hard mask portion 449 includes photoresist formed on the hard mask portions 449 and 479, the pattern is transferred from the mask to the photoresist, and the photoresist is stripped, and the photoresist can also be stripped. After the side, the side process is preferably based on the material of the mask, for example, after photoresist coating, exposure, and development, according to a pre-designed pattern on the mask to convert to the photoresist for drying. φ etched carbide hard mask. Referring to Fig. 4e and the steps, the first metal portions wo,·# are respectively covered with Lishui 3 440 and PMOS 470, and the same metal (metal, A,) is used to form the first metal portions 45〇, 48〇, and the deposition process can be performed. Using PVD or CVD, the first metal portion may comprise nickel, tin, tungsten, group, titanium, turn, or any other metal, reacting it with a stone at a raised temperature to open a low resistance In the example of the present invention, the first metal portions 45A, 480 are recorded. Referring to FIG. 4f and step 416, a metal lithium compound is formed on the NMOS 440, and the nmos 440 is formed. The metal telluride contains only the first metal portion 450 (e.g., metal A or nickel) germanide, however, since the PMOS 470 is covered by the hard mask 479, the metal A (nickel) containing the first metal portion 480 cannot be combined with pm〇S a 470 or a polycrystalline germanium reaction. As shown in Fig. 4f, a metal telluride is formed on the gate, source, and drain of nm〇s 440 to generate a gate metal telluride 454, a source metal germanide 456, and Bungee metal telluride 458, based on specific gold At the elevated temperature, the deuteration process promotes the reaction between metal A and germanium (or polysilicon); the deuteration process may include a second annealing step to cause the metallization of the annealed reaction to be metastable ( Metastable phase, or transforming the steady state, and forming a stable metal halide state with reduced electrical resistance; such a second annealing step may also be performed after removing unreacted metal in step 418 (described below); Some metal lithium 0503-A31625TWF 20 1267951: a compound such as nickel telluride can be formed in a step RTA at a lower temperature. • Referring to Figure 4g and step 418, the NMOS 440 and PMOS 470 can be removed. Reaction • Metal 'like other regions (not shown), such as an isolation structure, the unreacted metal attached to NMOS 440 contains the residue of metal A after step 418; is connected to the isolation region, nitriding • Shi Xi / oxidation The metal layer of Shishi, and the metal of PM〇S 470 (on which the hard mask is covered) does not react with the oxidized stone layer or the layer of nitrite, and a gold can be used. It is removed in a residual manner, leaving a complete metal cerium compound on the polysilicon gate and source/no-pole contact regions on Nm〇s 440. Refer to Figure 4h and step 420 to remove the hard from PMOS 470. The mask portion 479 can be removed by an etching process such as wet etching or dry etching to remove the hard mask portion 479, for example, in wet etching, an etching solution can be selected, in tantalum nitride and other materials (including There is a high etch selectivity between yttrium oxide and metal ruthenium. Referring to Figure 4i and step 422, second metal portions 452, 482 are deposited to cover NMOS 440 and PMOS 470, respectively, using the same metal (metal ruthenium). The second metal portions 452, 482, but using different metals or metal composites to form the first metal portions 450, 480, the deposition process can use PVD or CVD, and the metal portions 452, 482 can include records, inscriptions, irons, groups , ruthenium, platinum, rhodium, palladium, or any other metal that reacts with hydrazine at a elevated temperature to form a metal halide of a low low resistance state, in the present example, second metal portion 452, 482 It is cobalt. Referring to FIG. 4j and step 424, a metal germanide is formed on both NMOS 440 and PMOS 470. However, the metal halide formed on NMOS 440 is different from the metal germanide formed on PMOS 470 because NMOS 44 is on The formed metal halide is an alloy telluride comprising both a first metal portion 450 (metal A or nickel) telluride and a second metal portion 452 (metal B or cobalt) germanide, but formed on the PMOS 470 The metal lithium compound only contains the second metal portion 482 (cobalt) telluride. As depicted in Figure 4j, a metal telluride is formed on the gate, source, and drain of NMOS 440, resulting in a gate metal germanide 454, a source metal germanide 456, and a germanium metal germanide 458, in PMOS 470. Metal cleavage is formed on the gate, source and drain, resulting in gate metal deuteration 0503-A31625TWF 21 1267951 484, source metal telluride 486, and germanium metal telluride 488, gate metal telluride 454, source The polar metal lithium 456 and the bismuth metal lithium 458 alloy shi shi (recorded and recorded), but the gate metal hydride 484, the source metal hydride 486, and the ruthenium metal 488 system ! Ancient 0 As previously described, during step 416, a metal A telluride is first formed on NMOS 440. In the current step 424, metal A telluride on NMOS 440 reacts with metal B to form an alloy telluride. . The ratio of metal A/B (eg nickel/start) in the alloy telluride can be adjusted by optimizing the metal/child process and the Shihua process to provide the required work function'. At the temperature, the deuteration process promotes the reaction between the second metal (or the first and second metals) and the stone (or polycrystalline stone); the reaction of the metallization may be in a metastable phase. Or a second annealing step or rta is required in the conversion of the stable state, so that the formation of the -stabilized metallization state has a reduced electrical resistance, and after the removal of the unreacted metal in the step (described below), Such a second annealing step is carried out; it will be understood that some metal impurities such as Wei-nickel may be formed in the step rta at a lower temperature. Referring to Figure 4k and step 426, unreacted metal may be removed from the toilet (3) 44〇 and pM〇s 47〇, as in other areas (not shown), such as an isolation structure, the metal attached to the isolation area may not be associated with The oxygen cut or the Nina layer reaction requires selective removal using a metal solution, leaving a complete gold shot on the polycrystalline soot and source-contact areas. Referring to FIG. 41 and step 428, contact side stop layers 460 and 490 are formed. As described above, the contact side stop layer and the contact side process have a relatively high endurance, and contact with the silver stop layer 460. And the choice of 490 materials may be based on an insulating material mountain (not shown) to conform to the surname used, for example, using nitrite, oxynitride, or yttrium oxide to form contact. The money is carved to stop the layer and 3 as. In the example of the present invention, the 'contact side stop layer 46' and the depositable cover all areas 0 and PMOS 470, although it is known that a selective deposition process can be used, the specific deposition method can be selected according to the contact etch stop layer and The materials used, and can be ^

0503-A31625TWF0503-A31625TWF

22 1267951 / 匕'PVD、CVD、或一加熱製程,以及可以在多個步驟中完成,例如,接 觸钱刻停止層及可選擇—氮切薄膜,藉由 LPCVD、PECVD、或 ,、他已知方法形成氮化石夕薄膜,為了例示之目的使用一 製程,可提 供-低溫製程相容於底層結構,在pECVD製程中,可以在電裝中反應沉積22 1267951 / 匕 'PVD, CVD, or a heating process, and can be completed in multiple steps, for example, contact with the stop layer and optional - nitrogen cut film, by LPCVD, PECVD, or, he is known The method forms a nitride film, which is used for the purpose of illustration, and provides a low temperature process compatible with the underlying structure. In the pECVD process, it can be deposited in the electrical assembly.

,矽烧(811咖)及氨(或氮),可藉由三甲基魏(trimethylsilane) 之 PECVD ,減g^SlC) ’先前細已知可細微影及侧製額案化接觸钱刻 停止層460、490 〇 參考第5a圖及第5b至5i圖,在另-實施例中,可以使用-方法5〇〇 以形成第1圖之互補型金屬矽化物結構具有一 及一 pM〇s,第5b • Θ係、、、曰出弟5a圖中相對應製造步驟之一例示積體電路之剖面示意圖, 下述#义詳細說明第5a圖中方法5〇〇,第5b至5i圖之剖面示意圖對應於其 所指之目的,可理解的是方法5〇〇不限於一互翻金屬石夕化物結構之形成, 但可以在-半導體製程過程中用以形成任二區,其中第—區具有一組成或 材料比例且第二區具有一相異組成或材料比例。 如第5b圖所示,在本發明例子中,第一區係一_〇354〇以及第二區 係一 PMOS 570,可理解的是可以在進行方法5〇〇之前製造_〇3 54〇及 • PM〇S 570之部分,例如,應〇S 540係包含一多晶矽閘極542、間隔層544 及546、及一閘極介電層548,PM〇s 57〇係包含一多晶矽閘極572、間隔 層574及576、及一閘極介電層578。 特別參照第5a圖及第5c圖,方法500先依照步驟510中,沉積第一金 屬部分550、580 (使用相同金屬,A,)以分別覆蓋麗〇8 54〇及pM〇s 57〇, 第一金屬部分550、580之沉積方法係包含使用PVD或€¥1)製程,第一金 屬。P刀550、580可包含鎳、始、鎢、叙、鈦、翻、餌、免、或任何其他金 屬’在一提升之溫度下使其與矽反應以形成一低電阻狀態之金屬矽化物。 在本發明例子中,第-金屬部分55〇、58〇係包含鎳,可藉由濺鐘鎳以形成 鎳的沉積,在適合的製程步驟中包含··浸泡氫氟酸,、一濺鍍前的氬蝕刻以, 矽 ( (811 咖 ) and ammonia (or nitrogen), can be reduced by trimethylsilane PECVD, minus g ^ Sl C) 'previously known fine micro-shadow and side-cut case contact money stop Layers 460, 490 〇 refer to FIG. 5a and FIGS. 5b to 5i, and in another embodiment, method 5 〇〇 can be used to form the complementary metal halide structure of FIG. 1 having one and one pM〇s, 5b • The schematic diagram of the integrated circuit is illustrated in one of the corresponding manufacturing steps in the diagram of the Θ, 曰, 曰 弟, 5, and the following section explains the method 5〇〇, section 5b to 5i in Fig. 5a. The schematic diagram corresponds to the purpose thereof, and it is understood that the method 5 is not limited to the formation of an intermetallic metallurgical structure, but can be used to form any two regions in the semiconductor process, wherein the first region has A composition or ratio of materials and the second zone has a distinct composition or ratio of materials. As shown in FIG. 5b, in the example of the present invention, the first zone is a _ 〇 354 〇 and the second zone is a PMOS 570, it being understood that _ 〇 3 54 制造 can be fabricated before the method 5 进行• Part of PM〇S 570, for example, S 540 includes a polysilicon gate 542, spacer layers 544 and 546, and a gate dielectric layer 548, which includes a polysilicon gate 572, Spacer layers 574 and 576, and a gate dielectric layer 578. Referring specifically to Figures 5a and 5c, method 500 first deposits first metal portions 550, 580 (using the same metal, A,) in accordance with step 510 to cover Li Wei 8 54〇 and pM〇s 57〇, respectively. The deposition of a metal portion 550, 580 includes the use of a PVD or €1) process, a first metal. The P-knives 550, 580 may comprise nickel, tin, tungsten, ruthenium, titanium, turn, bait, free, or any other metal that reacts with helium at a raised temperature to form a metal halide of a low resistance state. In the example of the present invention, the first metal portion 55〇, 58〇 contains nickel, which can be formed by sputtering nickel to form nickel, and in a suitable process step, including: soaking hydrofluoric acid, before sputtering Argon etching

0503-A31625TWF 230503-A31625TWF 23

1267951 ; 準備矽表面,以及之後的濺鍍鎳。1267951 ; Prepare the surface of the crucible, and then the nickel plating.

• 參考第5d圖及步驟512,沉積第二金屬部分552、582以分別覆蓋NM0S • 540及™〇S 570,使用相同金屬(金屬,B,)形成第二金屬部分说、5幻, 但是使用不同金屬或金屬合成物以形成第一金屬部分55〇、58〇,沉積製程 • 可使用PVD或CVD,第二金屬部分552、582可包含鎳、鈷、鎢、鈕、鈦、 鉑、铒、鈀、或任何其他金屬,在一提升之溫度下使其與矽反應以形成一 低電阻狀悲之金屬碎化物’在本發明例子中,第二金屬部分M2、M2為銘。 參考弟5e圖及步驟5M,沉積第三金屬部分553、583以分別覆蓋NMOS • 540及PMOS 570,使用相同金屬(金屬,A,)形成第三金屬部分553、583, 如同第一金屬部分550、580,形成一厂三明治」結構,在兩層金屬a之間 形成一層金屬B (鎳/錄/錄),沉積製程可使用pvD或CVD,第三金屬部 分553、583可包含鎳、鉛、鎢、叙、鈦、翻、斜、絶、或任何其他金屬, 在-提升之溫度下使其與梦反應以形成—低姐狀態之金射化物,在本 發明例子中,第二金屬部分553、583為鎳,可藉由濺鍍鎳以形成鎳的沉積, 在適合的製程步驟中包含:浸泡氫氟酸叫賤鐘前的氬侧以準備石夕表面, 以及之後的濺鍍鎳。 φ 參考第5f圖及步驟516,可選擇性移除第三金屬部分583,留下完整的 第三金屬部分553,先前技術中已知可使用微影及钱刻製程以選擇性移除第 三金屬部分583,包括在金屬部分M3及583上形成光阻,自一光罩轉換钱 刻圖案至光阻上,餘刻,以及剝除光阻,也可以在剝除光阻後進行钱刻, 磁燦程最好依據第三金屬部分583之組成,例如,如果材料是錄,可選 擇濕蝕刻製程使用金屬蝕刻溶液如硫酸及過氧化氫混合物。 參考第5g圖及步驟518,在NM〇s 54〇及pM〇s別上皆形成一金屬 石夕化物,然而NMOS 540上所形成之金屬石夕化物異於觸8 57〇上所形成 之金屬石夕化物’因為NMOS ·上所形成之金屬石夕化物係一合金石夕化物’ 包含大量的金屬A (例如鎳)’然而PM〇s 57〇上所形成之金屬石夕化物包 0503-A31625TWF 24 1267951 - 含少量的金屬A,換句話說,兩者皆為包含金屬A及B (例如鎳及鈷)之 — 合金化合物,但具有不同之組成。 • 如第5g圖所繪,在NMOS 540之閘極、源極、汲極上形成金屬石夕化物, 產生閘極金屬矽化物554、源極金屬矽化物556、及汲極金屬矽化物558, , 在PM0S 570之閘極、源極、没極上形成金屬石夕化物,產生閘極金屬石夕化 物584、源極金屬矽化物586、及汲極金屬矽化物588,閘極金屬石夕化物554、 源極金屬矽化物556、及汲極金屬矽化物558係合金矽化物,包含大量的金 屬A (鎳),然而閘極金屬矽化物584、源極金屬矽化物586、及汲極金屬 鲁矽化物588係合金矽化物,包含少量的金屬A。合金矽化物中金屬(例 如鎳/铦)之比例可藉由優化金屬沉積製程及矽化製程進行調整,以提供所 需之功函數;在依據特定金屬所選擇之一提升之溫度下,其矽化製程促使 第二金屬(或第一及第二金屬)及矽(或多晶石夕)之間的反應;反應的金 屬矽化物可能在亞穩態(metastablephase,或稱變換穩定態)中而需要一第 二退火步驟或RTA,因此形成一穩定之金屬矽化物狀態具有降低的電阻; 也可以在步驟52G (如下所述)移除未反應金屬之後,實行這樣的第二退火 步驟,可以理解岐-些金屬魏物如;^化鎳,可以在_較低溫度下在一 • 步驟RTA中形成。 參考第5h圖及步驟52〇,可以從NMOS 54〇及pM〇s 57〇移除未反應 金屬,如同其他區域(圖中未示),譬如—隔離結構,附著在隔離區域上 之金屬可能未餘姆層或氮化销反應,需要伽·侧溶液進行選 擇性移除’將在多晶秒閘極及源極/汲極接觸區域上留下完整的金屬魏物。 參考第Μ圖及步驟552,形成接觸姓刻停止層56〇及59〇,如上所述, 接觸钱刻停止層560及590對於接點姓刻製程具有一相當高耐力,而且與 金屬石夕化物相容,接觸侧停止層_及㈣之選擇,可依據一絕緣 2材料_未示)以符合所使用之侧劑,例如,可使用氣切、氮氧 石夕、石反化石夕、或氧化石夕,以形成接觸飪刻停止層56〇及別。• Referring to Figure 5d and step 512, second metal portions 552, 582 are deposited to cover NM0S • 540 and TM〇S 570, respectively, using the same metal (metal, B,) to form a second metal portion, 5 phantoms, but using Different metals or metal composites to form first metal portions 55〇, 58〇, deposition process • PVD or CVD may be used, and second metal portions 552, 582 may include nickel, cobalt, tungsten, button, titanium, platinum, rhodium, Palladium, or any other metal, reacts with hydrazine at a elevated temperature to form a low resistance sorrow metal slag. In the present example, the second metal portions M2, M2 are inscribed. Referring to FIG. 5e and step 5M, the third metal portions 553, 583 are deposited to cover the NMOS 540 and the PMOS 570, respectively, and the third metal portions 553, 583 are formed using the same metal (metal, A,) as the first metal portion 550. 580, forming a factory sandwich structure, forming a layer of metal B (nickel/recording/recording) between the two layers of metal a, the deposition process may use pvD or CVD, and the third metal portions 553, 583 may comprise nickel, lead, Tungsten, Syrian, titanium, turned, oblique, absolutely, or any other metal, reacting with the dream at a temperature of -lifting to form a gold-emitting material in a low-sister state, in the present example, the second metal portion 553 583 is nickel, which can be formed by sputtering nickel to form nickel. In a suitable process step, immersing hydrofluoric acid is called the argon side of the clock to prepare the surface of the stone, and then sputtering nickel. φ Referring to FIG. 5f and step 516, the third metal portion 583 can be selectively removed leaving a complete third metal portion 553, which is known in the art to selectively remove the third using lithography and engraving processes The metal portion 583 includes forming a photoresist on the metal portions M3 and 583, converting a money pattern from the photomask to the photoresist, engraving, and stripping the photoresist, and may also perform the engraving after stripping the photoresist. The magnetic flux is preferably based on the composition of the third metal portion 583. For example, if the material is recorded, a wet etching process may be employed using a metal etching solution such as a mixture of sulfuric acid and hydrogen peroxide. Referring to FIG. 5g and step 518, a metal cerium compound is formed on both NM〇s 54〇 and pM〇s, but the metal formed on the NMOS 540 is different from the metal formed on the contact Shi Xi Compound 'Because of the metal lithology formed on the NMOS · an alloy alloy Xi's contains a large amount of metal A (such as nickel)' However, the metal yttrium formed on PM〇s 57〇 is 0503-A31625TWF 24 1267951 - Contains a small amount of metal A, in other words, both alloy compounds containing metals A and B (such as nickel and cobalt), but with different compositions. • as depicted in Figure 5g, a metal-lithium compound is formed on the gate, source, and drain of NMOS 540 to produce gate metal germanide 554, source metal germanide 556, and drain metal germanide 558, Metallic ceramide is formed on the gate, source and immersion of PM0S 570, resulting in gate metal lithium 584, source metal telluride 586, and ruthenium metal telluride 588, gate metal crystallization 554, Source metal telluride 556, and bismuth metal telluride 558 series alloy telluride, containing a large amount of metal A (nickel), but gate metal telluride 584, source metal telluride 586, and ruthenium metal ruthenium 588 series alloy telluride containing a small amount of metal A. The ratio of metal (eg, nickel/ruthenium) in the alloy telluride can be adjusted by optimizing the metal deposition process and the deuteration process to provide the desired work function; the deuteration process is carried out at a temperature that is selected according to one of the particular metals selected Promoting a reaction between the second metal (or the first and second metals) and the ruthenium (or polycrystalline stone); the reacted metal halide may be in a metastable phase or a stable state. The second annealing step or RTA, thus forming a stable metal telluride state, has a reduced electrical resistance; it is also possible to perform such a second annealing step after removing the unreacted metal in step 52G (described below), it being understood that Some metal materials such as nickel can be formed in a step RTA at a lower temperature. Referring to Figure 5h and Step 52, unreacted metals can be removed from NMOS 54〇 and pM〇s 57〇, as in other regions (not shown), such as isolation structures, metals attached to the isolation regions may not The coam layer or the nitriding pin reaction requires the gamma side solution for selective removal' to leave a complete metal artifact on the polycrystalline seconds gate and source/drain contact areas. Referring to the first diagram and step 552, the contact formation stop layers 56〇 and 59〇 are formed. As described above, the contact engraving stop layers 560 and 590 have a relatively high endurance for the joint surname engraving process, and are associated with the metal lithium compound. Compatible, the contact side stop layer _ and (4) may be selected according to an insulating material _ not shown to conform to the side agent used, for example, gas cutting, nitrous oxide, stone reversal, or oxidation may be used. Shi Xi, to form a contact with the stop layer 56 and other.

°503-A3l625TWF 25 1267951 / 在本發明例子中’接觸餘刻停止層560及590可沉積覆蓋所有區域包 3 〇S 540及PM〇S 570,雖然已知可以使用一選擇性沉積製程,特定沉 •積方法之選擇可依據接觸綱停止層560及590所使用之材料,以及可以 ^ ^ CVD或力口熱製程,以及可以在多個步驟中完成,例如,接 4觸磁队止層56G及59G可選擇—氮化秒薄膜,藉由LPCVD、PECVD、或 •其他已知方法形成氮化石夕薄膜,為了例示之目的使用- PECVD紫程,可提 供-魅製程相容於底層結構,在pECVD製程中,可以在電漿权應沉積 石夕烧㈣郎)及氨(或氮),可藉由三甲基矽烷(Mmethylsilane )之 成反化j^(SiC) ’先$技術已知可使用微影及餞刻製程圖案化接觸姓刻 停止層560、590。 多考第6a圖及第6b至6ι圖’在另一實施例中,可以使用一方法6〇〇 以形成第1 ®之互翻金屬石夕化物結構具有—及_ pM〇s,第讥 至&圖係緣出第6a圖中相對應製造步驟之一例示積體電路之剖面示意圖, 下述較詳細說明第6a圖中方法600,第6b至纪圖之剖面示意圖對應於其 所才曰之目的’可理解的是方法6〇〇不限於一互補型金屬石夕化物結構之形成, 但可以在-半導體f程過程巾用以形成任二區,其巾第—區具有—組成或 • 材料比例且第二區具有一相異組成或材料比例。 ^如第6b圖所示,在本發明例子中,第一區係一顺⑽64〇以及第二區 係PMOS 67〇 ’可理解的是可以在進行方法6〇〇之前製造麵“ο及 PMOS 670之Μ ’例如’順〇s 64〇係包含一多晶石夕閉極642、間隔層⑷ 及646、及-閘極介電層648,PM〇s 67〇係包含一多晶石夕間極仍、間隔 層674及676、及一閘極介電層678。 特別麵、第6a圖及第6e ®,方法6GG先依照步驟⑽中,沉積第-金 屬部分650、680 (使用相同金屬’a,)以分別覆蓋丽〇8 64〇及pM〇s 67〇, 第-金屬部分650、680之沉積方法係包含使用pvD sCVD製程,第一金 屬部分650、680可包含鎳、銘、鶴、麵、鈇、翻、斜、雀巴、或任何其他金°503-A3l625TWF 25 1267951 / In the example of the present invention, the contact residue stop layers 560 and 590 may deposit all of the regions 3 〇S 540 and PM 〇S 570, although it is known to use a selective deposition process, a specific sink The selection method can be selected according to the materials used in the contact stop layers 560 and 590, and can be performed by a CVD or a hot-melt process, and can be completed in multiple steps, for example, a 4-contact magnetic stop layer 56G and 59G selectable - nitriding second film, formed by nitriding film by LPCVD, PECVD, or other known methods, for the purpose of illustration - PECVD violet process, can provide - the process is compatible with the underlying structure, in pECVD In the process, it is possible to deposit Shi Xizhuo (four) lang and ammonia (or nitrogen) in the plasma right, which can be reversed by the formation of trimethyl decane (Mmethylsilane). The lithography and engraving process pattern contacts the surname stop layers 560, 590. Multi-test 6a and 6b to 6ι' In another embodiment, a method 6〇〇 can be used to form the 1® intermetallic metallurgical structure having - and _ pM〇s, The image is taken from the corresponding manufacturing step in Fig. 6a to illustrate a schematic cross-sectional view of the integrated circuit. The method 600 of Fig. 6a is described in more detail below, and the cross-sectional view of Fig. 6b to Fig. corresponds to its function. The purpose of the invention is understood to be that the method 6 is not limited to the formation of a complementary metallization structure, but may be used to form any two regions in the semiconductor wafer process, and the towel region has a composition or The material ratio and the second zone have a different composition or material ratio. ^ As shown in FIG. 6b, in the example of the present invention, the first region is a cis (10) 64 〇 and the second region PMOS 67 〇 ' It is understood that the surface "ο and PMOS 670 can be fabricated before the method 6 is performed. Μ ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' Still, spacer layers 674 and 676, and a gate dielectric layer 678. Special surface, 6a and 6e ® , method 6GG first according to step (10), depositing the first metal portion 650, 680 (using the same metal 'a ,) to cover Li Wei 8 64〇 and pM〇s 67〇, respectively, the deposition method of the first metal portions 650, 680 includes using a pvD sCVD process, the first metal portions 650, 680 may comprise nickel, Ming, crane, surface , 鈇, turn, oblique, finch, or any other gold

0503-A31625TWF 26 1267951 屬’在—提升之温度下使其與魏應以形成—低電阻狀態之金射化物。 2本發明例子中,第一金屬部分65〇、㈣係包含錄,可藉由崎錄以形成 -錄的崎’在適合的製程步射包含:浸泡氫_,-賤鐘前的氬侧以 準備矽表面’以及之後的濺鍍鎳。 . 參考第6d圖及步驟612 ,可選擇性移除第一金屬部分68〇,留下完整 •的第-金屬部分650,先前技術中已知可使用微影及钱刻製程以選擇性^ 第一金屬部分680’包括在金屬部分650及68〇上形成光阻,自一光罩轉換 糊圖案至細±,侧,以及齡光阻,也可以補除光崎進行银刻, 鲁钱刻製程最好依據第一金屬部分680。 參考第6e圖及步驟614,沉積第二金屬部分652、682以分別覆蓋_〇5 640及PM0S 670,使用相同金屬(金屬,B,)形成第二金屬部分652、砧2, 但是使用不同金屬或金屬合成物以形成第一金屬部分65〇、68〇,沉積製程 可使用PVD或CVD,第二金屬部分652、682可包含鎳、始、鎢、叙、鈦、 鉑、铒、鈀、或任何其他金屬,在一提升之溫度下使其與矽反應以形成一 低電阻狀態之金屬石夕化物,在本發明例子中,第二金屬部分652、為始。 參考弟6£圖及步驟516’沉積第三金屬部分653、683以分別覆蓋;^]\403 φ 640及PM0S 670,使用相同金屬(金屬,A,)形成第三金屬部分653、683, 如同第一金屬部分650、680,在NMOS 640上形成一「三明治」結構,在 兩層金屬A之間形成一層金屬B(鎳/始/鎳),沉積製程可使用PVD或cvd, ,第二金屬部分653、683可包含鎳、銘、鑄、鈕、鈦、翻、解、纪、或任何 其他金屬,在一提升之溫度下使其與矽反應以形成一低電阻狀態之金屬矽 化物,在本發明例子中,第三金屬部分653、683為鎳,可藉由濺鍍鎳以形 成鎳的沉積,在適合的製程步驟中包含··浸泡氫氟酸,一濺鍍前的氬蝕刻 以準備石夕表面,以及之後的錢鐘鎮。 參考第6g圖及步驟618,在NMOS 640及PMOS 670上皆形成一金屬 石夕化物,然而NMOS 640上所形成之金屬矽化物異於pm〇S 670上所形成 0503-A31625TWF 27 1267951 - 之金屬矽化物,因為NMOS 640上所形成之金屬矽化物係一合金石夕化物, 包含大量的金屬A (例如鎳),然而PM0S 670上所形成之金屬石夕化物包 - 含少量的金屬A,換句話說,兩者皆為包含金屬A及B (例如鎳及鈷)之 合金化合物,但具有不同之組成。 • 如第6g圖所繪’在NMOS64〇之閘極、源極、汲極上形成金屬石夕化物, 產生閘極金屬矽化物654、源極金屬矽化物656、及汲極金屬石夕化物658, 在PMOS 670之閘極d原極、汲極上形成金屬矽化物,產生閘極金屬矽化 物684、源極金屬矽化物686、及汲極金屬矽化物688,閘極金屬矽化物654、 0 源極金屬矽化物656、及汲極金屬矽化物658係合金矽化物,包含大量的金 屬A (錄)’然而閘極金屬碎化物684·、源極金屬碎化物、及没極金屬 矽化物688係合金矽化物,包含少量的金屬A。合金矽化物中金屬(例 如鎳/始)之比例可藉由優化金屬沉積製程及矽化製程進行調整,以提供所 需之功函數;在依據特定金屬所選擇之一提升之溫度下,其矽化製程促使 第二金屬(或第-及第二金屬)及梦(或多晶梦)之間的反應;反應的金 屬矽化物可能在亞穩態(metastablephase,或稱變換穩定態)中而需要一第 二退火步,贼RTA,因此形成-穩定之金屬魏物狀態具有降低的電阻; 鲁也可以在步驟620 (如下所述)移除未反應金屬之後,實行這樣的第二退火 步驟;可以理解的是一些金屬矽化物如矽化鎳,可以在一較低溫度下在一 步驟RTA中形成。 參考第6h圖及步驟620,可以從64〇及pM〇s 67〇移除未反應 金屬’如同其他區域(圖中未示),#如_隔離結構,附著在隔離區域上 之金屬可能未與氧化石夕層或氮化砍層反應,需要使用金屬飯刻溶液進行選 擇性移除f在多晶石夕閘極及源極級極接觸區域上留下完整齡屬魏物。 參考第6i圖及步驟652,形成接觸侧停止層_及_,如上所述, 接觸侧停止層660及690對於接鋪刻製程具有一相當高耐力,而且與 金屬雜物相容,接觸侧停止層_及_材料之選擇,可依據一絕緣0503-A31625TWF 26 1267951 is a gold-emitting compound that is formed at a temperature of the elevated temperature and formed by Wei. 2 In the example of the present invention, the first metal portion 65〇, (4) is included in the recording, and can be formed by a smear to form a recorded squirrel in a suitable process step: soaking hydrogen _, - the argon side before the 贱 以Prepare the surface of the crucible 'and the subsequent sputter nickel. Referring to Figure 6d and step 612, the first metal portion 68A can be selectively removed leaving a complete - metal portion 650, which is known in the art to use lithography and engraving to selectively A metal portion 680' includes a photoresist formed on the metal portions 650 and 68〇, and the paste pattern is switched from a mask to a fine ±, side, and age photoresist, and can also be used to supplement the light etching. It is based on the first metal portion 680. Referring to FIG. 6e and step 614, second metal portions 652, 682 are deposited to cover _〇5 640 and PMOS 670, respectively, using the same metal (metal, B,) to form second metal portion 652, anvil 2, but using different metals Or a metal composition to form the first metal portions 65〇, 68〇, the deposition process may use PVD or CVD, and the second metal portions 652, 682 may comprise nickel, tin, tungsten, ruthenium, titanium, platinum, rhodium, palladium, or Any other metal, which reacts with helium at a raised temperature to form a metal-lithium compound of a low resistance state, in the example of the present invention, the second metal portion 652 is the first. The third metal portions 653, 683 are deposited to cover the respective portions of the ^^\403 φ 640 and the PM0S 670, and the third metal portions 653, 683 are formed using the same metal (metal, A,) as described in FIG. The first metal portions 650, 680 form a "sandwich" structure on the NMOS 640, forming a layer of metal B (nickel/start/nickel) between the two layers of metal A, and the deposition process can use PVD or cvd, the second metal Portions 653, 683 may comprise nickel, melamine, cast, button, titanium, turn, solution, ki, or any other metal that reacts with yttrium at a elevated temperature to form a metal halide of a low resistance state, In the example of the present invention, the third metal portions 653, 683 are nickel, which can be formed by sputtering nickel to form nickel, including immersion of hydrofluoric acid in a suitable process step, and argon etching prior to sputtering to prepare Shi Xi surface, and the town of Qian Zhong. Referring to FIG. 6g and step 618, a metal ruthenium compound is formed on both the NMOS 640 and the PMOS 670. However, the metal ruthenium formed on the NMOS 640 is different from the metal formed on the pm 〇S 670 by 0503-A31625TWF 27 1267951 - Telluride, because the metal telluride formed on NMOS 640 is an alloy alloy, containing a large amount of metal A (such as nickel), but the metal sulphate package formed on PM0S 670 - containing a small amount of metal A, In other words, both are alloy compounds containing metals A and B (such as nickel and cobalt), but with different compositions. • As depicted in Figure 6g, 'metal cerium compound is formed on the gate, source, and drain of NMOS 64, resulting in gate metal telluride 654, source metal telluride 656, and drain metal lithium 658. A metal telluride is formed on the gate d and the drain of the PMOS 670, resulting in a gate metal germanide 684, a source metal germanide 686, and a gate metal germanide 688, a gate metal germanide 654, 0 source. Metal telluride 656, and bismuth metal telluride 658 alloy telluride, containing a large amount of metal A (recorded), but gate metal scrap 684, source metal scrap, and eutectic metal telluride 688 alloy Telluride, containing a small amount of metal A. The ratio of metal (eg, nickel/start) in the alloy telluride can be adjusted by optimizing the metal deposition process and the deuteration process to provide the desired work function; the deuteration process is carried out at a temperature that is elevated according to one of the particular metals selected Promoting a reaction between the second metal (or the first and second metals) and the dream (or polycrystalline dream); the metal halide of the reaction may be in a metastable phase or a stable state. The second annealing step, the thief RTA, thus forming a stable metal propagule state with reduced electrical resistance; Lu may also perform such a second annealing step after removing unreacted metal in step 620 (described below); understandable Some metal halides, such as nickel telluride, can be formed in a step RTA at a lower temperature. Referring to Figure 6h and step 620, the unreacted metal can be removed from 64〇 and pM〇s 67〇 as other regions (not shown), such as the isolation structure, the metal attached to the isolation region may not be associated with The oxidation of the oxidized stone layer or the nitriding layer requires the use of a metal meal solution for selective removal of f to leave intact ages on the polycrystalline slab gate and source-level contact regions. Referring to FIG. 6i and step 652, the contact side stop layers _ and _ are formed. As described above, the contact side stop layers 660 and 690 have a relatively high endurance for the joint engraving process, and are compatible with the metal debris, and the contact side stops. Layer _ and _ material selection, based on an insulation

0503-A31625TWF 28 1267951 _層材料(圖中未示)以符合所使用之蝕刻劑,例如,可使用氮化矽、氮氧 ’ 化石夕、碳化矽、或氧化矽,以形成接觸蝕刻停止層660及690。 - 在本發明例子中,接觸蝕刻停止層660及690可沉積覆蓋所有區域包 含NMOS 640及PMOS 670,雖然已知可以使用一選擇性沉積製程,特定沉 • 積方法之選擇可依據接觸蝕刻停止層660及690所使用之材料,以及可以 包含PVD、CVD、或一加熱製程,以及可以在多個步驟中完成,例如,接 觸蝕刻停止層660及690可選擇一氮化矽薄膜,藉由LPCVD、PECVD、或 其他已知方法形成氮化矽薄膜,為了例示之目的使用一 PECVD製程,可提 _ 供一低溫製程相容於底層結構,在PECVD製程中,可以在電漿中反應沉積 石夕焼(silane)及氣(或氮),可藉由三甲基石夕烧()之pEcyj) 形成碳化矽(SiC),先前技術已知可使用微影及蝕刻製程圖案化接觸蝕刻 停止層660、690。 參考第7a圖及第7b至7e圖,在另一實施例中,可以使用一方法7〇〇 以形成雙接觸蝕刻停止層(dual CESL)及第1圖之互補型金屬矽化物結構 具有一 NMOS及一 PMOS,第7b至7e圖係繪出第7a圖中相對應製造步驟 之一例示積體電路之剖面示意圖,下述較詳細說明第7a圖中方法7〇〇,第 φ 7b至7e圖之剖面示意圖對應於其所指之目的,可理解的是方法700不限於 一互補型金屬石夕化物及雙接觸韻刻停止層((iualCESL)結構之形成。 如第7b圖所示,在本發明例子中,方法70〇先依照步驟71〇中,提供 一互補型金屬矽化物結構具有一第一區,譬如NMOS 740,以及一第二區, 譬如PMOS 770 ;例如,NMOS 740係包含一多晶矽閘極742、間隔層744 及746、及一閘極介電層748,以及一第一金屬矽化物之金屬矽化物圖形 754、756、758 ; PMOS 770係包含一多晶矽閘極772、間隔層774及776、 及一閘極介電層778,以及一第二金屬矽化物之金屬矽化物圖形784、786、 788。可以在實行方法700之前,製造NM〇s 740及PMOS 770之互補型金 屬石夕化物結構;可以在形成接觸姓刻停止層(CESL)之前,藉由一種方法 0503-A31625TWF 29 1267951 灵貝上類似於方法200至_其中之一,形成麵w⑽及观⑽之 互補型ίί魏物結構;例如,可藉由—製程,係包含··形成—第一金屬 "層以復蓋第—區及第二區’自第二區選擇性移除第-金屬層,形成-第二 金屬,以,蓋第-區及第二區,在第_區及第二區上形成金屬碎化物,以 • 及自第區及第一區移除未反應金屬,以形成NMQS 740及PMOS 770之 互補型金屬矽化物結構。 特別荼妝第7a圖及第7c圖,方法7〇〇進行步驟712,在νμμ 74〇 及PMOS 770中皆形成一接觸钱刻停止層(cesl) ,其形成接觸侧 籲停止層(CESL)之方法類似於方法2〇〇至_中所述。 >考第7_及步驟川,藉由_圖案化製程,譬如一微影製程,在丽 0上$成離子植入罩幕765,例如,可以在顺^ 74〇及pM〇s 77〇之 表面土佈光阻層,然後使用一微影製程圖案化該光阻,顯影後移除覆蓋 於削㈤70之光阻層,留下光阻層覆蓋NMOS740。 …料第〜圖及步驟716,當顺〇S 74〇由離子植入罩幕765所保護時, 進灯離子植入製程,此離子植入製程能釋放pM〇s區中接觸侧停止層 ([)760之。卩分應力,讓pM〇s區中之接觸蝕刻停止層 •可轉換成接觸糊停止層(c亂),其具有—不同之應力,植入之 離子可包含鍺或其他適合之離子,可以微娜子植人之舰及能量以得到 所需之應力。 /多,第E]及第8b至8k圖,在另一實施例中,可以使用一方法8〇〇 、成=圖之互補型金屬秒化物結構及雙接觸侧停止層(·ι ’、冓一第b至8k圖係緣出帛8a圖中相對應製造步驟之一例示積體電路之 =面示意圖,下述較詳細說明第8a圖中方法_,第8b至狄圖之剖面示 意圖對應於其所指之目的,可理解的是方法 800不限於一互補型金屬石夕化 物結構及一互補型觸爾停止層(c〇_emen_亂㈤ 如第8b圖所示’在本發明例子中,方法_先依照步驟備中,提供0503-A31625TWF 28 1267951 _ layer material (not shown) to conform to the etchant used, for example, tantalum nitride, oxynitride, strontium carbide, tantalum oxide, or yttria may be used to form contact etch stop layer 660 And 690. In the example of the present invention, contact etch stop layers 660 and 690 can be deposited to cover all regions including NMOS 640 and PMOS 670. Although it is known to use a selective deposition process, the particular sink method can be selected based on the contact etch stop layer. The materials used in 660 and 690, and may include PVD, CVD, or a heating process, and may be performed in multiple steps, for example, contact etch stop layers 660 and 690 may select a tantalum nitride film by LPCVD, PECVD, or other known methods to form a tantalum nitride film, for the purpose of illustration using a PECVD process, can provide a low temperature process compatible with the underlying structure, in the PECVD process, can be deposited in the plasma (silane) and gas (or nitrogen), tantalum carbide (SiC) can be formed by trimethyl sulphide (pEcyj), which is known in the prior art to pattern contact etch stop layers 660, 690 using lithography and etching processes. Referring to FIG. 7a and FIGS. 7b to 7e, in another embodiment, a method 7A can be used to form a dual contact etch stop layer (dual CESL) and the complementary metal germanide structure of FIG. 1 has an NMOS. And a PMOS, the 7b to 7e diagram depicts a cross-sectional view of the integrated circuit illustrated in one of the corresponding manufacturing steps in FIG. 7a, and the method 7 〇〇 7b to 7e in FIG. 7a is described in more detail below. The cross-sectional schematic diagram corresponds to the purpose of the reference, and it is understood that the method 700 is not limited to the formation of a complementary metal-lithium compound and a two-contact stop stop layer (iualCESL) structure. As shown in Fig. 7b, In an embodiment of the invention, method 70 first provides a complementary metal halide structure having a first region, such as NMOS 740, and a second region, such as PMOS 770, in accordance with step 71. For example, NMOS 740 includes a polysilicon. Gate 742, spacer layers 744 and 746, and a gate dielectric layer 748, and a first metal halide metal halide pattern 754, 756, 758; PMOS 770 includes a polysilicon gate 772, spacer layer 774 And 776, and a gate dielectric layer 778, a second metal halide metal halide pattern 784, 786, 788. The complementary metallization structure of NM〇s 740 and PMOS 770 can be fabricated prior to performing method 700; Prior to CESL), a method of 0503-A31625TWF 29 1267951 is similar to one of methods 200 to _, forming a complementary structure of faces w(10) and (10); for example, by - process, including Forming a first metal layer to selectively remove the first metal layer from the second region to form a second metal to cover the first region and the second region Forming metal fragments on the _ region and the second region to remove unreacted metals from the first region and the first region to form a complementary metal bismuth structure of NMQS 740 and PMOS 770. 7a and 7c, method 7〇〇, step 712, forming a contact stop layer (cesl) in νμμ 74〇 and PMOS 770, which forms a contact side stop layer (CESL) similar to the method 2〇〇 to _. > test 7_ and step Sichuan, by _ A patterning process, such as a lithography process, can be used to implant an ion implant mask 765 on a ray, for example, a photoresist layer can be placed on the surface of the 〇74〇 and pM〇s 77〇, and then a lithography is used. The process patterning the photoresist, removing the photoresist layer covering the (five) 70 after development, leaving the photoresist layer covering the NMOS 740. [Material No. and Step 716, when the S 74 is covered by the ion implantation mask 765 When protected, the lamp ion implantation process can release the contact side stop layer ([) 760 in the pM〇s region. The stress is divided so that the contact etch stop layer in the pM〇s region can be converted into a contact paste stop layer (c disorder), which has different stresses, and the implanted ions can contain germanium or other suitable ions, which can be micro Nazi planted the ship and energy to get the required stress. /, E, and 8b to 8k, in another embodiment, a method of 8 〇〇, a complementary metal metal structure of the graph and a double contact side stop layer (·ι ', 冓 can be used. An example of the corresponding manufacturing steps in the figure b to 8k is illustrated as a schematic diagram of the integrated circuit. The following is a detailed description of the method in FIG. 8a, and the cross-sectional view of the 8th to the digraph corresponds to For the purposes of the present invention, it is to be understood that the method 800 is not limited to a complementary metal-lithium structure and a complementary contact stop layer (c〇_emen_乱(五) as shown in FIG. 8b' in the present invention example , method _ first follow the steps in the preparation, provide

0503-A31625TWF 30 1267951 、一互補型金屬雜物結構具有—第—區,譬如NMOS84G,以及-第二區, >#如PMOS 870 ’可理解的是可以在進行方法細之前,製造厕〇8 84〇 •及PM0S 870部分;例如,顧〇S 840係包含一多晶石夕閘極842、間隔層844 及846、及-閘極介電層848,PM〇s 87〇係包含一多晶石夕間極872、間隔 . 層874及876、及一閘極介電層878。 • 制參照第8a圖及第&圖,方法_進行步驟812,形成一第一層間 ;丨電層(ILD) 862 ’然後選擇性移除其中至少—部份,可藉由先前技術已 知方法形成此第一層間介電層⑽),然後選擇性移除,使得第一層間介 •電層(ILD) 862覆蓋顧0S _,然而暴露出PMOS 870。 、參考第圖及步驟814,藉由一適合之方法,使用第一金屬石夕化物材 料於PMOS 870中开》成-第_金屬石夕化物之金屬石夕化物圖案、_、 及 888。 參考第8e圖及步驟816,藉由-類似於方法2〇〇至7〇〇巾所述之方法, 形成-第-接觸蝕刻停止層(CESL)863以覆蓋漏^請及厦^⑽, 第-接職刻停止層(CESL) 863具有—拉伸應力,第—接雕刻停止層 (CESL) 863之組成及形成類似於方法2〇〇至6⑻中所述之接觸蝕刻停止 % 層(CESL) ’在一例子中,第一接觸侧停止層(CESL) 863可包含氮化 矽,其藉由一低壓化學氣相沉積法(LPCVD)所形成;可藉由石夕/氮比例及 /儿積/皿度等製程參數,微娜_接職刻停止層(cesl) 863之應力,更 可以藉由離子植人餘,譬如獅子植人,峨調應力。 參考第8f圖及步驟818,可以在刪〇8及削〇§區形成一第二層間介 電層(ILD) 864以覆蓋第一接觸钱刻停止層(CESL) 863。 參考第Sg圖及步驟82〇,然後藉由微影及侧製程之方法,自厕〇s _區選擇性移除第二層間介電層⑽)864、第一接觸侧停止層(⑽ 863、及第一層間介電層(ILD) 862。 參考第8h圖及步驟δη,藉由一類似於步驟副之方法,使用第二金0503-A31625TWF 30 1267951, a complementary metal structure has a -first region, such as NMOS84G, and - a second region, ># such as PMOS 870 ' It is understood that the toilet bowl 8 can be manufactured before the method is fine. 84〇• and PM0S 870 parts; for example, the Gus S 840 series includes a polycrystalline slab gate 842, spacer layers 844 and 846, and a gate dielectric layer 848, and the PM〇s 87 system contains a polycrystal. 787, 872, 876, and a gate dielectric layer 878. • Referring to Figure 8a and the & Figure, method _ proceeds to step 812 to form a first layer; the electrical layer (ILD) 862 'and then selectively removes at least - part thereof, which may have been The first interlayer dielectric layer (10) is formed and then selectively removed such that the first interlayer dielectric layer (ILD) 862 covers the MOS __, but exposes the PMOS 870. Referring to the figure and step 814, the first metal lithium material is used in the PMOS 870 by a suitable method to open the metal lithium pattern, _, and 888 of the metal-lithium compound. Referring to FIG. 8e and step 816, a -contact-etch etch stop layer (CESL) 863 is formed to cover the drain and the gate (10) by a method similar to that of the method 2 to 7 - The contact stop layer (CESL) 863 has a tensile stress, a composition of the first engraving stop layer (CESL) 863 and a contact etching stop layer (CESL) similar to that described in methods 2A through 6(8) In an example, the first contact side stop layer (CESL) 863 may comprise tantalum nitride formed by a low pressure chemical vapor deposition (LPCVD); / The degree of process parameters such as the degree of the dish, the micro-na _ pick-up stop layer (cesl) 863 stress, but also through the ion implants, such as the lion implant, 峨 stress. Referring to Figure 8f and step 818, a second interlayer dielectric (ILD) 864 can be formed over the etched and etched regions to cover the first contact stop layer (CESL) 863. Referring to the Sg diagram and the step 82, the second interlayer dielectric layer (10) 864 and the first contact side stop layer ((10) 863 are selectively removed from the toilet 〇 _ _ area by the lithography and side process methods. And a first interlayer dielectric layer (ILD) 862. Referring to the 8th figure and the step δη, the second gold is used by a method similar to the step method

0503-A31625TWF 31 1267951 :屬魏物材料’於NMOS 840中形成-第二金屬耗物之金屬魏物圖案 - 854、856、及 858。 • 參考第8i圖及步驟824,形成一第二接觸蝕刻停止層(CESL) 865以 覆蓋NM0S 840及PMOS 870兩區,第二接觸蝕刻停止層(CESL)祕可 •包含一壓縮應力,第二接觸兹刻停止層(CESL) 865之組成及形成類似於 方法200至600中所述之接觸蝕刻停止層(CESL),在一例子中,第一接 '觸侧停止層(CESL) 865可包含氮化石夕,其藉由-電漿加強化學氣相沉 積法(PECVD)所形成;可藉由矽/氮比例及沉積溫度等製程參數,微調第 •—接觸姓刻停止層(CESL) 863之應力,更可以藉由離子植入製程,譬如 鍺離子植入,以微調應力。 參考第8j圖及步驟826,可形成一第三層間介電層(辽工j) 以覆蓋 NM0S 840及PM0S 870之第二接觸钱刻停止層(cesl) 865。參考第8k 圖及步驟828,可藉由一適合之製程,譬如化學機械研磨法(CMp),平坦 化NM0S 840及PM0S 870’此平坦化製程可移除pM〇s 87〇中金屬石夕化物 圖案884上方之第三層間介電層(ild ) 866及第二接觸蝕刻停止層(CESL ) 865,以及部分移除相同區域中之第二層間介電層(ILD) 864,因此pM〇s φ 870中第二層間介電層(ILD) 864及NMOS 840中第三層間介電層彳ILD) 866可以共平面。 第一層間介電層(ILD) 862、第二層間介電層(ild) 864及第三層間 介電層(ILD) 866係包含:二氧化石夕、旋塗式玻璃(spil]K)nglass,s〇G), 敦摻雜石夕玻璃(FSG)、聚亞胺(polyimide)、碳摻雜氧化矽、黑鑽石(Black0503-A31625TWF 31 1267951: is a material material 'formed in NMOS 840 - a second metal consumable metal material pattern - 854, 856, and 858. • Referring to FIG. 8i and step 824, a second contact etch stop layer (CESL) 865 is formed to cover both the NM0S 840 and PMOS 870 regions, and the second contact etch stop layer (CESL) contains a compressive stress, second. The composition of the contact stop layer (CESL) 865 and the formation of a contact etch stop layer (CESL) similar to that described in methods 200 through 600, in an example, the first contact side stop layer (CESL) 865 can include Nitride, formed by plasma enhanced chemical vapor deposition (PECVD); fine-tuning the first contact stop layer (CESL) 863 by process parameters such as 矽/nitrogen ratio and deposition temperature Stress can be fine-tuned by ion implantation processes such as cesium ion implantation. Referring to FIG. 8j and step 826, a third interlayer dielectric layer (Liaogong j) may be formed to cover the NM0S 840 and the second contact stop layer (cesl) 865 of the PMOS 870. Referring to FIG. 8k and step 828, the planarization process can be used to remove the metal crystallization compound of pM〇s 87〇 by a suitable process such as chemical mechanical polishing (CMp), planarization of NM0S 840 and PM0S 870'. a third interlayer dielectric layer (ild) 866 and a second contact etch stop layer (CESL) 865 over the pattern 884, and partially removing a second interlayer dielectric layer (ILD) 864 in the same region, thus pM〇s φ The second interlayer dielectric layer (ILD) 864 of 870 and the third interlayer dielectric layer 彳 ILD 866 of NMOS 840 may be coplanar. The first interlayer dielectric layer (ILD) 862, the second interlayer dielectric layer (ild) 864, and the third interlayer dielectric layer (ILD) 866 comprise: dioxide dioxide, spin-coated glass (spil) K) Nglass, s〇G), Doxite Glass (FSG), Polyimide, Carbon Doped Cerium Oxide, Black Diamond (Black

Diamond,Applied Materials of Santa Clara,California)、乾凝膠(Xerogel )、 氣减膠(Aerogel)、說化非晶碳(amorphous fluorinated carbon)、聚對一 二甲苯基(卩&171郎〇、二環苯丁烯(1^-]^112(^外1〇13血1奶,;8(^)、別1^ (Dow Chmical,Midland, Michigan)、或其他材料。層間介電層(ILD )可 藉由化學氣相沉積法(CVD)、旋塗法、物理氣相沉積法(pvd)、.原子 0503-A31625TWF 32 1267951 : 層沉積法(ALD)或其他製程所形成。 苐一接觸#刻停止層(CESL) 863及第二接觸钱刻停止層(CESL) 865 • 對於接點蝕刻製程具有一相當高耐力,而且與金屬矽化物相容,第一接觸 儀刻停止層(CESL) 863及第二接觸蝕刻停止層(CESL) 865之組成及形 . 成’可分別依據第二層間介電層(ILD) 864及第三層間介電層(ild) 866, 例如,第一接觸蝕刻停止層(CESL) 863及第二接觸蝕刻停止層(CESL) 865可包含氮化石夕、氮氧化;5夕、碳化;g夕、氧化石夕、或其他適合之材料。 可於NMOS及PMOS區域形成互連之接點,例如,可以圖案化pM〇s 870中之笫一層間介電層(ild) 864及NMOS 840中之第三層間介電層 (ILD) 866以形成接點開口,使接點開口延伸至PM〇s 87〇中之金屬矽化 物圖案884、886、及888,以及NMOS 840中之金屬石夕化物圖案854、856、 858。例如,可以先蝕刻層間介電層(ILD),然後在一後續之製程中蝕刻 接觸侧停止層(CESL),圖案狀方法係包含微影及侧製程,侧製 程更包含多個步驟以移除層間介電層(ILD)結構及接觸蝕刻停止層 (CESL),以暴露金屬石夕化物圖案以進行連接,接點開口中所填充之導電 材料係實質上類似於閘極電極842及872之組成,填充之導電材料可藉由 φ 適合之製程如化學機械研磨(CMP)以進行平坦化而形成接點圖形,使用 其他適合之製程,譬如雙鑲嵌製程,以形成其他互連圖形,如介層窗及金 屬線。 。 隸本發明⑽較佳實補揭露如上,然其並制以限定本發明,任 何熟悉此項技藝者,在不脫離本發明之精神和範圍内,當可做些許更動與 潤飾’因此本發明之保護範圍當視後附之申請專利細所界定者為準。 【圖式簡單說明】 第1-1,1-2圖係繪出本發明所特別揭露之例示結構示意圖。 第2a圖錄出製造第謂結構之_第—例示方法之流程圖。 33Diamond, Applied Materials of Santa Clara, California), Xerogel, Aerogel, amorphous fluorinated carbon, poly-p-xylylene (卩& Bicyclobenzene (1^-]^112 (^ 1 1 13 blood 1 milk, 8 (^), 1 ^ (Dow Chmical, Midland, Michigan), or other materials. Interlayer dielectric layer (ILD) ) can be formed by chemical vapor deposition (CVD), spin coating, physical vapor deposition (pvd), atom 0503-A31625TWF 32 1267951: layer deposition (ALD) or other processes. The stop layer (CESL) 863 and the second contact stop layer (CESL) 865 • A high endurance for the contact etch process, and compatible with metal telluride, the first contact stop layer (CESL) 863 And the composition and shape of the second contact etch stop layer (CESL) 865 can be respectively based on the second interlayer dielectric layer (ILD) 864 and the third interlayer dielectric layer (ild) 866, for example, the first contact etch stop Layer (CESL) 863 and second contact etch stop layer (CESL) 865 may comprise cerium nitride, nitrogen oxide; Carbonization; eve, or other suitable materials. Interconnects can be formed in the NMOS and PMOS regions. For example, an interlayer dielectric layer (ild) 864 can be patterned in pM〇s 870 and A third interlayer dielectric layer (ILD) 866 in the NMOS 840 to form a contact opening, the contact opening extending to the metal germanide patterns 884, 886, and 888 in the PM 〇 s 87 ,, and the metal in the NMOS 840 The lithology patterns 854, 856, 858. For example, the interlayer dielectric layer (ILD) may be etched first, and then the contact side stop layer (CESL) is etched in a subsequent process, and the pattern method includes lithography and side processes. The side process further includes a plurality of steps to remove the interlayer dielectric layer (ILD) structure and the contact etch stop layer (CESL) to expose the metal lithium pattern for connection, and the conductive material filled in the contact opening is substantially Similar to the composition of the gate electrodes 842 and 872, the filled conductive material can be planarized by a suitable process such as chemical mechanical polishing (CMP) to form a contact pattern, using other suitable processes, such as a dual damascene process, To form other interconnections The drawings, such as the vias and the metal wires, are well-received as described above, and are intended to be illustrative of the invention, and those skilled in the art, without departing from the spirit and scope of the invention, A number of changes and modifications may be made. Therefore, the scope of protection of the present invention is subject to the definition of the patent application. BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1-1, 1-2 are diagrams showing an exemplary structure of the present invention. Figure 2a shows a flow chart of the _first-exemplary method for manufacturing the first-order structure. 33

0503-A31625TWF0503-A31625TWF

1267951 ' 第21>1,2b-2至2h-l,2h-2圖係依據第2a圖之方法,製造第μ1,丨_2圖 結構過程之剖面示意圖。 第3a圖係繪出製造第Μ,1-2圖結構之一第二例示方法之流程圖。 第3b-l,3B-2至3h-l,3h-2圖係依據第3a圖之方法,製造第1-1,丨·2圖 結構過程之剖面示意圖。 第4a圖係繪出製造第μ,1-2圖結構之一第三例示方法之流程圖。 第牝_1,4b_2至41-1,41-2圖係依據第4a圖之方法,製造第卜1,丨-2圖 結構過程之剖面示意圖。 ► 第5a圖係繪出製造第μι,1-2圖結構之一第四例示方法之流程圖。 第5b-l,5b-2至5i-l,5i-2圖係依據第5a圖之方法,製造第1-U-2圖結 構過程之剖面示意圖。 第6a圖係繪出製造第m,u圖結構之一第五例示方法之流程圖。 第6b-l,6b-2至6i-l,6i-2圖係依據第6a圖之方法,製造第1-1,1-2圖 結構過程之剖面示意圖。 第7a圖係繪出製造第μ,丨—2圖結構之一第六例示方法之流程圖。 第7b-l,7b-2至7e-l,7e-2圖係依據第7a圖之方法,製造第1-1,1-2圖 | 結構過程之剖面示意圖。 第8a圖係繪出製造第Μ,μ2圖結構之一第七例示方法之流程圖。 第8b-l,81>2至8k_l,8k_2圖係依據第8a圖之方法,製造第1-1,1-2圖 結構過程之剖面示意圖。 【主要元件符號說明】 N 型金氧半電晶體(丽〇8)〜1〇〇、mo、340、440、540、640、740、 840 ; P 型金氧半電晶體(PMOS)〜120、270、370、470、570、670、770、 870 ; 0503-A31625TWF 34 1267951 _ 多晶矽閘極〜102、122、242、272、342、372、442、472、542、572、 642、672、742、772、842、872 ; 間隔層〜104、106、124、126、244、246、274、276、344、346、374、 376、444、446、474、476、544、546、574、576、644、646、674、676、 744、746、774、776、844、846、874、876 ; 閘極介電層〜108、128、248、278、348、378、448、478、548、578、 648、678、748、778、848、878 ; 第一金屬部分〜250、280、350、380、450、480、550、580、650、680 ; | 第二金屬部分〜252、282、352、382、452、482、552、582、652、682 ; 閘極金屬矽化物〜114、134、254、284、354、384、454、484、554、584、 654、684、754、784、854、884 ; 源極金屬矽化物〜116、136、256、286、356、386、456、486、556、586 ' 656、686、756、786、856、886 ; 汲極金屬矽化物〜118、138、258、288、358、388、458、488、558、588、 658、688、758、788、858、888 ; 接觸蝕刻停止層〜112、132、260、290、360、390、460、490、560、590、 660、690、760、790 ; Ψ 硬式罩幕〜449、479 ; 第三金屬部分〜553、583、653、683 ; 離子植入罩幕〜765 ; 第一層間介電層〜862 ; 弟一接觸钱刻停止層〜863 ; 第二層間介電層〜864; 弟二接觸钱刻停止層〜865 ; 第三層間介電層〜866。1267951 '21st>1, 2b-2 to 2h-l, 2h-2 diagram is a schematic cross-sectional view of the structure of the μ1, 丨_2 diagram according to the method of Fig. 2a. Figure 3a is a flow chart depicting a second exemplary method of fabricating a third, 1-2, structure. The 3b-l, 3B-2 to 3h-l, 3h-2 diagrams are schematic views of the structure of the 1-1, 丨 2 diagram structure according to the method of Fig. 3a. Figure 4a is a flow chart depicting a third exemplary method for fabricating the structure of the μ, 1-2. The 牝_1, 4b_2 to 41-1, 41-2 diagrams are based on the method of Fig. 4a, and the cross-sectional view of the structure process of Fig. 1, 丨-2 is fabricated. ► Figure 5a is a flow chart depicting a fourth exemplary method for fabricating the structure of the first, 1-2. 5b-1, 5b-2 to 5i-1, and 5i-2 are schematic cross-sectional views showing the structure of the first 1-U-2 structure according to the method of Fig. 5a. Fig. 6a is a flow chart showing a fifth exemplary method for fabricating the mth, uth figure structure. Figures 6b-1, 6b-2 to 6i-1, and 6i-2 are schematic cross-sectional views showing the structural process of Figures 1-1, 1-2 in accordance with the method of Figure 6a. Fig. 7a is a flow chart showing a sixth exemplary method for fabricating the structure of the μ, 丨-2. Figures 7b-1, 7b-2 to 7e-1, and 7e-2 are schematic cross-sectional views of the structural process of Figures 1-1, 1-2 according to the method of Figure 7a. Figure 8a is a flow chart depicting a seventh exemplary method for fabricating a third, μ2 map structure. 8b-l, 81> 2 to 8k_1, 8k_2 are schematic cross-sectional views showing the process of the structure of Figs. 1-1, 1-2 according to the method of Fig. 8a. [Major component symbol description] N-type gold oxide semi-transistor (丽〇8) ~1〇〇, mo, 340, 440, 540, 640, 740, 840; P-type gold oxide semi-transistor (PMOS) ~ 120, 270, 370, 470, 570, 670, 770, 870; 0503-A31625TWF 34 1267951 _ polysilicon gates - 102, 122, 242, 272, 342, 372, 442, 472, 542, 572, 642, 672, 742, 772, 842, 872; spacers ~ 104, 106, 124, 126, 244, 246, 274, 276, 344, 346, 374, 376, 444, 446, 474, 476, 544, 546, 574, 576, 644 646, 674, 676, 744, 746, 774, 776, 844, 846, 874, 876; gate dielectric layers ~108, 128, 248, 278, 348, 378, 448, 478, 548, 578, 648 , 678, 748, 778, 848, 878; first metal parts ~ 250, 280, 350, 380, 450, 480, 550, 580, 650, 680; | second metal parts ~ 252, 282, 352, 382, 452, 482, 552, 582, 652, 682; gate metal telluride ~ 114, 134, 254, 284, 354, 384, 454, 484, 554, 584, 654, 684, 754, 784, 854, 884; Source metal halides ~116, 136, 256, 28 6, 356, 386, 456, 486, 556, 586 '656, 686, 756, 786, 856, 886; bungee metal telluride ~ 118, 138, 258, 288, 358, 388, 458, 488, 558, 588, 658, 688, 758, 788, 858, 888; contact etch stop layer ~ 112, 132, 260, 290, 360, 390, 460, 490, 560, 590, 660, 690, 760, 790; 硬 hard cover Curtain ~ 449, 479; third metal part ~ 553, 583, 653, 683; ion implantation mask ~ 765; first layer dielectric layer ~ 862; brother one contact money to stop layer ~ 863; second floor Dielectric layer ~ 864; Di two contact money to stop the layer ~ 865; third layer dielectric layer ~ 866.

0503-A31625TWF 350503-A31625TWF 35

Claims (1)

1267951 十、申請專利範圍: 1·一種半導體裝置,包含: 一基底,具有一第一主動區及一第二主動區; 多個第一金屬矽化物圖形,其由一第一金屬矽化物所形成,位於該第 一主動區内; 多個第二金屬矽化物圖形,其由一第二金屬矽化物所形成,位於該第 二主動區内,其中該第二金屬矽化物係異於該第一金屬矽化物,以及其中 至少有一金屬矽化物係一合金矽化物;以及 一蝕刻停止層,覆蓋該第一主動區及第二主動區中之至少一主動區。 2.如申請專利範圍第1項所述之半導體裝置,其中該第一主動區係包含 一 N型金氧半電晶體(NM〇s),以及該第二主動區係包含一 p型金氧半 電晶體(PMOS)。 3·如申請專利範圍第1項所述之半導體裝置,其中該蝕刻停止層係分別 具有一第一應力於該第一主動區内,及一第二應力於該第二主動區内。 4·如申請專利範圍第3項所述之半導體裝置,其中該第一應力係一拉伸 應力,以及該第二應力係一壓縮應力。1267951 X. Patent application scope: 1. A semiconductor device comprising: a substrate having a first active region and a second active region; and a plurality of first metal halide patterns formed by a first metal halide Located in the first active region; a plurality of second metal halide patterns formed by a second metal germanide in the second active region, wherein the second metal germanide is different from the first a metal telluride, and at least one of the metal telluride-based alloy telluride; and an etch stop layer covering at least one active region of the first active region and the second active region. 2. The semiconductor device of claim 1, wherein the first active region comprises an N-type metal oxide semiconductor (NM〇s), and the second active region comprises a p-type gold oxide Semi-transistor (PMOS). 3. The semiconductor device of claim 1, wherein the etch stop layer has a first stress in the first active region and a second stress in the second active region. 4. The semiconductor device of claim 3, wherein the first stress is a tensile stress and the second stress is a compressive stress. 5·如申請專利範圍第4項所述之半導體裝置,其巾該拉伸應力係大於 1〇9帕斯卡(pascal)。 6.如帽專利細第4賴叙轉體裝置,其找脑應 1〇9 帕斯卡(pascal) 〇 7対請專利細第丨項所述之半導體裝置,其核侧停止層係包 料’其選擇自-含氮材料’一含氧材料,及其組合物。 U利减第1綱述之铸體H射雜麟止 =,瓣自氮切,切,細,-高娜⑽-k)材 係具有一 k值至少10,及其組合物。 9.如__第i項所述之半導觀置,更包含 >接點圖形, 0503-A31625TWF 36 1267951 成於至少一開口内,其中該至少一開口係延伸通過該蝕刻停止層,到達該 第一金屬矽化物圖形及該第二金屬矽化物圖形中之至少一金屬矽化物圖 -形。 ° 10.如申請專利範圍第1項所述之半導體裝置,其中該第一金屬石夕化物 . 及該第二金屬矽化物中之至少一金屬矽化物係包含一單一金屬矽化物。 11·如申請專利範II第1項所述之半導體裝置,其中該第—金屬石夕化物 及該第二金屬矽化物係包含一材料,其選擇自矽化鎳、矽化鈷、矽化鎢、 矽化鈕、矽化鉑、矽化餌、矽化鈀及其組合物。 _ 12·如申請專利範圍帛1項所述之半導體裝置,其中該第-主動區及該 第二主動區係包含閘極介電層圖形。 〃 13·如申請專利範圍帛π項所述之半導體裝置,其中該閘極介電層圖形 係包含-材料,其選擇自氧化梦、氮切、氮氧化碎、高介電值㈤或士) 材料及其组合物。 14. 如專職gf 13項所述之半導體裝置,其巾該高介電值 (higli_k)材料係具有一介電常數至少1〇。 15. 如巾請專利範圍帛13項所述之半導體裝置,其中該高介電值 • (high_k)材料係包含-材料’其選擇自金屬氧化物、金屬氮化物、金屬矽 化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬石夕化物、金屬氮氧化 物、金屬鋁酸鹽、矽酸銼、鋁酸鍅、二氧化铪(Hf〇2)、二氧化銼(Zr〇2)、 氮氧化鍅(ZrOxNy)、氮氧化銓(Hf〇xNy)、矽酸铪(HfSix〇y)、石夕酸錘 UrSixOy)、氮氧矽铪(HfSix〇yNz)、三氧化二链(Al2〇3)、二氧化欽(Ti〇2)、 五氧化鈕(Ta2〇5)、三氧化二鑭(LaA)、二氧化錦(Ce〇2)、石夕酸叙 (Bl4Si2012)、氧化鎮(W03)、氧化釔(γ2〇3)、鋁酸爛(LaAi〇3)、 鈦酸鋇锶(Bai_xSrxTi〇3)、鈦酸鋇(BaTi〇3)、錯酸錯(pbZr〇3)、组酸 銳錯(PbScZTal-z〇3,簡稱 PST)、鈮酸鋅錯(pbZnzNM_z〇3,簡稱pzN)、 錘鈦酸錯(PbZK)3-PbTi03,簡稱PZT)、氧化給(醜gz麗_z〇3,簡稱 0503-A31625TWF 37 1267951 PMN)及其組合物。 ’其中該第一主動區及該 16·如申請專利範圍第1項所述之半導體裝置 第二主動區係包含閘極電極。 Π.如申請專利範圍第16項所述之半賴裝置,射該閘極電極係 -材料,其選擇自含石夕材料、含錯材料、含金屬材料及其組合物。 18.如申請專利範圍第17項所述之半導體裝置,其中制極電極係包含 -材料’其選擇自多晶石夕、多晶石夕錯、金屬、金屬石夕化物、金屬氮化物、 金屬氧化物及其組合物。5. The semiconductor device of claim 4, wherein the tensile stress is greater than 1 〇 9 Pascal. 6. For example, the cap device patent 4th reincarnation device, the brain device should be 1 〇 9 kPa (pascal) 〇 7 対 专利 专利 专利 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体The self-nitrogen-containing material is selected as an oxygen-containing material, and combinations thereof. The U-reduction of the first embodiment of the cast body H-ray lining =, the valve from the nitrogen cut, cut, fine, - Gona (10)-k) material has a k value of at least 10, and its composition. 9. The semiconductor guide according to __ i, further comprising a contact pattern, 0503-A31625TWF 36 1267951 being formed in at least one opening, wherein the at least one opening extends through the etch stop layer to reach At least one metal ruthenium pattern of the first metal ruthenium pattern and the second metal ruthenium pattern. 10. The semiconductor device of claim 1, wherein at least one of the first metal ruthenium compound and the second metal ruthenium compound comprises a single metal ruthenium compound. The semiconductor device according to claim 1, wherein the first metallization and the second metal halide comprise a material selected from the group consisting of nickel antimonide, cobalt telluride, tungsten antimonide, and antimony telluride. , bismuth platinum, bismuth bait, bismuth palladium and combinations thereof. The semiconductor device of claim 1, wherein the first active region and the second active region comprise a gate dielectric layer pattern. 〃13. The semiconductor device according to claim π, wherein the gate dielectric layer pattern comprises a material selected from the group consisting of oxidative dream, nitrogen cut, nitrogen oxynitride, high dielectric value (five) or Materials and compositions thereof. 14. The semiconductor device of the full-time gf 13, wherein the high dielectric value (higli_k) material has a dielectric constant of at least 1 〇. 15. The semiconductor device of claim 13, wherein the high dielectric value (high_k) material comprises a material selected from the group consisting of metal oxides, metal nitrides, metal halides, and transition metal oxides. , transition metal nitride, transition metallazine, metal oxynitride, metal aluminate, strontium ruthenate, strontium aluminate, strontium dioxide (Hf〇2), cerium oxide (Zr〇2), nitrogen Yttrium oxide (ZrOxNy), Hb〇xNy, HfSix〇y, UrSixOy, HfSix〇yNz, Al2O3 , Dioxin (Ti〇2), pentoxide (Ta2〇5), antimony trioxide (LaA), bismuth dioxide (Ce〇2), Shixi acid (Bl4Si2012), oxidized town (W03), Yttrium oxide (γ2〇3), barium aluminate (LaAi〇3), barium titanate (Bai_xSrxTi〇3), barium titanate (BaTi〇3), wrong acid (pbZr〇3), group acid sharpness ( PbScZTal-z〇3, abbreviated as PST), zinc citrate (pbZnzNM_z〇3, abbreviated as pzN), hammered titanium oxalate (PbZK) 3-PbTi03, referred to as PZT), oxidized to (ugly gz _z〇3, referred to as 0503-A31625TWF 37 1267951 PMN) and combinations thereof. The first active region and the semiconductor device second active region as described in claim 1 include a gate electrode.半. The device according to claim 16, wherein the gate electrode-material is selected from the group consisting of a stone material, a material containing a metal, a metal-containing material and a composition thereof. 18. The semiconductor device according to claim 17, wherein the electrode assembly comprises a material selected from the group consisting of polycrystalline, polycrystalline, metal, metal, metal nitride, metal Oxides and compositions thereof. Μ I9·如申請專利範圍第i項所述之半導體裝置,其中該第一主動區及該 第二主動H巾之至少—主祕係包含—升起式源極及汲極。 20.如申請專利範圍第j項所述之半導體裝置,其中該第一主動區及該 弟主動區中之至夕、主動區係包含一鰭狀結構電晶體(fin structure field effect transistor,FinFET)結構。 21·如申請專利範圍第丨項所述之半導體裝置,其中該基底係包含一元 素半導體。 22·如申請專利範圍第21項所述之半導體裝置,其中該元素半導體係包 含一材料,其選擇自矽及鍺。 23·如申請專利範圍第1項所述之半導體裝置,其中該基底係包含一化 合物半導體。 24_如申請專利範圍第1項所述之半導體裝置,其中該基底係包含一合 金半導體。 25. 如申請專利範圍第24項所述之半導體裝置,其中該合金半導體係包 含一材料,其選擇自含矽材料、含鍺材料及含碳材料。 26. 如申請專利範圍第25項所述之半導體裝置,其中該合金半導體係包 含珍鍺。 27·如申請專利範圍第1項所述之半導體裝置,其中該基底係包含一漸 0503-A31625TWF 38 1267951 變石夕錯結構。 /8.如巾請專利棚第丨獅述之半導體裝置,其中該基底係包含一石夕 覆蓋絕緣層(silicon on insulator,SOI)結構。 29.如申請專利範圍第28項所述之半導體裝置,其中該铸體覆蓋絕緣 層結構係包含一矽覆蓋絕緣層圖形。 30·—種半導體裝置的製造方法,包含: 提供-基底,其具有-第-主動區及—第二主動區,並中該第一主動 區及該第二絲區係分別包含-第—金屬魏物及1二金射化物; 形成-侧停止層,其具有-第—應力以覆蓋鶴—主祕 主動區; 於該第一主動區上形成一罩幕層; 之後離子植入該蝕刻停止層;以及之後移除該罩幕層。 31.如申請專利細第30顧述之半導财置的製^方法, 钱刻停止層係包含-製程,其選擇自化學 以 沉積法(PVD)。 飞相續法(CVD)及物理氣相 32_如申請專利範圍第3()項所述之 . 彳暇衣置的製造方法,其中於該第 主動[上幵/成-罩幕層,係包含使 成-光阻層。 4程,於該第-主動區上形 33·—種半導體裝置的製造方法,包含: 提供-基底,其具有—第—主動區及—第二. 於該第Γ主祕及該第二主動區上形成金; 自該第二主雜選擇性移除該第-金柄; 於該第-主動區及該第二主動區上形成二第 於亡玄第一 Φ叙卩第一金屬層, ㈣弟絲&及該第二主動 之德於兮筐一*形成一金屬矽化物;以及 •U如·:S °°及該第二主動區上形成-蝕刻停止戶。 34.如申請專利範圍第33項所述 _士止層 衣置的製造方法,其中形成該 0503-A31625TWF 39 1267951 /第-金屬層、該第二金屬層及該银刻停止層係包含一製程,其選擇自化學 氣相沉積法(CVD)及物理氣相沉積法(pVD)。 ^ …35树請專利範11帛33項所述之半導體裝置的製造方法,更包含:於 。亥第主祕上械-罩幕層之後,於該第二主動_離子植人該侧停 止層。 36.—種半導體裝置的製造方法,包含·· 提供一基底,其具有一第一主動區及一第二主動區; 於該第-主動區内形成- N型金氧半電晶體(NM〇s),以及於該第 鲁二主動區内形成-P型金氧半電晶體(PM〇s); 於該第-主動區及該第二主動區上形成_第_介電層; 自該第一主動區移除該第一介電層; 於該第-主動區及該第二主動區上形成—第—金屬層; 於該第一主動區内形成多個第一金屬矽化物圖案; 於該第主動區及該第二主祕上形成一拉伸應力餘刻停止層; 於該第-絲區及該第二主祕上形成_第三介電層; 自該第二主動區移除該第二介電層、該拉伸應力侧停止層及該第一 | 介電層; 於該第一主動區及該第二主動區上形成-第二金屬層; _第二主動區内形成多個第二金屬石夕化物圖案; 於《玄第主動區及该第二主動區上形成一壓縮應力钱刻停止層; 於4第-絲區及該第二主動區上形成_第三介電層;以及 平坦化該第一主動區及該第二主動區。 /7.如申請專利範圍帛36項所述之半導體裝置的製造方法,其中平坦化 口亥第主動區及該第二主動區係包含一化學機械平坦化(CM?)製程。 38.如申請專利範圍第%項所述之半導體裝置的製造方法,其中平坦化 〜弟主動區及11亥弟一主動區係包含·自該第二主動區移除該第三;介電層 0503-A31625TWF 40 1267951 及該第二蝕刻停止層。 …39·如申請專利範圍第%項所述之半導體裝置的製造方法,其中平坦化 絲-主動區及該第二主祕係包含··自該第二主祕部分移除該第二介 電層。 1 # 4〇·如申請專繼m第%項所述之轉魏置的製造方法,財形成該 =金屬層、該第二金屬層、該第刻停止層、該第二飿刻停止層、該 第一介電層、.該第二介電層及該第三介電層,係皆包含使用_製程:其^ 擇自化予軋相沉積法(CVD)及物理氣相沉積法(pvD)。 、The semiconductor device of claim 1, wherein at least the main active area and the second active H-belt comprise a raised source and a drain. 20. The semiconductor device of claim j, wherein the first active region and the active region of the active region comprise a fin structure field effect transistor (FinFET) structure. The semiconductor device of claim 2, wherein the substrate comprises a mono-element semiconductor. The semiconductor device of claim 21, wherein the elemental semiconductor comprises a material selected from the group consisting of germanium and germanium. The semiconductor device of claim 1, wherein the substrate comprises a compound semiconductor. The semiconductor device of claim 1, wherein the substrate comprises an alloy semiconductor. 25. The semiconductor device of claim 24, wherein the alloy semiconductor comprises a material selected from the group consisting of germanium containing materials, germanium containing materials, and carbonaceous materials. 26. The semiconductor device of claim 25, wherein the alloy semiconductor system comprises Jane. The semiconductor device of claim 1, wherein the substrate comprises a gradual structure of 0503-A31625TWF 38 1267951. /8. For example, the patent device of the patent shed is the semiconductor device of the lion, wherein the substrate comprises a silicon on insulator (SOI) structure. 29. The semiconductor device of claim 28, wherein the cast overlying insulating layer structure comprises a germanium overlying insulating layer pattern. 30. A method of fabricating a semiconductor device, comprising: providing a substrate having a -first active region and a second active region, wherein the first active region and the second silk region respectively comprise a -metal Wei and 1 two gold shots; forming a side stop layer having a -th stress to cover the crane-main secret active region; forming a mask layer on the first active region; then ion implantation is performed to stop the etching The layer; and then removing the mask layer. 31. The method of making a semi-conducting method for the semi-conducting of the patent application 30, the money-stopping layer-containing process, which is selected from the chemical deposition method (PVD). Fly-phase continuation (CVD) and physical gas phase 32_ as described in the scope of claim 3 () of the patent application, in which the first active [upper/in-cover layer, The inclusion-resist layer is included. The fourth method of forming a semiconductor device in the first active region comprises: providing a substrate, having a first active region and a second component, the second active and the second active Forming gold on the region; selectively removing the first gold handle from the second main impurity; forming a first metal layer on the first active region and the second active region (4) The younger brother & and the second active virtue form a metal halide in the basket; and • U such as: S°° and the second active region form an etch stop. 34. The method of manufacturing a vestibule layer garment according to claim 33, wherein the forming of the 0503-A31625TWF 39 1267951 / the first metal layer, the second metal layer, and the silver stop layer comprises a process It is selected from chemical vapor deposition (CVD) and physical vapor deposition (pVD). ^ ... 35 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 After the first master of the machine-covering layer, the second active_ion implanted the side stop layer. 36. A method of fabricating a semiconductor device, comprising: providing a substrate having a first active region and a second active region; forming an N-type MOS transistor in the first active region (NM〇) s), and forming a -P type MOS transistor (PM 〇s) in the ruthenium active region; forming a _th dielectric layer on the first active region and the second active region; Forming a first dielectric layer on the first active region; forming a first-metal layer on the first active region and the second active region; forming a plurality of first metal halide patterns in the first active region; Forming a tensile stress residual stop layer on the first active region and the second main secret; forming a third dielectric layer on the first silk region and the second main secret; moving from the second active region In addition to the second dielectric layer, the tensile stress side stop layer and the first | dielectric layer; forming a second metal layer on the first active region and the second active region; Forming a plurality of second metallization patterns; forming a compressive stress engraving stop layer on the Xuantin active region and the second active region; Forming a third dielectric layer on the first-wire region and the second active region; and planarizing the first active region and the second active region. The method of fabricating a semiconductor device according to claim 36, wherein the planarizing the active region and the second active region comprise a chemical mechanical planarization (CM?) process. 38. The method of fabricating a semiconductor device according to claim 5, wherein the flattening active region and the eleven active region comprise: removing the third from the second active region; the dielectric layer 0503-A31625TWF 40 1267951 and the second etch stop layer. The method of manufacturing a semiconductor device according to claim 5, wherein the flattening filament-active region and the second main secret system comprise: removing the second dielectric from the second main secret portion Floor. 1# 4〇·If applying for the manufacturing method of the transfer of the Wei, the metal layer, the second metal layer, the first stop layer, the second engraving stop layer, The first dielectric layer, the second dielectric layer and the third dielectric layer comprise a process of using a process: a selective phase deposition (CVD) method and a physical vapor deposition method (pvD). ). , 41·一種半導體裝置的製造方法,包含·· 提供-基底,其具有-第-主動區及—第二主動區,其中該該第—主 動區及該第二主祕係分別包含—金射化物區及—第二金私化物區. 主動侧停止層,其具有—第_應力以覆蓋該第—主動區及該第二 於該第-主動區内形成-第—韻刻停止層,其具有—第_應力· 於該第二主動區内形成-第二侧停止層,其具有—第二:力·’ 形成-介電層,以覆蓋該第-主動區及該第二主1有’ 停止層及該第二侧停止層;以及 勒上之該弟-钱刻 形成多個接觸洞,通過該介電層,以及通 二侧停止層其中之-,職該基底。侧停止層及該第 42.如申請專利範圍第^項所述之半導體裝置的方甘 多個接觸洞係包含钱刻該介電層。 / /、中形成該 43·如申請專利範圍第41項所述之半導體裝置的 多個接觸洞係包含蝕刻該第一蝕刻停止層及誃 ^去、、中形成該 蝕刻停止層。 刻停止層其中至少一 0503-A31625TWF 4141. A method of fabricating a semiconductor device, comprising: providing a substrate having a -first active region and a second active region, wherein the first active region and the second primary secret system respectively comprise - a gold emitter a region and a second gold chevron region. an active side stop layer having a -th stress covering the first active region and the second forming a -first rhyme stop layer in the first active region, having - the first stress - forming a second side stop layer in the second active region, having - a second: force · forming a dielectric layer to cover the first active region and the second primary having a stop layer and the second side stop layer; and the plurality of contact holes formed by the pair of holes, through the dielectric layer, and through the two side stop layers, the substrate. The side stop layer and the plurality of contact holes of the semiconductor device according to the invention of claim 4 include the dielectric layer. The plurality of contact holes of the semiconductor device according to claim 41, wherein the first etch stop layer is etched and the etch stop layer is formed. Inscribed stop layer at least one of 0503-A31625TWF 41
TW94133976A 2004-09-30 2005-09-29 A device having multiple silicide types and a method for its fabrication TWI267951B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/955,349 US20050156208A1 (en) 2003-09-30 2004-09-30 Device having multiple silicide types and a method for its fabrication

Publications (2)

Publication Number Publication Date
TW200620560A TW200620560A (en) 2006-06-16
TWI267951B true TWI267951B (en) 2006-12-01

Family

ID=36751590

Family Applications (1)

Application Number Title Priority Date Filing Date
TW94133976A TWI267951B (en) 2004-09-30 2005-09-29 A device having multiple silicide types and a method for its fabrication

Country Status (2)

Country Link
CN (1) CN100461409C (en)
TW (1) TWI267951B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
TWI745741B (en) * 2018-09-19 2021-11-11 台灣積體電路製造股份有限公司 Semiconductor device and fabricating the same

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI379347B (en) * 2006-07-31 2012-12-11 Applied Materials Inc Methods of forming carbon-containing silicon epitaxial layers
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
CN102956453B (en) * 2011-08-19 2017-02-22 联华电子股份有限公司 Semiconductor device and manufacture method thereof
CN103489777A (en) * 2012-06-11 2014-01-01 中芯国际集成电路制造(上海)有限公司 Stress memory technology method
US8796772B2 (en) * 2012-09-24 2014-08-05 Intel Corporation Precision resistor for non-planar semiconductor device architecture
US8889495B2 (en) * 2012-10-04 2014-11-18 International Business Machines Corporation Semiconductor alloy fin field effect transistor
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US9653604B1 (en) * 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3220645B2 (en) * 1996-09-06 2001-10-22 富士通株式会社 Method for manufacturing semiconductor device
US6406986B1 (en) * 2000-06-26 2002-06-18 Advanced Micro Devices, Inc. Fabrication of a wide metal silicide on a narrow polysilicon gate structure
US6468900B1 (en) * 2000-12-06 2002-10-22 Advanced Micro Devices, Inc. Dual layer nickel deposition using a cobalt barrier to reduce surface roughness at silicide/junction interface
DE10208904B4 (en) * 2002-02-28 2007-03-01 Advanced Micro Devices, Inc., Sunnyvale Method for producing different silicide areas on different silicon-containing areas in a semiconductor element
JP4173672B2 (en) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
CN1484297A (en) * 2002-09-18 2004-03-24 上海宏力半导体制造有限公司 Technology for mfg. semiconductor unit with improvement of leakage and resistance of deep submicron transistor
US6589836B1 (en) * 2002-10-03 2003-07-08 Taiwan Semiconductor Manufacturing Company One step dual salicide formation for ultra shallow junction applications

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
TWI745741B (en) * 2018-09-19 2021-11-11 台灣積體電路製造股份有限公司 Semiconductor device and fabricating the same
US11749682B2 (en) 2018-09-19 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow

Also Published As

Publication number Publication date
CN1770450A (en) 2006-05-10
TW200620560A (en) 2006-06-16
CN100461409C (en) 2009-02-11

Similar Documents

Publication Publication Date Title
TWI267951B (en) A device having multiple silicide types and a method for its fabrication
TWI338367B (en) Mosfets incorporating nickel germanosilicided gate and methods of their formation
TWI362753B (en)
TWI285956B (en) A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
TWI338349B (en) Cmos silicide metal gate integration
TWI321831B (en) Method for integration of silicide contacts and silicide gate metals
JP4197607B2 (en) Manufacturing method of semiconductor device including insulated gate field effect transistor
JP2915828B2 (en) Semiconductor wiring structure and method of manufacturing the same
JP5211503B2 (en) Manufacturing method of semiconductor device
CN107026195A (en) The formed method of semiconductor device
TW201009936A (en) Semiconductor device gate structure including a gettering layer
TW200525750A (en) FET gate structure with metal gate electrode and silicide contact
JP5549458B2 (en) Manufacturing method of semiconductor device
TW200845206A (en) Method for manufacturing insulated gate field effect transistor
TW200824045A (en) Method for fabricating semiconductor device
TW201110324A (en) Semiconductor device and CMOS device and integrated circuit
TW201015668A (en) Method for N/P patterning in a gate last process
TW200541071A (en) Method of forming silicided gate structure
TWI326899B (en) Method for forming fully silicided gate electrodes and unsilicided poly resistor
US10699949B2 (en) Mechanically stable cobalt contacts
WO2007060797A1 (en) Semiconductor device and method for manufacturing same
TW200820350A (en) Semiconductor devices and methods for forming the same
WO2007058042A1 (en) Semiconductor device and method for manufacturing same
US8299541B2 (en) Process for producing a contact pad on a region of an integrated circuit, in particular on the electrodes of a transistor
TWI528497B (en) Methods for fabricating integrated circuits having low resistance device contacts