TWI247339B - Lithographic printing with polarized light - Google Patents

Lithographic printing with polarized light Download PDF

Info

Publication number
TWI247339B
TWI247339B TW093104315A TW93104315A TWI247339B TW I247339 B TWI247339 B TW I247339B TW 093104315 A TW093104315 A TW 093104315A TW 93104315 A TW93104315 A TW 93104315A TW I247339 B TWI247339 B TW I247339B
Authority
TW
Taiwan
Prior art keywords
polarized
light
exposure beam
mask
illumination
Prior art date
Application number
TW093104315A
Other languages
Chinese (zh)
Other versions
TW200503069A (en
Inventor
Nabila Baba-Ali
Justin L Kreuzer
Harry O Sewell
Original Assignee
Asml Holding Nv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Holding Nv filed Critical Asml Holding Nv
Publication of TW200503069A publication Critical patent/TW200503069A/en
Application granted granted Critical
Publication of TWI247339B publication Critical patent/TWI247339B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70566Polarisation control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/28Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for polarising

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Microscoopes, Condenser (AREA)

Abstract

The present invention provides systems and methods for improved lithographic printing with polarized light. In embodiments of the present invention, polarized light (radially or tangentially polarized) is used to illuminate a phase-shift mask (PSM) and produce an exposure beam. A negative photoresist layer is then exposed by light in the exposure beam. A chromeless PSM can be used. In further embodiments of the present invention, radially polarized light is used to illuminate a mask and produce an exposure beam. A positive photoresist layer is then exposed by light in the exposure beam. The mask can be an attenuating PSM or binary mask. A very high image quality is obtained even when printing contact holes at various pitches in low k applications.

Description

1247339 (1) 玖、發明說明 【發明所屬之技術領域】 本發明有關高數値孔徑與浸泡微影。 【先前技術】 以高解析度印刷圖樣對微影工具與技術的要求越來越 高。例如,在半導體晶粒或晶片的製造中,諸如線路、接 點孔或其他元件等電路特性的圖樣,常需以高解析度印刷 ,以改良電路元件的封裝密度,及減少圖樣的點距。某些 電路特性,例如接點孔或通孔,特別難以製造。 與微影解析度有關的知名參數是關鍵尺寸(CD ) ° CD爲最小幾何特性的尺寸,其可於半導體裝置及電路製 ·. * *. 造中,使用特定技術加以形成。關鍵尺寸可以下列函數加 以說明:CD = k ( λ/ΝΑ) 其中λ係用於微影的波長,ΝΑ爲數値孔徑,k爲介 電常數。在微影的趨勢中,藉由降低所使用的波長’增加 數値孔徑與降低k値,以降低CD。 在低k應用中印刷是困難的。例如,當k値小於0· 5 時,接點孔便難以印刷。包括如接點陣列之成群接點孔的 足夠品質的高對比影像’特別難以印刷。 已使用極高NA與光軸外照明來增強對比的技術’但 彼等技術無法用於小點距。例如’在157nm波長及 0.9 3 N A下,有限的點距(以解析度爲主)約爲1 3 5 nm ( k =0.4 ) —此對於某些應用而言太高了。同時可能發生禁 -5- (2) 1247339 止的點距。此意即若對特定點距而言此照明是最佳的,那 麼便可能無法同時印刷其他點距。禁止的點距可顯示於禁 止點距的低常態化影像登錄斜率(NILS )或不良CD控制 【發明內容】 本發明提供利用極化光改進微影印刷的系統及方法。 在本發明的實施例中,極化光(例如放射、切線或訂 製極化的)係用於照亮相移遮罩(PSM ),並產生曝光光 束。負光阻層接著經由該曝光光束的光而曝光。可使用無 鉻 PSM。在一實施例中,放射極化光係用於結合無鉻 PSM、笛卡兒四極點(C-quad )照明及負光阻。即使當在 低k應用時,印刷不同點距的接點孔,亦可獲得極高的影 像品質。避免了禁止點距。 在本發明的進一步實施例中,放射極化光係用於照亮 遮罩並產生曝光光束。正光阻層接著經由該曝光光束的光 而曝光。該遮罩可爲衰減PS Μ或二元遮罩。在一實施例 中,放射極化光係用於結合衰減相移遮罩或二元遮罩、標 準對角四極點照明,及正光阻。即使當在低k應用時,印 刷不同點距的接點孔,亦可獲得極高的影像品質。 可使用訂製極化,以進一步改進印刷。該訂製極化可 能是例如結合放射及切線極化。此外,亦可使用交替PSM 以改進印刷品質。 下列將參照附圖,詳細地說明本發明的實施例、特性 -6- (3) 1247339 與優點,及本發明之不同實施例的結構與作業。 之元件 本發明將參照附圖進行說明。圖中第一次出ij 典型地以相關編號之最左邊數字標示。 【實施方式】 內容表 1 .系統總覽 2.討論及模擬結果 A. 簡介 B. 解析度 B1.理論的解析度限制 . B2.光軸外照明微影之解析度能力 C. 極化 C1.模擬實驗 C2.影像品質的極化效果 罩,及 C3.極化光、無鉻PSM、負光阻 C4.放射極化光、衰減相移遮罩或二元遮 正光阻 D. 無鉻交替PSM之極化 D1.與放射極化光結合之無鉻交替PSM, nm點距巢狀接點 D 2.具放射極化光之無鉻接點的點距作用 D3.訂製極化 E.浸泡微影 100- 1247339 (4) F.遠 雖然討 之便。熟悉 精神及範圍 技藝之人士 請案中。 本發明 1 .系統總覽 圖1爲 施例中,照 然本發明於 士應理解, 照明源102 的雷射。可 射產生器中 預先極 用的’圖樣 但不侷限於 照明源102 置,例如一 102所發出 波板。 圖樣極 紫外線輻射(EUV ) 論特定規格與設計,但應理解的是其僅爲描繪 本發明技藝之人士應理解,在不偏離本發明的 下,可使用其他的規格與設計。對熟悉本發明 而言顯而易見的是,本發明亦可運用於多項申 提供以極化光改進微影印刷的系統及方法。 依據本發明一實施例的微影系統100。在一實 明源1 0 2沿光學路控發出預先極化照明光。雖 文中提及預先極化照明光,但熟悉本技藝之人 亦> 可使用未極化照明光。預先極化光的例子, 可以是發出具大約爲直線極化趨勢之雷射光束 替代地,極化器可附加於極化照明源1 02之雷 〇 化光接著通過圖樣極化裝置1 0 4。如文中所使 極化裝置1 04係界定用以包圍極化裝置,包括 傳統與I了製極化及波板。若預先極化光係由 所發出,那麼圖樣極化裝置1 04便可爲極化裝 或多個極化器或波板。若未極化光係由照明源 ,那麼圖樣極化裝置1 04便爲極化極化器而非 化裝置1 04塑造預先極化照明光成爲各種預設1247339 (1) Description of the Invention [Technical Field] The present invention relates to a high number of apertures and immersion lithography. [Prior Art] The requirements for lithography tools and techniques are increasing with high resolution printing patterns. For example, in the fabrication of semiconductor dies or wafers, patterns of circuit characteristics such as lines, contact holes or other components are often required to be printed at high resolution to improve the packing density of the circuit components and to reduce the dot pitch of the pattern. Certain circuit characteristics, such as contact holes or through holes, are particularly difficult to manufacture. A well-known parameter related to lithography resolution is the critical dimension (CD) ° CD is the minimum geometric size, which can be formed using semiconductor technology and circuit fabrication. The critical dimensions can be illustrated by the following function: CD = k (λ/ΝΑ) where λ is the wavelength used for the lithography, ΝΑ is the number 値 aperture, and k is the dielectric constant. In the trend of lithography, CD is reduced by increasing the number of apertures by decreasing the wavelength used. Printing in low-k applications is difficult. For example, when k 値 is less than 0·5, the contact hole is difficult to print. High quality contrast images of sufficient quality, including clustered contact holes, such as contact arrays, are particularly difficult to print. Techniques that use very high NA and off-axis illumination to enhance contrast have been used' but their techniques cannot be used for small dot pitches. For example, at a wavelength of 157 nm and 0.9 3 N A, the finite dot pitch (mainly by resolution) is about 1 35 nm (k = 0.4) - this is too high for some applications. At the same time, the dot pitch of -5- (2) 1247339 may be prohibited. This means that if the illumination is optimal for a particular dot pitch, then other dot pitches may not be printed at the same time. The forbidden dot pitch can be displayed at a low normalized image registration slope (NILS) or poor CD control of the forbidden dot pitch. SUMMARY OF THE INVENTION The present invention provides a system and method for improving lithography printing using polarized light. In an embodiment of the invention, polarized light (e.g., radiated, tangential, or custom polarized) is used to illuminate a phase shift mask (PSM) and produce an exposure beam. The negative photoresist layer is then exposed via the light of the exposure beam. A chrome free PSM can be used. In one embodiment, the radio-polarized light system is used to combine chrome-free PSM, Cartesian quadrupole (C-quad) illumination, and negative photoresist. Even when used in low-k applications, it is possible to obtain extremely high image quality by printing contact holes of different dot pitches. Avoiding the prohibition of the dot pitch. In a further embodiment of the invention, the radially polarized light is used to illuminate the mask and produce an exposure beam. The positive photoresist layer is then exposed via the light of the exposure beam. The mask can be an attenuated PS Μ or a binary mask. In one embodiment, the radially polarized light is used in conjunction with an attenuated phase shift mask or binary mask, a standard diagonal four pole illumination, and a positive photoresist. Even when applied in low-k applications, the contact holes of different dot pitches can be printed to achieve extremely high image quality. Custom polarization can be used to further improve printing. The custom polarization may be, for example, combined with radiation and tangential polarization. In addition, alternating PSM can also be used to improve print quality. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, embodiments of the present invention, characteristics -6-(3) 1247339 and advantages, and structures and operations of different embodiments of the present invention will be described in detail with reference to the accompanying drawings. Components The present invention will be described with reference to the accompanying drawings. The first ij in the figure is typically indicated by the leftmost digit of the relevant number. [Embodiment] Table of Contents 1. System Overview 2. Discussion and Simulation Results A. Introduction B. Resolution B1. Theoretical resolution limit. B2. Resolution of optical off-axis illumination lithography C. Polarization C1. Simulation Experiment C2. Polarization effect mask for image quality, and C3. Polarized light, chrome-free PSM, negative photoresist C4. Radiated polarized light, attenuated phase shift mask or binary masking photoresist D. Chromium-free alternating PSM Polarization D1. Chromium-free alternating PSM combined with radioactive polarization, nm point from nest contact D 2. Chromium-free contact with radioactive polarization. D3. Custom polarization E. Soaking micro Shadow 100- 1247339 (4) F. Although it is easy to discuss. People who are familiar with the spirit and scope of the craft. The present invention 1. System Overview FIG. 1 is a perspective view of a laser of an illumination source 102 as understood by the present invention. The pre-existing 'pattern' in the emitter generator is not limited to the illumination source 102, such as a 102-issued waveplate. Pattern Ultraviolet Radiation (EUV) is a specific specification and design, but it should be understood that it is understood by those skilled in the art that other specifications and designs may be used without departing from the invention. It will be apparent to those skilled in the art that the present invention is also applicable to a number of systems and methods for improving lithographic printing with polarized light. A lithography system 100 in accordance with an embodiment of the present invention. Pre-polarized illumination is emitted along an optical path at an actual source 102. Although pre-polarized illumination is mentioned herein, those skilled in the art will also be able to use unpolarized illumination. An example of pre-polarized light may be to emit a laser beam having a tendency to be approximately linearly polarized, and the polarizer may be attached to the polarized illumination source 102 and then pass through the pattern polarization device 1 0 4 . As described herein, the polarization device 104 is defined to surround the polarization device, including conventional and I-polarization and wave plates. If the pre-polarized light system is emitted, the pattern polarization device 104 can be a polarized device or a plurality of polarizers or wave plates. If the unpolarized light system is illuminated by an illumination source, then the pattern polarization device 104 shapes the pre-polarized illumination light for the polarization polarizer instead of the chemical device 104.

-8- (5) 1247339 的設計,例如極化圖樣與強度圖樣。例如,圖樣極化裝置 1 04可塑造預先極化照明光成爲放射極化光、切線極化光 或具有訂製極化的光。在一實施例中,照明光爲四極點照 明,例如笛卡兒四極點(C-quad )照明。雖然本文中以四 極點照明作爲範例,但熟悉本技藝之人士應理解的是,任 何源形的照明均可使用。 照明光照亮遮罩106。遮罩106在照明光中產生一圖 樣。熟悉本技藝之人士應理解的是,遮罩1 06可爲任何類 型的遮罩或標線。在本發明一實施例中,遮罩106爲二元 遮罩。在其他實施例中,遮罩106爲相移遮罩(PSM), 例如無鉻PSM、交替PSM或衰減PSM。 .包括遮罩圖樣的光接著穿過投影光學儀器108,後者 進一步調適及處理該光。投影光學儀器108可包括一個元 件或複數個光學元件。投影光學儀器1 08產生一持續沿光 學路徑前進的曝光光束。 最後,該曝光光束依據其所包含之圖樣進行晶圓1 1 〇 曝光。在本發明實施例中,晶圓1 1 0由負光阻層所覆蓋。 在一實施例中,放射極化光係用於結合無鉻PSM、笛卡兒 四極點(C-quad )照明及負光阻。即使當在低k應用時, 印刷不同點距的接點孔,亦可獲得極高的影像品質。避免 了禁止點距。 在本發明的其他實施例中,晶圓1 1 〇由正光阻層所覆 蓋。例如,放射極化光在一實施例中用於遮罩1 06,並產 生一曝光光束。一正光阻層接著由曝光光束中的光進行曝 -9 - 1247339 (6) 光。在其他實施例中,放射極化光用於結合衰減相移遮罩 或二元遮罩、標準對角四極點照明,及正光阻。即使當在 低k應用時,印刷不同點距的接點孔,亦可獲得極高的影 像品質。 圖2爲另一微影系統2 0 0範例,其中本發明可逕行完 成。極化照明源1 02及遮罩1 〇6執行如系統1 〇〇之說明相 同的功能。然而,在系統200中,圖樣極化裝置202包含 於投影光學儀器中。如同圖樣極化裝置1 04,圖樣極化裝 置2 02塑造預先極化照明光成爲各種預設的設計,例如放 射極化設計、切線極化設計或訂製極化設計。進一步塑形 及調適照明光的光學元件,可置於圖樣極化裝置202的前 或後方。渠等光學元件顯示爲投影光學儀.器204 A及204 B ,並製造持續沿光學路徑前進的曝光光束。 在經由圖樣極化裝置202與投影光學儀器204A及 2 04B塑形後,極化光便依據遮罩106的圖樣對晶圓1 10 曝光。晶圓110可由正或負光阻層所覆蓋。 2.討論及模擬結果 下列所提供之討論及模擬結果,係進一步描繪本發明 之實施例的觀點及特性,並不希望侷限本發明。發明者使 用KLA-Tencor公司的Prolith*™ 7.1微影模擬系統,比較 許多印刷5 0/5 0-nm巢狀接點孔的方法。渠等所使用的方 法包括:光軸外四極點照明,及具最佳照明極化之相移遮 罩;與特定極化模式相結合之無鉻交替相移遮罩(CAPS Μ 1247339 (7) ):具極高數値孔徑(ΝΑ)之浸泡微影,波長157-nm ; 及遠紫外線輻射(EUV )微影。 發明者發現光軸外照明技術的限制,可藉由使用放射 極化而予以推進,並如何運用發現遮罩偏斜(背景傳輸) 使影像最佳化。解析度限制可進一步以二維無鉻交替PSM 結合放射極化而予以推進。以依據本發明實施例之極化增 強,可獲得高反差影像,並可使用負光阻來印刷l〇〇-nm 點距的高品質接點孔。在包括浸泡的範例應用中,依據本 發明實施例之放射極化可進一步增強影像品質。發明者進 一步以遠紫外線輻射(EUV )波長所獲得的結果比較渠等 發現,確認在EUV波長及低NA下,亦可提供印刷100-nm點距之接點孔的優越狀況。 A.簡介 目前,對光學微影而言,產生具10Ο-nm點距的50-nm接點是一項挑戰。半導體裝置的產業指引需要在2008 年前做到50-nm接點。爲達此能力,在EUV廣泛使用之 前,目前的光學微影需要顯著的擴展。即使以使用157-nm波長的高NA光學儀器,傳統反差增強技術例如光軸 外照明及衰減P S Μ,均不足以印刷足夠高品質之1 0 0 · n m 點距的接點孔。除了具衰減PSM之四極點照明外,需要 解析度增強技術以便印刷l〇〇-nm點距的接點。參照圖3A ,爲具接點孔之晶圓的放大圖。抗蝕劑層3 02附加於晶圓 表面3 04。一微影系統(未顯示)將抗蝕劑層3 0 2曝光, -11 - (8) 1247339 以產生接點孔3 06。圖3B爲由上述觀之時,接點孔圖樣 的影像。 模擬用於檢視依據本發明實施例之成群接點的最佳印 刷技術。發明者使用Pro lithTM 7.1探究提昇接點視窗印刷 能力的技術。首先,發明者模擬高數値孔徑157-nm系統 的傳統微影狀況,並組建可具適當影像反差之印刷的最小 點距。其次,發明者日益修改技術以提昇解析度能力。如 此一來,本發明藉由首先使照明的極化最佳化,探究無鉻 相移遮罩,接著並採用諸如浸泡微影及EUV微影等波長 縮短方法,展示與光軸外照明及6%衰減相移遮罩(PSM )之起始狀況的改進結果。 B·解析度 首先在文中印刷接點的部分檢視解析度的理論。該理 論協助說明吾人如何增強接點陣列的系統解析度。 B1·理論的解析度限制 對於X方向點距Ρχ及y方向點距Py的二維週期性圖 樣而言,遮罩頻譜爲非零之離散空間頻率,其χ及y部分 對點距成反比: (方程式) 其中η及m爲整數(〇、+/-1、+/_2、+/-3等) 通常,處理常態化空間頻率較爲方便’即: -12- (9) 1247339 (方程式) 除了零點之外,至少前三衍射點必須由透鏡擷取以獲 得足夠的解析度,即(0,0) 、( 〇,1 ) 、( 1,〇 )及( 1,1 )點。 對於光軸上照明而言,此需求表示如下: (方程式) 即將一方形置於單位半徑的四分之一圓內(參照圖 4A中的衍射圖樣), 即若Px=py=P,貝IJ (方程式) 並具有對角光軸外照明: (方程式) 即將一方形置於整個單位半徑圓內(參照圖4B中的 衍射圖樣), 即若P X = P y = P,貝1J (方程式) 藉由使用此理論,吾人可於157-nm及0.93 NA下, 組建群組接點孔,其中理論的可成像最小點距(X及y方 向),在光軸上照明爲240nm,在光軸外照明爲120nm。 發明者可模擬以進一步探究光軸外的狀況。 B 2.光軸外照明微影之解析度能力 經由傳統光軸上照明前進至光軸外照明,可提昇解析 -13- 1247339 (10) 度。自身產生影像不足以滿足某些確保抗蝕劑之足夠處理 點距空間的品質標準。爲印刷巢狀接點孔,假定反差及常 態化影像登錄斜率(NILS )必須各大於0.5及1.5。請參 閱 SPIE 4691:503 ( 2 0 0 2 ) ,Graeupner,P 等人之「以氟 化氬(ArF)印刷lOOnm以下接點的解析度」。 依據該些需求,發明者判斷可印刷巢狀接點孔的最小 點距。首先,考慮印刷低k之成群接點孔的高品質狀況。 該些狀況包括: 一具對角極點之0.9/0.1四極點照明(其中〇.9爲中 心點至極點距離,〇· 1爲極點半徑)-8- (5) Design of 1247339, such as polarization pattern and intensity pattern. For example, pattern polarization device 104 can shape pre-polarized illumination light into radio-polarized light, tangentially polarized light, or light having a custom polarization. In one embodiment, the illumination light is a quadrupole illumination, such as a Cartesian quadrupole (C-quad) illumination. Although quadrupole illumination is used herein as an example, those skilled in the art will appreciate that any source illumination can be used. Illumination illuminates the mask 106. The mask 106 produces a pattern in the illumination light. It will be understood by those skilled in the art that the mask 106 can be any type of mask or line. In an embodiment of the invention, the mask 106 is a binary mask. In other embodiments, the mask 106 is a phase shifting mask (PSM), such as a chromium-free PSM, an alternating PSM, or an attenuated PSM. The light comprising the mask pattern then passes through projection optics 108, which further adapts and processes the light. Projection optics 108 can include one element or a plurality of optical elements. Projection optics 108 produces an exposure beam that continues along the optical path. Finally, the exposure beam is exposed to the wafer 1 1 依据 according to the pattern it contains. In an embodiment of the invention, the wafer 110 is covered by a negative photoresist layer. In one embodiment, the radio-polarized light system is used to combine chrome-free PSM, Cartesian quadrupole (C-quad) illumination, and negative photoresist. Even when used in low-k applications, printing contact holes of different dot pitches can achieve extremely high image quality. Avoid prohibiting the dot pitch. In other embodiments of the invention, the wafer 1 1 is covered by a positive photoresist layer. For example, the radially polarized light is used in one embodiment to mask 106 and produce an exposure beam. A positive photoresist layer is then exposed to light in the exposure beam by -9 - 1247339 (6) light. In other embodiments, the radioactively polarized light is used in conjunction with an attenuated phase shift mask or binary mask, standard diagonal quadrupole illumination, and a positive photoresist. Even when used in low-k applications, it is possible to obtain extremely high image quality by printing contact holes of different dot pitches. Figure 2 is an example of another lithography system 2000 in which the present invention can be accomplished. Polarized illumination source 02 and mask 1 〇6 perform the same function as described in System 1 。. However, in system 200, pattern polarization device 202 is included in a projection optical instrument. Like the pattern polarization device 104, the pattern polarization device 02 shapes the pre-polarized illumination light into various preset designs, such as a radio polarization design, a tangential polarization design, or a custom polarization design. Optical elements that are further shaped and adapted to illuminate light can be placed in front of or behind the pattern polarizing device 202. Optical elements such as channels are shown as projection optics 204 A and 204 B and an exposure beam that continues along the optical path is fabricated. After shaping through the patterning polarization device 202 and the projection optics 204A and 024B, the polarized light is exposed to the wafer 1 10 in accordance with the pattern of the mask 106. Wafer 110 may be covered by a positive or negative photoresist layer. 2. DISCUSSION AND SIMULATION RESULTS The following discussion and simulation results are provided to further illustrate the aspects and features of the embodiments of the present invention and are not intended to limit the invention. The inventors used KLA-Tencor's Prolith*TM 7.1 lithography simulation system to compare many methods for printing 5 0/5 0-nm nest contact holes. Methods used in canals, etc. include: off-axis four-pole illumination, and phase shift masks with optimal illumination polarization; chrome-free alternating phase shift masks combined with specific polarization modes (CAPS Μ 1247339 (7) ): immersion lithography with a very high number of apertures (ΝΑ), wavelength 157-nm; and far ultraviolet radiation (EUV) lithography. The inventors have discovered that the limitations of optical off-axis illumination techniques can be promoted by the use of radio-polarization and how the mask distortion (background transmission) is used to optimize the image. The resolution limit can be further advanced by two-dimensional chromium-free alternating PSM in combination with radio-polarization. With the polarization enhancement according to the embodiment of the present invention, a high contrast image can be obtained, and a negative photoresist can be used to print a high quality contact hole of a l〇〇-nm dot pitch. In an exemplary application including immersion, radio-polarization in accordance with embodiments of the present invention can further enhance image quality. The inventors further studied the results obtained by far-reaching ultraviolet radiation (EUV) wavelengths, and found that it is possible to provide a superior position for printing a contact hole of a 100-nm dot pitch at an EUV wavelength and a low NA. A. Introduction At present, for optical lithography, generating a 50-nm contact with a 10 Ο-nm dot pitch is a challenge. The industry guidelines for semiconductor devices require a 50-nm junction by 2008. To achieve this capability, current optical lithography requires significant expansion before EUV is widely used. Even with high-NA optical instruments using 157-nm wavelengths, conventional contrast enhancement techniques such as optical off-axis illumination and attenuation P S 均 are insufficient to print a sufficiently high quality contact hole of 1 0 0 · n m pitch. In addition to quadrupole illumination with attenuated PSM, resolution enhancement techniques are needed to print contacts at l〇〇-nm pitch. Referring to FIG. 3A, an enlarged view of a wafer having a contact hole. A resist layer 322 is attached to the wafer surface 304. A lithography system (not shown) exposes the resist layer 310, -11 - (8) 1247339 to create contact holes 306. Fig. 3B is an image of the contact hole pattern when viewed from the above. The simulation is used to view the optimal printing technique for clustered contacts in accordance with embodiments of the present invention. The inventors used Pro lithTM 7.1 to explore techniques for improving the printing capabilities of contact windows. First, the inventors simulated the traditional lithography of a high-number 値 aperture 157-nm system and set the minimum dot pitch for printing with appropriate image contrast. Second, the inventors are increasingly modifying the technology to improve the resolution capabilities. In this way, the present invention explores the chrome-free phase shift mask by first optimizing the polarization of the illumination, and then uses wavelength shortening methods such as immersion lithography and EUV lithography to display and out-of-light illumination and 6 Improvement results for the initial state of the % attenuated phase shift mask (PSM). B. Resolution First, the theory of the resolution of the portion of the contact is printed in the text. This theory helps explain how we enhance the system resolution of the contact array. B1·Theoretical resolution limit For the two-dimensional periodic pattern of the X-direction point distance Ρχ and the y-direction point distance Py, the mask spectrum is a non-zero discrete spatial frequency, and the χ and y parts are inversely proportional to the point distance: (Equation) where η and m are integers (〇, +/-1, +/_2, +/-3, etc.) In general, it is convenient to handle normalized spatial frequencies. That is: -12- (9) 1247339 (equation) In addition to the zero point, at least the first three diffraction points must be extracted by the lens to obtain sufficient resolution, namely (0,0), (〇,1), (1,〇), and (1,1) points. For illumination on the optical axis, this requirement is expressed as follows: (Equation) Place a square within a quarter circle of the unit radius (refer to the diffraction pattern in Figure 4A), ie if Px = py = P, Bay IJ (Equation) and has diagonal off-axis illumination: (Equation) Place a square within the entire unit radius circle (refer to the diffraction pattern in Figure 4B), ie if PX = P y = P, Bay 1J (Equation) By using this theory, we can form group contact holes at 157-nm and 0.93 NA, where the theoretical imageable minimum dot pitch (X and y directions) is 240 nm on the optical axis, outside the optical axis. The illumination is 120 nm. The inventors can simulate to further explore the conditions outside the optical axis. B 2. Resolution ability of the optical axis external illumination lithography The conventional optical axis illumination advances to the optical axis external illumination to improve the resolution -13 - 1247339 (10) degrees. The self-generated image is not sufficient to meet certain quality standards that ensure sufficient processing of the resist space. To print nested contact holes, the assumed contrast and normalized image registration slope (NILS) must be greater than 0.5 and 1.5, respectively. Please refer to SPIE 4691:503 (2 0 0 2 ), Graeupner, P, et al., "The resolution of contacts below 100 nm with argon fluoride (ArF)." In response to these needs, the inventors judged the minimum dot pitch at which the nest contact holes can be printed. First, consider the high quality of printed cluster holes with low k. These conditions include: 0.9/0.1 quadrupole illumination of a diagonal pole (where 〇.9 is the center-to-pole distance, 〇·1 is the pole radius)

— 0.93 NA 一 6 %的1 : 1衰減P S Μ 一標線上入射的非極化光 藉由逐漸減少點距,只要圖樣點距爲1 3 4 nm (即6 7 -nm接點與間隔),在點距方向(C 0 )的空氣中影像反差 可保持在〇·5以上。其具有〜〗·5的NILS。最後的影像顯 示於圖5中。 藉由將光軸上照明改變爲光軸外照明,接點的印刷解 析度點距可由240 nm改進至134 nm。顯然,當使用略微 不同的N A或四極點時,上述所界定之最小可解析點距將 會改變。此界定無法考量焦點深度(D 0 F ):因此,預料 最少的可印刷點距應較大。此範例足以顯示,必須使用相 當非傳統的裝置,促使解析度限制下降至1 〇〇_nm點距。 _ 14_ 1247339 (11) C.極化 參考有關「極化配對」的文獻,其中電場向量重疊, 產生最大的干擾及最高的影像品質。請參閱SPIE 4346-.1 522 ( 2 00 1 ) ,Ma,Z等人之「衰減相移遮罩影像之照 明一致性與極化的影響」。線性極化照明已被用於改進適 當方位之線路的影像品質,但並無特定的極化系統被建議 用於本發明實施例之接點孔。 在下列討論中,將揭露使用放射與切線極化光的效果 。此二類極化增強了接點孔的影像品質。雖然文中討論放 射與切線極化,但熟悉本技藝之人士應理解,本文所揭露 的其他極化,包括訂製極化,亦可用於增強影像品質。 除非另外表述,否則條件如下,NA爲0.93,照明爲 0.9 / 0.】對角四極點,波長爲157.6 nm。 C1.模擬實驗 本作業使用Prolith ™ 7.1模擬器,提供三種極化模 式選擇,稱爲X極化、y極化與非極化光。藉由加成X極 化與y極北模式的空氣中影像,便可獲得非極化模式的影 使用Prolith ™ 7.1完成遮罩方位的簡單操控,便可 模擬切線或放射極化光。圖6中描繪一方位操控的範例。 假定極點足夠小,發明者首先將圖樣旋轉45°。他們接著 使用具X或y極化光的X方位偶極照明計算第一影像(X 極化用於放射照明,y極化用於切線照明)。接著使用具 -15- 1247339 (12) x或y極化光的y方位偶極照明計算第二影像(y極化用 於切線照明,x極化用於放射照明)。最後將兩影像加成 ’以獲得最後的影像。 C2.影像品質的極化效果 比較圖7A及7B,其分別顯示使用依據本發明之放射 _切線極化的影像。切線極化與放射極化間的差異,描繪 於圖10A及10B中。如圖1 002中所示,當光爲非極化時 ’極化向量的方向呈無規則變化。然而,如圖1 0 0 6中所 示’一旦非極化光穿過切線極化器1 004,該光便成爲切 線極化。一旦渠等光成爲切線極化,極化向量便一致地在 中央位置繞圈。 放射極化的情形略有不同。如圖1 0B中所示,當非極 化光1 0 02穿過放射極化器1 00 8時,該光便成爲放射極化 光1 0 1 0。一旦渠等光成爲放射極化,極化向量便一致地 由中央位置向外放射。 點距方向顯示如圖7 A及7 B中的對角線。放射極化 之點距方向的反差極高,即0 · 8 8,同時切線極化之點距方 向的反差極低(〇 · I 9 )。在點距方向4 5度,兩類型的反 差均高。 對於放射極化光而言,藉由改變接點孔寬度(即遮罩 偏斜),直至矩形及對角方向的反差相同爲止,可完成影 像的最佳化(對切線極化而言,並未發現此等改進)。在 一實施例中,8 5 nm的接點孔寬度(即;[8 _nm遮罩偏斜) -16- (13) 1247339 ,產生134 nm點距的均勻反差(約等於矩形及對角反差 )° 可替代地,可修改遮罩的背景傳輸以獲得相同的效果 。發明者發現放射極化光所產生的影像品質優於非極化光 所產生者,即使在焦點外亦然。 檢視由照明極化之最佳化所提供之改進的解析度。最 小點距可減少爲1 25nm,並發現最佳的接點孔寬度。對於 放射極化而言,可以小的接點孔寬度觀察劇烈的邊波瓣。 該些邊波瓣隨著接點孔寬度由50 nm逐漸增加至75nm而 消失。其後爲更一致地接點周邊反差分佈。此結果顯示放 射極化提供超過非極化光30%的NILS改進。圖8A-8C描 繪依據本發明之三種極化狀態的比較9在渠等的每一圖中 ,顯示點距的方向。圖8A爲75 nm接點寬度下,使用非 極化四極點照明的空氣中影像。圖8 B爲7 5 nm接點寬度 下,使用切線極化四極點照明的空氣中影像。最後,圖 8C爲75 nm接點寬度下,使用放射極化四極點照明的空 氣中影像。 極化照明可改善解析度限制,由1 3 4 n m下降至 125nm。渠等圖係使用放射極化光及〇·5的最低反差需求 (1·5 的 NILS 需求)。 C3·極化光、無鉻PSM、負光阻 在本發明一實施例中,使用極化光(放射或切線極化 )來照亮相移遮罩(PSM ),並產生一曝光光束。一負光 •17- (14) 1247339 阻層接著由該曝光光束之光進行曝光。可使用無鉻PSM。 在一實施例中,放射極化光係用於結合無鉻PSM、笛卡兒 四極點(C-quad )照明及負光阻。即使在低k應用中印刷 成群或巢狀接點孔,亦可獲得極高的影像品質。避免了禁 止點距。 在一實施例中,放射極化光係用於結合無鉻PSM、笛 卡兒四極點照明及負光阻,以推進解析度至k = 0.29。本發 明並不侷限於笛卡兒四極點照明。進一步的範例包括但不 侷限於類星體照明、具四摺疊對稱之照明,或任何其他近 似四極點照明之照明。依據發明者在PROLITH ™ 7.1系 統上所實施的模擬,當使用負光阻及放射極化照明時,可 產生近乎完美的影像反差。、 : 圖9A - 9C顯示發明者所獲得之模擬結果中,影像品 質的極化效果。圖9A-9C所顯示的結果,係模擬1〇〇 nm 點距、使用157 nm波長之無鉻PSM接點孔、0.93NA及 折射率1·78的光阻.。圖9A顯示使用非極化光及四極點照 明的狀況下,所獲得之接點孔的不良反差影像。圖9Β顯 示使用切線極化光及四極點照明的狀況下,所獲得之接點 孔的不良反差影像。圖9C顯示使用依據本發明一實施例 之放射極化光及四極點照明的狀況下,所獲得之接點孔的 高反差影像。 綜整本範例中三類極化的最小反差如下: -18- (15) 1247339 極化狀態 最小反差 非極化 0.67 切線極化 0.44 放射極化 1.0 此外,此技術即使在低k因子下亦可使用(例如,k 等於0.26,且反差大於0.75 )。 如圖1 1 A及1 1B中所示,此方法並無禁止的點距。 圖11A及11B各描繪依據本發明之100至900nm點距範 圍的CD(單位爲nm)及NILS。圖11A及11B顯示在所 有模擬的點距下(點距範圍100至 9 OOnm,每一級距 2 5 nm ),NILS均未低於2.9,表示所有的點距可同時以 .浪好空間實施印刷。 C4·放射極化光、衰減相移遮罩或二元遮罩,及正光阻 在本發明的進一步實施例中,放射極化光用於照亮相 移遮罩(PSM),並產生曝光光束。一正光阻層接著由該 曝光光束之光進行曝光。該遮罩可爲衰減PSM或二元遮 罩。 圖12顯示衰減相移遮罩1 200的範例。爲易於說明, 僅描述格1202。格]202的中央部分1204是100%傳送的 區域’意即所有某相位之光均穿過該區域。例如,中央部 分1 204可傳送所有相位〇。的光。格12〇2的外圍部份 (16) 1247339 1 206造成衰減,其中傳送較低百分比之其他相位的光。 例如,如圖1 2中所示,外圍部份1 2 0 6僅允許6 %的相位 1 8 0 °的光穿過。 可替代地,二元PSM可用於本發明。圖13描繪二元 相移遮罩1 3 〇〇之範例。爲易於說明,僅描述二元枏移遮 罩1 3 00的格1 3 02。與衰減相移遮罩1 200的中央部分 1204極爲類似,二元相移遮罩1300的中央部分1304允 許100%的光穿過。但外圍部份1 3 06係避免所有的光穿 過,而非允許降低程度的光穿過。換言之,外圍部份 1306具有0%的傳送率。 在一實施例中,放射極化光係用於結合衰減相移遮罩 或二元遮罩、標準對.角四極點照明及正光阻。即使在低k 應用下,以不同點距印刷印刷接點孔,亦可獲得極高的影 像品質。 發明者在125nm點距接點孔上進行模擬,使用6%衰 減PSM及對角四極點(0.9 / 0.1 )照明,當使用放射極化 光時,顯示影像提昇。此模擬的結果顯示於圖14A — 14C 。顯示於圖1 4的結果亦綜整於下表: 極化狀態 最小反差 最小NILS* 非極化 0.64 1.85 切線極化 0.58 1.77 放射極化 0.69 1.94 * NILS即常態化影像登錄斜率 -20- 1247339 (17) 本發明並不侷限於四極點照明。進一步的範例包括但 不侷限於類星體照明、具四摺疊對稱之照明,或任何其他 近似四極點照明之照明。 D.無鉻交替PSM之極化 在接點之可解決的點距中,藉由將衰減相移遮罩改變 爲交替相移遮罩,並保持極化光的使用,便可進行進一步 的改進。 D1·與放射極化光結合之無鉻交替PSM,具100-nm點距 巢狀接點 爲範例所選用之無鉻交替PSM佈局係棋盤型,其中 相位係在例如 〇 °及 1 8 0 °間交替。無鉻交替相移遮罩 1 5 0 0的圖形顯示於圖1 5中。強調P S Μ 1 5 0 0 0勺中央位置 ,以顯示不同的部分及相位。部分1 5 02及1 5 04爲允許 1 〇 〇 %光穿過的區域,具有例如0 °相位。部分1 5 0 6及 1 5 0 8爲允許1 0 0 %光穿過的區域,其相位不同於部分 1502及1504的相位。例如,部分1506及1508可具有例 如1 8 0 °相位。塗黑區域1 5 1 〇不允許任何光穿過。因此, 其傳送率爲0 %。 如圖1 6中所示,印刷10〇11111點距接點孔的重複圖樣 ,係由具交替相位之1 〇 〇nm的透明方塊組成。遮罩佈局 請參閱 SPIE 4 8 89 ( 2 002 ) ,Levenson,M.D·等人之「漩 渦遮罩:以扭絞形成8 Onm接點」,及國際專利 -21 - (18) 1247339 WO 01/22164 A1 ( 2001) ,Grassman,Α·等人之「藉由 單一相位遮罩的交叉快速相移邊緣而形成接點孔」。具有 無鉻遮罩光軸上照明之接點陣列的結果衍射圖樣,顯示於 圖1 7Α中。 對光軸上照明而言,透鏡並未擷取衍射點,因爲(0 ,0 ) 、( 1,〇 )及(1,1 )點均已熄滅。對光軸外照明 而言,如圖1 7Β中所描繪的,依據本發明一實施例,衍射 點的(1,1 )家族可移入瞳孔。此舉可獲得一影像。對一 極點而言,該影像等同於一維柵格。經由合成X極點與y 極點,可產生二維影像。圖18A及18B描繪此二維影像 。藉由自每一極點實施兩干擾衍射點的最佳極化(在圖 17B所顯示的範例中,X極化光爲最佳者),可增強該影 像。此與具笛卡兒四極點之放射極化極點類似。 空氣及抗蝕劑中的模擬(圖18A - B),係在0.93NA 及157.6nm波長下,而且照明爲笛卡兒四極點(對角四極 點雖無優點,但亦可使用)。該四極點爲放射極化。只要 接點孔在負光阻中印刷,空氣及抗蝕劑中的模擬均顯示幾 近完美的反差。NILS (僅計算在空氣中者)極高(大於3 ,如圖1 8 A中所示)。 D2.具放射極化光之無鉻接點的點距作用 已說明微影中之「禁止的」點距。請參閱SPIE 4000_ • 1 1 40 ( 2000 ) ,Socha, R·等人之「1 30nm微影及以下之 禁止的點距」,及 SPIE 46 8 9-.9 S 5 ( 2002 ) ,Shi,X·等 -22- 1247339 (19) 人之「理解禁止的點距現象並協助特製件配置」。對特定 照明角度,禁止點距的位置在鄰近特製件與主特製件之場 破壞性干擾所產生的場。在試圖印刷不同點距之特定尺寸 的接點孔時,將遭遇困難。請參閱Graevipner等人的論著 。發明者使用共同的照明狀況及共同的門檻,呈現同步曝 光,並評估重複處理視窗的範圍。 對此組模擬而言,形成無鉻遮罩之透明相位方塊(參 照圖16)的尺寸,逐漸由lOOnm提昇至lOOOnm,級距爲 25nmo 圖 19 顯示由 200nm、300nm、400nm、500nm、 600nm及lOOOnm點距之最佳焦點模擬所產生的各式影像 〇 / 作爲本發明的結果,'接點的影像一般特別鮮明,而在 點距尺寸方面則並非極爲醒目。這是因爲接點是在相位方 塊的角落形成。以l〇〇nm點距印刷50nm接點所需的門檻 ,經計算結果爲 〇 · 2 8。在此門檻,察看邊波瓣以發展 400nm至500nm間的點距(參照圖19),因而需要協助 特製件,以避免在特定點距印刷邊波瓣。若邊波瓣在其他 點距並未出現引發問題,便不需要協助特製件。 適於所有點距之NIL S及接點寬度已在〇 · 2 8門檻實施 計算(分別參照圖20A及20B )。接點寬度係指目標門檻 (本例中爲〇 · 2 8 )的影像寬度,而NIL S係指相同門檻時 ,該影像寬度的登錄斜率。 如圖20B中所示,對小點距(約20〇nm)而言,接點 寬度幾乎隨點距成線性變化;此即該系統,其中該影像恰 -23- 1247339 (20) 爲矩形一維柵格的總和。在此範圍外,更多的衍射點被容 納於瞳孔中。雖然文中未指出,但焦點深度(DOF )顯示 ,當更多的衍射點促成該影像時,改變便從無限(完美遮 罩、點光源及波前)到有限。 如圖20A·中所示,此範例所考量之適於所有點距的 NILS保持在2.5以上。此顯示所有點距均有良好的曝光 空間。另一方面,接點寬度由50nm變化至105 nm (最糟 的點距),並穩定地保持在約6 5 nm。此極爲値予注意, 並具有遮罩佈局簡單、無點距相依圖樣及照明最佳化等優 點。請比較Graeupner等人、Socha,R.等人與Shi,X.等 人之論著。 D3.訂製極化 在一實施例中,訂製極化光用於取代簡單的放射或切 線極化光。圖2 1 A顯示訂製極化圖樣,其中每一箭頭指 示該光束之特定部分的場向量方向。圖21B顯示另一訂製 極化圖樣。不同於放射或切線極化,訂製極化圖樣具有不 一致的極化向量設計。渠等極化向量顯示如圖21A及21B 中的箭頭方向。在一實施例中,訂製極化光以及放射與切 線極化,可由例如圖樣極化裝置104或202等圖樣極化裝 置製造。圖樣極化裝置中的圖樣是預設的,而切該圖樣極 化裝置可隨需要改變,製造所需的極化。照明組態或照明 源之照明光的形狀亦可訂製。提供訂製照明及訂製極化與 強度的能力,使印刷最佳化。 -24 - (21) 1247339 E.浸泡微影 其他微影技術、浸泡微影亦可用於本發明中接點的印 刷。在浸泡微影中’至少投影光學儀器與晶圓,例如投影 光學儀器1 〇 8與晶圓1 1 〇,其間的空間是塡滿液體的。使 用浸泡微影可將點距解析度限制由125nm提昇爲lOOnm 。爲模擬浸泡微影,波長係依該浸泡液的折射率而定(例 如1 .5 )。可由適當透鏡設計達成的液體NA爲1.3 95。圖 22爲以依據本發明浸泡微影,模擬100nm點距之5〇nm 接點孔的影像。NILS超過1.74,顯示此爲可實施之 lOOnm點距的50nm接點孔的光學微影技術。 F·遠紫外線輻射(EUV) 遠紫外線輻射(EUV )亦進行檢視,因其提供極短的 波長,因而在lOOnm點距具有高k因子。使用典型EUV 狀況(0.6 PC、0.25 NA及具有非極化光之二元接點孔遮 罩)之影像的空氣中影像模擬,確認EUV可在lOOnm點 距下,印刷極高品質的5 Onm接點影像。使用依據本發明 之EUV的模擬結果,顯示於圖23中。 在超過0.4微米的DOP下,NILS及反差分別爲〇·7 及2.5 ;此顯示EUV可在正確的狀況下,提供好的影像。 發明者考慮許多印刷I 〇〇nm點距之巢狀接點孔的方 法,發現157nm及高NA可允許具良好影像品質之134nm 點距接點的印刷。此外,與1 5 7 n m之最先進方法(哀減 -25- 1247339 (22) PSM、四極點等)結合的放射極化,可產生相較於使用非 極化光之結果更爲顯著的改進。以此技術解決的最小點距 爲 125 nm。經由放射極化、笛卡兒四極點、無鉻交替 PSM及負光阻,吾人可獲得幾近完美之10()nm點距的 1 5 7nm接點孔的反差影像。發明者發現此方法最佳顯然可 達1 5 7nm。經由點距,影像品質幾乎保持常數,發明者並 未觀察禁止的點距。發明者發現在157nm,1.5折射率之 假定的浸泡液,產生1 OOnm點距的高品質影像,同時 EUV狀況產生100nm點距接點的極高品質影像。 模擬結果綜整下表。 方法 波長 (nm) ΝΑ 點距 (nm) 反差 NILS 衰減P s Μ及非極化光 157.6 0,93 134 0.5 1.55 衰減PSM及放射極化 157.6 0.93 125 0.5 1 1.47 無鉻PSM及放射極化 157.6 0.93 100 0.99 3.08 浸泡 157.6 1.395 100 0.62 1.74 EU V 13.4 0.25 100 0,99 5.27 雖然上述說明了本發明的各種實施例,但應理解的是 所呈現的僅爲範例而非侷限於此。對熟悉本技藝之人士而 言是顯而易見的,即在不偏離本發明之精神及範圍下,可 進行各種形式及內容的改變。因而,本發明之廣度與範圍 不應侷限於上述實施例,而應僅由下列申請專利的範圍所 -26- 1247339 (23) 界定。 【圖式簡單說明】 本文之附圖形成規格的一部份,描繪本發明並與描述 共同進一步說明本發明的原理,使熟悉本技藝之人士可完 成及運用本發明。 圖1爲一依據本發明一實施例的微影系統。 圖2爲一依據本發明一實施例的微影系統。 圖3 A爲晶圓上抗蝕劑中接點孔的放大影像。 圖3 B爲晶圓上抗蝕劑中接點孔的俯視影像。 圖4A及4B描繪二維衰減照亮相移遮罩(PSM )的遮 罩頻譜’其中px = Py = p,並具有分別依據本發明一實施 例的光軸上友光軸外照明。 圖5顯不具非極化光之有限點距的影像,其中c〇及 C45各爲矩形及對角反差。 圖6描繪一模擬實驗的範例(爲描繪之故,顯示放射 極化光)。 圖7A及7B顯示放射及切線極化的影像品質效果。 圖8A— 8C顯示在125-nm點距(45度旋轉遮罩)的 範例中,三種極化模式的比較。 圖9A — 9C描繪極化的影像品質效果。圖9A描繪使 用非極化光所獲得之成群接點孔的不良反差影像。圖9B 描繪使用切線極化光所獲得之成群接點孔的不良反差影像 。圖9C描繪使用依據本發明一實施例之放射極化光,所 -27- (24) 1247339 獲得之成群接點孔的高反差影像。 圖1 〇 A描繪光線中電場向量之切線極化器的效果。 圖1 0B描繪光線中電場向量之放射極化器的效果。 圖1 1 A及1 1 B顯示使用具依據本發明一實施例之無 鉻交替PSM的放射極化光的點距作用。 圖1 2描繪衰減P S Μ。 圖13描繪二元PSM。 圖14Α - 14C的影像顯示依據本發明一實施例,具 125 nm點距之衰減PSM,其影像品質的極化效果。 圖15描繪交替PSM。 圖16顯示無鉻交替PS Μ遮罩佈局。 圖17Α及17Β分別顯示二維無鉻交替PSM之衍射圖 樣的光軸上及光軸外照明。 圖18Α及18Β顯示在空氣(a)及抗蝕劑(b )中, 使用無鉻交替PSM的影像。 圖1 9顯示最佳焦點相對於使用無鉻交替PSM及具笛 卡兒四極點(C-quad)之放射極化光的六幅空氣中的影像 〇 圖20A及20B顯示空氣中影像特性相對於點距的圖 形。 圖2 1 A及2 ] B爲訂製極化的範例圖。 圖22爲非極化光的浸泡影像,該光具有對角四極點 及n= 1.5之6%衰減PSM。 圖23爲遠紫外線輻射(EUV )狀況下最佳焦點的空 1247339 (25)氣中影像。 主要元件對照表 100' 200 微影系統 102 照明源 104、 202 圖樣極化裝置 106 遮罩 108、 204A 、 204B 投影光學儀器 110 晶圓 302 抗蝕劑層 304 晶圓表面 306 接點孔 10 0 2 非極化光 1004 切線極化器 1008 放射極化器 10 10 放射極化光 1200 衰減相移遮罩 1202 、1302 格 1204 ^ 1304 中央部分 1206 、1306 外圍部份 1300 二元相移遮罩 1500 無鉻交替相移遮罩 1502 、]504 、 1506、 1508 部分 15 10 塗黑區域— 0.93 NA 6% 1:1 attenuation PS 非 The non-polarized light incident on a reticle is gradually reduced by the dot pitch, as long as the pattern pitch is 1 3 4 nm (ie, 6 7 -nm junction and spacing), The image contrast in the air in the spot direction (C 0 ) can be kept above 〇·5. It has an NILS of ~〗·5. The final image is shown in Figure 5. By changing the illumination on the optical axis to off-axis illumination, the print resolution of the contacts can be improved from 240 nm to 134 nm. Obviously, when using slightly different N A or quadrupoles, the minimum resolvable dot pitch defined above will change. This definition cannot take into account the depth of focus (D 0 F ): therefore, it is expected that the minimum printable dot pitch should be large. This example is sufficient to show that a relatively unconventional device must be used to cause the resolution limit to drop to 1 〇〇_nm. _ 14_ 1247339 (11) C. Polarization Refer to the literature on "polarization pairing" in which the electric field vectors overlap, resulting in maximum interference and highest image quality. See SPIE 4346-.1 522 (2 00 1), Ma, Z et al., "Attenuation of phase-change mask image illumination consistency and polarization effects." Linearly polarized illumination has been used to improve the image quality of a properly oriented line, but no specific polarization system has been suggested for use in the contact holes of embodiments of the present invention. In the following discussion, the effect of using radiation and tangentially polarized light will be disclosed. These two types of polarization enhance the image quality of the contact holes. While radiation and tangential polarization are discussed herein, those skilled in the art will appreciate that other polarizations disclosed herein, including custom polarization, can also be used to enhance image quality. Unless otherwise stated, the conditions are as follows, NA is 0.93, illumination is 0.9 / 0.] Diagonal quadrupole with a wavelength of 157.6 nm. C1. Simulation Experiments This operation uses the ProlithTM 7.1 simulator to provide three polarization mode options called X-polarization, y-polarization, and non-polarization. Non-polarized mode shadows can be obtained by adding X-polarization and y-north mode airborne images. Protic TM 7.1 can be used to simulate tangential or radio-polarized light with simple manipulation of the mask orientation. An example of a one-way manipulation is depicted in FIG. Assuming the pole is small enough, the inventor first rotated the pattern by 45°. They then calculate the first image using X-direction dipole illumination with X or y polarized light (X polarization for radio illumination and y polarization for tangent illumination). The second image is then calculated using y-azimuth dipole illumination with -15-1247339 (12) x or y polarized light (y-polarization for tangential illumination and x-polarization for radiation illumination). Finally, add the two images to get the final image. C2. Polarization Effect of Image Quality Comparing Figures 7A and 7B, respectively, images using radiation-tangential polarization according to the present invention are shown. The difference between tangential polarization and radio polarization is depicted in Figures 10A and 10B. As shown in Figure 002, the direction of the polarization vector changes irregularly when the light is non-polarized. However, as shown in Fig. 106, once the non-polarized light passes through the tangential polarizer 1 004, the light becomes tangentially polarized. Once the light such as the channel becomes tangentially polarized, the polarization vector is uniformly circled at the center. The situation of radio polarization is slightly different. As shown in Fig. 10B, when the non-polarized light 1 0 02 passes through the radio-polarizer 1 00 8 , the light becomes radio-polarized light 1 0 1 0. Once the light such as the channel becomes radio-polarized, the polarization vector is uniformly radiated from the central position. The diagonal direction shows the diagonal lines in Figures 7 A and 7 B. The contrast of the direction of the polarization of the radiation is extremely high, that is, 0 · 8 8 , and the contrast of the tangential polarization is extremely low (〇 · I 9 ). At 45 degrees in the direction of the pitch, the contrast between the two types is high. For radiated polarized light, image matching can be optimized by changing the contact hole width (ie, mask deflection) until the contrast between the rectangle and the diagonal direction is the same (for tangential polarization, and No such improvements were found). In one embodiment, the contact hole width of 8 5 nm (ie, [8 _nm mask skew) -16- (13) 1247339 produces a uniform contrast of 134 nm pitch (approximately equal to rectangular and diagonal contrast) ° Alternatively, the background transmission of the mask can be modified to achieve the same effect. The inventors have found that the image quality produced by radioactively polarized light is superior to that produced by unpolarized light, even outside the focus. The improved resolution provided by the optimization of illumination polarization is examined. The minimum dot pitch can be reduced to 1.25 nm and the best contact hole width is found. For radio polarization, a sharp side lobe can be observed with a small contact hole width. The side lobes disappear as the contact hole width gradually increases from 50 nm to 75 nm. This is followed by a more consistent contact perimeter contrast distribution. This result shows that the radiated polarization provides an NILS improvement that exceeds 30% of unpolarized light. Figures 8A-8C depict a comparison of the three polarization states in accordance with the present invention. In each of the channels, etc., the direction of the dot pitch is shown. Figure 8A shows an airborne image using non-polarized quadrupole illumination at a 75 nm junction width. Figure 8B shows an airborne image illuminated with a tangentially polarized quadrupole at a junction width of 75 nm. Finally, Figure 8C shows an airborne image using a radio-polarized quadrupole illumination at a 75 nm junction width. Polarized illumination improves the resolution limit from 1 3 4 n m to 125 nm. Channels and other diagrams use radiated polarized light and the minimum contrast requirement of 〇·5 (1·5 NILS requirement). C3. Polarized Light, Chromium-Free PSM, Negative Light Resis In one embodiment of the invention, polarized light (radiation or tangential polarization) is used to illuminate the phase shift mask (PSM) and produce an exposure beam. A negative light • 17- (14) 1247339 The resist layer is then exposed by the light of the exposure beam. A chrome-free PSM can be used. In one embodiment, the radio-polarized light system is used to combine chrome-free PSM, Cartesian quadrupole (C-quad) illumination, and negative photoresist. Extremely high image quality is achieved even when printing clusters or nested contact holes in low-k applications. Avoiding the prohibition of the dot pitch. In one embodiment, the radio-polarized light system is used to combine chrome-free PSM, Cartesian quadrupole illumination, and negative photoresist to advance resolution to k = 0.29. The invention is not limited to Descartes quadrupole illumination. Further examples include, but are not limited to, quasar illumination, illumination with four fold symmetry, or any other illumination that is similar to quadrupole illumination. According to the simulations performed by the inventors on the PROLITHTM 7.1 system, near-perfect image contrast can be produced when using negative and radio-polarized illumination. , : Figures 9A - 9C show the polarization effect of the image quality in the simulation results obtained by the inventors. The results shown in Figures 9A-9C are simulated with a 1 〇〇 nm pitch, a chrome-free PSM contact hole with a wavelength of 157 nm, a 0.93 NA, and a resistivity of 1.78. Fig. 9A shows a poor contrast image of the obtained contact hole in the case of using non-polarized light and four-pole illumination. Figure 9 shows a poor contrast image of the contact holes obtained using tangentially polarized light and four-pole illumination. Fig. 9C shows a high contrast image of the contact hole obtained in the case of the radio-polarized light and the four-pole illumination according to an embodiment of the present invention. The minimum contrast of the three types of polarization in this example is as follows: -18- (15) 1247339 Polarization state minimum contrast non-polarization 0.67 Tangential polarization 0.44 Radiation polarization 1.0 In addition, this technique can be used even at low k factors. Use (for example, k equals 0.26 and the contrast is greater than 0.75). As shown in Figures 1 1 A and 1 1B, there is no prohibited dot pitch for this method. Figures 11A and 11B each depict CD (in nm) and NILS in the range of 100 to 900 nm dots in accordance with the present invention. Figures 11A and 11B show that under all simulated dot pitches (pitch range 100 to 900 nm, each pitch is 25 nm), NILS is not less than 2.9, indicating that all dot pitches can be printed simultaneously with a good space. . C4. Radiated Polarized Light, Attenuated Phase Shift Mask or Binary Mask, and Positive Light Resis In a further embodiment of the invention, the radioactively polarized light is used to illuminate a phase shift mask (PSM) and produce an exposure beam. A positive photoresist layer is then exposed by the light of the exposure beam. The mask can be an attenuated PSM or a binary mask. FIG. 12 shows an example of attenuating the phase shift mask 1 200. For ease of explanation, only the cell 1202 is described. The central portion 1204 of the grid 202 is a 100% transmitted area 'that means that all of the light of a certain phase passes through the area. For example, central portion 1 204 can transmit all phase turns. Light. The outer portion of the cell 12〇2 (16) 1247339 1 206 causes attenuation, in which a lower percentage of light of other phases is transmitted. For example, as shown in Fig. 12, the peripheral portion 1206 allows only 6% of the light of the phase 180° to pass. Alternatively, a binary PSM can be used in the present invention. Figure 13 depicts an example of a binary phase shift mask 1 3 。. For ease of illustration, only the lattice 1 3 02 of the binary migration mask 1 3 00 is described. Much like the central portion 1204 of the attenuated phase shift mask 1 200, the central portion 1304 of the binary phase shift mask 1300 allows 100% of the light to pass through. However, the peripheral portion 1 3 06 prevents all light from passing through, rather than allowing a reduced degree of light to pass through. In other words, the peripheral portion 1306 has a transfer rate of 0%. In one embodiment, the radially polarized light is used in conjunction with an attenuated phase shift mask or binary mask, a standard pair of angle quadrupole illumination, and a positive photoresist. Even in low-k applications, printing contact holes at different dot pitches results in extremely high image quality. The inventors simulated the 125 nm point-to-point contact hole using 6% attenuation PSM and diagonal quadrupole (0.9 / 0.1) illumination, and when using radio-polarized light, the image was boosted. The results of this simulation are shown in Figures 14A-14C. The results shown in Figure 14 are also summarized in the following table: Polarization state minimum contrast minimum NILS* Non-polarization 0.64 1.85 Tangential polarization 0.58 1.77 Radio polarization 0.69 1.94 * NILS is normalized image registration slope -20- 1247339 ( 17) The invention is not limited to quadrupole illumination. Further examples include, but are not limited to, quasar illumination, four-fold symmetrical illumination, or any other illumination that approximates four-pole illumination. D. Polarization of Chromium-Free Alternating PSM Further improvement can be made by changing the attenuated phase shift mask to an alternating phase shift mask and maintaining the use of polarized light in the solvable point spacing of the joint. . D1·Chromium-free alternating PSM combined with radiated polarized light, with a 100-nm pitch nest contact as an example of a chrome-free alternating PSM layout checkerboard type selected, where the phase is at, for example, 〇° and 1 80 ° Alternate. The chrome-free alternating phase shift mask 1 500 graphic is shown in Figure 15. Emphasize the central position of the P S Μ 1 5 0 0 0 to show the different parts and phases. Parts 1 5 02 and 1 5 04 are areas that allow 1 〇 〇 % of light to pass through, with a phase of, for example, 0 °. The sections 1 5 0 6 and 1 5 0 8 are regions that allow 100% of the light to pass through, the phase of which is different from the phases of the portions 1502 and 1504. For example, portions 1506 and 1508 can have a phase of, for example, 180 degrees. Blackened area 1 5 1 〇 does not allow any light to pass through. Therefore, its transfer rate is 0%. As shown in Fig. 16, a repeating pattern of 10 〇 11111 dots from the contact holes is formed by transparent squares having alternating phases of 1 〇 〇 nm. For the layout of the mask, please refer to SPIE 4 8 89 (2 002), Levenson, MD, et al. "Vortex Mask: Forming 8 Onm Contact by Twisting", and International Patent-21 - (18) 1247339 WO 01/22164 A1 (2001), Grassman, Α, et al. "Form a contact hole by crossing a fast phase-shifting edge of a single phase mask." The resulting diffraction pattern of the contact array with illumination on the optical axis of the chrome-free mask is shown in Figure 17. For illumination on the optical axis, the lens does not capture the diffraction point because the (0,0), (1,〇) and (1,1) points are extinguished. For off-axis illumination, as depicted in Figure 17. In accordance with an embodiment of the invention, the (1,1) family of diffractive dots can be moved into the pupil. This will give you an image. For a pole, the image is equivalent to a one-dimensional grid. A two-dimensional image can be produced by synthesizing the X pole and the y pole. Figures 18A and 18B depict this two-dimensional image. The image can be enhanced by performing an optimum polarization of the two interfering diffraction points from each pole (in the example shown in Fig. 17B, the X-polarized light is the best). This is similar to the polar polarization pole with the Cartesian quadrupole. The simulation in air and resist (Fig. 18A-B) is at 0.93NA and 157.6nm wavelengths, and the illumination is Cartesian quadrupole (the diagonal quadrupole has no advantages, but can also be used). The quadrupole is radiopolarized. As long as the contact holes are printed in the negative photoresist, the simulations in both the air and the resist show nearly perfect contrast. NILS (calculated only in air) is extremely high (greater than 3, as shown in Figure 18 A). D2. Pitch-free effect of chrome-free contacts with radiated-polarized light The "forbidden" dot pitch in lithography has been explained. See SPIE 4000_ • 1 1 40 (2000), Socha, R. et al., “1 30 nm lithography and the following prohibited dot pitches”, and SPIE 46 8 9-.9 S 5 (2002), Shi, X · Etc. -22- 1247339 (19) People "understand the prohibition of the dot pitch phenomenon and assist in the configuration of special parts." For a particular illumination angle, the position of the dot pitch is prohibited from the field created by the destructive interference of the field between the special component and the main component. Difficulties will arise when attempting to print contact holes of a particular size at different dot pitches. See the work of Graevipner et al. The inventors used a common lighting condition and a common threshold to present synchronized exposures and to evaluate the extent of the repetitive processing window. For this set of simulations, the size of the transparent phase block forming a chrome-free mask (see Figure 16) is gradually increased from 100 nm to 100 nm, and the pitch is 25 nm. Figure 19 shows 200 nm, 300 nm, 400 nm, 500 nm, 600 nm, and 100 nm. The various images produced by the best focus simulation of the dot pitch 〇 / As a result of the present invention, the image of the contact is generally sharp, but not very conspicuous in terms of the dot size. This is because the contacts are formed at the corners of the phase block. The threshold required to print a 50 nm contact at a distance of 10 nm is calculated as 〇 · 28 . At this threshold, look at the side lobes to develop a dot pitch between 400 nm and 500 nm (see Figure 19), and thus need to assist the special part to avoid printing the side lobes at a specific point. If the side lobes do not cause problems at other points, there is no need to assist the special parts. The NIL S and the contact width for all the pitches have been calculated at 〇 · 28 槛 (see Figures 20A and 20B, respectively). The contact width is the image width of the target threshold (〇 · 2 8 in this example), and NIL S is the registration slope of the image width when the same threshold is used. As shown in Fig. 20B, for a small dot pitch (about 20 〇 nm), the contact width changes almost linearly with the dot pitch; this is the system in which the image is exactly -23 - 1247339 (20) is a rectangle The sum of the dimension rasters. Outside this range, more diffraction points are accommodated in the pupil. Although not stated in the text, the depth of focus (DOF) shows that when more diffraction points contribute to the image, the change is from infinity (perfect mask, point source and wavefront) to finite. As shown in Figure 20A., the NILS for this pitch is considered to be above 2.5 for all dot pitches. This shows a good exposure space for all dot pitches. On the other hand, the contact width is varied from 50 nm to 105 nm (worst dot pitch) and is stably maintained at about 65 nm. This is extremely noteworthy, and has the advantages of simple mask layout, no dot-dependent pattern and illumination optimization. Please compare Graeupner et al., Socha, R. et al. with Shi, X. et al. D3. Custom Polarization In one embodiment, custom polarized light is used to replace simple radiated or tangentially polarized light. Figure 2 1 A shows a custom polarization pattern in which each arrow indicates the direction of the field vector for a particular portion of the beam. Fig. 21B shows another custom polarization pattern. Unlike radiation or tangential polarization, custom polarization patterns have inconsistent polarization vector designs. The polarization vector such as the channel shows the direction of the arrow in Figs. 21A and 21B. In one embodiment, the custom polarized light and the radiant and tangential polarization can be fabricated by a pattern polarizing device such as pattern polarizing device 104 or 202. The pattern in the pattern polarization device is preset, and the pattern polarization device can be changed as needed to produce the desired polarization. The shape of the illumination light for the lighting configuration or illumination source can also be customized. Provides custom lighting and the ability to customize polarization and intensity to optimize printing. -24 - (21) 1247339 E. Soaking lithography Other lithography techniques, immersion lithography can also be used for the printing of the contacts in the present invention. In the immersion lithography, at least the optical instrument and the wafer, such as the projection optical instrument 1 与 8 and the wafer 1 1 投影, are projected, and the space between them is filled with liquid. Using immersion lithography increases the dot pitch resolution from 125 nm to 100 nm. To simulate immersion lithography, the wavelength depends on the refractive index of the soaking solution (for example, 1.5). The liquid NA that can be achieved by a suitable lens design is 1.395. Figure 22 is an image of a 5 〇 nm contact hole simulating a 100 nm dot pitch in accordance with the immersion lithography of the present invention. The NILS exceeds 1.74, indicating that this is an optical lithography technique that can implement a 50 nm contact hole with a lOOnm pitch. F. Far Ultraviolet Radiation (EUV) Far Ultraviolet Radiation (EUV) is also viewed because it provides a very short wavelength and therefore has a high k factor at 100 nm. In-air image simulation using images of typical EUV conditions (0.6 PC, 0.25 NA, and binary contact hole masks with unpolarized light), confirming that EUV can print extremely high quality 5 Onm at 100 nm pitch Point image. The results of the simulation using the EUV according to the present invention are shown in Fig. 23. At DOP over 0.4 microns, the NILS and contrast are 〇·7 and 2.5 respectively; this shows that EUV provides good imagery under the right conditions. The inventors considered a number of methods for printing nest contact holes of I 〇〇 nm pitches, and found that 157 nm and high NA allow printing of 134 nm dot pitch contacts with good image quality. In addition, the radio-polarization combined with the most advanced method of 157 nm (slowing -25-1247339 (22) PSM, quadrupole, etc.) produces a more significant improvement over the use of unpolarized light. . The minimum point distance solved by this technique is 125 nm. Through radio-polarization, Cartesian quadrupole, chrome-free alternating PSM and negative photoresist, we can obtain contrast images of nearly 157 nm contact holes with a nearly perfect 10 () nm pitch. The inventors have found that this method is optimally up to 157 nm. The image quality was almost constant via the dot pitch, and the inventors did not observe the prohibited dot pitch. The inventors found that a hypothetical soaking solution of 1.5 refractive index at 157 nm produced a high quality image with a dot pitch of 100 nm, while the EUV condition produced a very high quality image with a 100 nm point contact. The simulation results are summarized in the table below. Method Wavelength (nm) ΝΑ Point Distance (nm) Contrast NILS Attenuation P s Μ and Unpolarized Light 157.6 0,93 134 0.5 1.55 Attenuated PSM and Radio Polarization 157.6 0.93 125 0.5 1 1.47 Chromium Free PSM and Radio Polarization 157.6 0.93 100 0.99 3.08 Soaking 157.6 1.395 100 0.62 1.74 EU V 13.4 0.25 100 0,99 5.27 While various embodiments of the invention have been described above, it is to be understood that It will be apparent to those skilled in the art that various changes in form and content may be made without departing from the spirit and scope of the invention. Therefore, the scope and scope of the invention should not be limited to the above-described embodiments, but only by the scope of the following patent application -26- 1247339 (23). BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings, which are incorporated in FIG. 1 is a lithography system in accordance with an embodiment of the present invention. 2 is a lithography system in accordance with an embodiment of the present invention. Figure 3 A is an enlarged image of the contact holes in the resist on the wafer. Figure 3B is a top view image of the contact hole in the resist on the wafer. 4A and 4B depict a mask spectrum of a two-dimensional attenuated illuminating phase shift mask (PSM) where px = Py = p and having off-axis off-axis illumination on an optical axis, respectively, in accordance with an embodiment of the present invention. Figure 5 shows an image with a finite pitch of unpolarized light, where c〇 and C45 are each rectangular and diagonally contrasted. Figure 6 depicts an example of a simulation experiment (showing radioactive polarization for the sake of illustration). Figures 7A and 7B show image quality effects of radiation and tangential polarization. Figures 8A-8C show a comparison of three polarization modes in an example of a 125-nm pitch (45 degree rotation mask). Figures 9A-9C depict the image quality effects of polarization. Figure 9A depicts a poor contrast image of a group of contact holes obtained using unpolarized light. Figure 9B depicts a poor contrast image of a group of contact holes obtained using tangentially polarized light. Figure 9C depicts a high contrast image of a group of contact holes obtained using radiantly polarized light, -27-(24) 1247339, in accordance with an embodiment of the present invention. Figure 1 〇 A depicts the effect of a tangent polarizer for the electric field vector in the light. Figure 10B depicts the effect of a radionilator for the electric field vector in the light. Figures 1 1 A and 1 1 B show the effect of the dot pitch of the radioactively polarized light with a chrome-free alternating PSM in accordance with an embodiment of the present invention. Figure 12 depicts the attenuation P S Μ. Figure 13 depicts a binary PSM. The images of Figures 14A - 14C show the polarization effect of image quality with a 125 nm pitch attenuation PSM in accordance with an embodiment of the present invention. Figure 15 depicts an alternate PSM. Figure 16 shows a chrome-free alternating PS Μ mask layout. Figures 17A and 17B show the optical axis and optical off-axis illumination of the diffraction pattern of the two-dimensional chrome-free alternating PSM, respectively. Figures 18A and 18B show images of chrome-free alternating PSM in air (a) and resist (b). Figure 19 shows the best focus relative to images in six airs using chrome-free alternating PSM and radio-polarized light with Cartesian quadrupole (C-quad). Figures 20A and 20B show image characteristics in air versus The pattern of the dot pitch. Figure 2 1 A and 2 ] B is an example diagram of custom polarization. Figure 22 is a immersion image of unpolarized light having a diagonal quadrupole and a 6% attenuation PSM of n = 1.5. Figure 23 shows the airborne image of the best 1247339 (25) in the extreme ultraviolet radiation (EUV) condition. Main component comparison table 100' 200 lithography system 102 illumination source 104, 202 pattern polarization device 106 mask 108, 204A, 204B projection optical instrument 110 wafer 302 resist layer 304 wafer surface 306 contact hole 10 0 2 Unpolarized light 1004 Tangential polarizer 1008 Radiated polarizer 10 10 Radiated polarized light 1200 Attenuated phase shift mask 1202, 1302 grid 1204 ^ 1304 Central portion 1206, 1306 Peripheral portion 1300 Binary phase shift mask 1500 No Chromium alternating phase shift mask 1502,] 504, 1506, 1508 part 15 10 blackened area

-29--29-

Claims (1)

i iini··糾―"n—.i iini··纠“"n—. 煩謂委員明示、本案修正後是否變更原^質内々 1247339 拾、申請專利範圍 附件2A :第93 1 043 1 5號專利申請案 中文申請專利範圍替導本: 民國94年3月23日修正 1 . 一種在晶圓上印刷的方法,包括: (a )依據一預設的極化圖樣而極化光,用以產生一 極化曝光光束; (b) 朝著一遮罩並沿一光學路徑輸出該極化曝光光 束; (c) 在該光學路徑中以該極化曝光光束照亮該遮罩 ,以便在該曝光光束中產生一影像;及 (d )在該光學路徑中以'該曝光光束之光對該晶圓上 之光阻層實施曝光。 2 ·如申請專利範圍第1項之方法,其中該步驟(a ) 進一步包括依據一放射極化圖樣’產生該極化曝光光束。 3 ·如申請專利範圍第1項之方法,其中該步驟(a ) 進一步包括依據一切線極化圖樣’產生該極化曝光光束。 4 ·如申請專利範圍第1項之方法,其中該步驟(a ) 進一步包括依據一訂製極化圖樣’產生該極化曝光光束。 5 ·如申請專利範圍第1項之方法,其中該步驟(a ) 進一步包括產生極化四極點照明° 6.如申請專利範圍第1項之方法,進一步包括·· 在該步驟(a )進行之前’在〜照明源上預先極化 光0It is annoyed that the members have clearly indicated whether the original case has been changed after the amendment of the case. The number of patents is 2247339. The scope of application for patents is attached. Attachment 2A: Patent No. 93 1 043 1 5 Patent Application for Chinese Patent Application: The amendment of the Republic of China on March 23, 1994 A method of printing on a wafer, comprising: (a) polarizing light according to a predetermined polarization pattern to produce a polarized exposure beam; (b) toward a mask and along an optical path Outputting the polarized exposure beam; (c) illuminating the mask with the polarized exposure beam in the optical path to produce an image in the exposure beam; and (d) exposing the exposure in the optical path The light of the beam exposes the photoresist layer on the wafer. 2. The method of claim 1, wherein the step (a) further comprises generating the polarized exposure beam in accordance with a radiation polarization pattern. 3. The method of claim 1, wherein the step (a) further comprises generating the polarized exposure beam in accordance with a linear polarization pattern. 4. The method of claim 1, wherein the step (a) further comprises generating the polarized exposure beam in accordance with a custom polarization pattern. 5. The method of claim 1, wherein the step (a) further comprises generating a polarized quadrupole illumination. 6. The method of claim 1, further comprising: · performing at step (a) Before 'pre-polarized light on the ~ illumination source 0 7 ·如申請專利範圍第1項之方法,其中該步驟(c ) 包括照亮一遮罩,以產生一包括接點孔的影像。 8 ·如申請專利範圍第1項之方法,其中該步驟(d ) 發生於一液體中。 9 ·如申請專利範圍第1項之方法,其中該遮罩至少 爲下列群組之一,包括:無鉻相移遮罩、衰減相移遮罩及 交替相移遮罩。7. The method of claim 1, wherein the step (c) comprises illuminating a mask to produce an image comprising the contact holes. 8. The method of claim 1, wherein the step (d) occurs in a liquid. 9. The method of claim 1, wherein the mask is at least one of the group consisting of: a chromium-free phase shift mask, an attenuated phase shift mask, and an alternating phase shift mask. 10.如申請專利範圍第1項之方法,其中該遮罩是一 個二元遮罩。 1 1 · 一種在晶圓上印刷的方法,包括: (a )依據一預設的極化圖樣而極化光,用以產生一 極化曝光光束; (b )沿一光學路徑輸出該極化曝光光束; (c )在該光學路徑中以該極化曝光光束照亮一無鉻 相移遮罩,以便在該曝光光束中產生一影像;及10. The method of claim 1, wherein the mask is a binary mask. 1 1 · A method of printing on a wafer, comprising: (a) polarizing light according to a predetermined polarization pattern to produce a polarized exposure beam; (b) outputting the polarization along an optical path Exposing a beam of light; (c) illuminating a chrome-free phase shift mask with the polarized exposure beam in the optical path to produce an image in the exposure beam; (d)在該光學路徑中以該曝光光束之光對該晶圓上 之負光阻層實施曝光。 1 2 . —種在晶圓上印刷的方法,包括: (a )依據一預設的極化圖樣而極化光,用以產生一 極化曝光光束; (b )沿一光學路徑輸出該極化曝光光束; (c )在該光學路徑中以該極化曝光光束照亮一衰減 相移遮罩,以便在該曝光光束中產生一影像;及 (d )在該光學路徑中以該曝光光束之光對該晶圓上 -2-(d) exposing the negative photoresist layer on the wafer with the light of the exposure beam in the optical path. 1 2 . A method of printing on a wafer, comprising: (a) polarizing light according to a predetermined polarization pattern to generate a polarized exposure beam; (b) outputting the pole along an optical path Exposing the beam of light; (c) illuminating an attenuated phase shift mask with the polarized exposure beam in the optical path to produce an image in the exposure beam; and (d) exposing the beam in the optical path Light on the wafer -2- 2 之正光阻層實施曝光。 1 3 . —種在晶圓上印刷的方法,包括: (a )依據一預設的極化圖樣而極化光,用以產生一 極化曝光光束; (b )沿一光學路徑輸出該極化曝光光束; (c )在該光學路徑中以該極化曝光光束照亮一個二 元遮罩,以便在該曝光光束中產生一影像;及2 The positive photoresist layer is exposed. 1 3 . A method of printing on a wafer, comprising: (a) polarizing light according to a predetermined polarization pattern to generate a polarized exposure beam; (b) outputting the pole along an optical path Exposing the beam of light; (c) illuminating a binary mask with the polarized exposure beam in the optical path to produce an image in the exposure beam; (d)在該光學路徑中以該曝光光束之光對該晶圓上 之正光阻層實施曝光。 1 4 . 一種在晶圓上印刷的方法,包括: (a )以預先極化光照亮一相移遮罩,以便在該預先 極化光中產生一影像; (b)由該相移遮罩並沿一光學路徑輸出該預先極化 光;(d) exposing the positive photoresist layer on the wafer with the light of the exposure beam in the optical path. 1 4. A method of printing on a wafer, comprising: (a) illuminating a phase shift mask with pre-polarized light to produce an image in the pre-polarized light; (b) obscuring the phase shift Covering and outputting the pre-polarized light along an optical path; (c )在該光學路徑的一投影光學儀器中,以該極化 曝光光束塑形該預先極化光,以產生一曝光光束,其中該 預先極化光係依據一預設的極化圖樣及強度圖樣而塑形; 及 (d)在該光學路徑中以該曝光光束之光對該晶圓上 之光阻層實施曝光。 1 5 . —種微影系統,包括: (a ) —照明源,其沿一光學路徑發出照明光; (b ) —圖樣極化裝置,其將照明光由該照明源轉換 爲具預設的極化圖樣的曝光光束,並將該曝光光束輸出至 -3- 1247¾會(c) in a projection optical instrument of the optical path, the pre-polarized light is shaped by the polarized exposure beam to generate an exposure beam, wherein the pre-polarized light is based on a predetermined polarization pattern and And shaping the intensity pattern; and (d) exposing the photoresist layer on the wafer with the light of the exposure beam in the optical path. A lithography system comprising: (a) an illumination source that emits illumination light along an optical path; (b) a pattern polarization device that converts illumination light from the illumination source to a predetermined one Polarizing the exposure beam of the pattern and outputting the exposure beam to -3- 12473⁄4 該光學路徑; (C) 一遮罩,其在該曝光光束中產生一影像,其中 該遮罩包括具有一點距的接點孔圖樣;及 (d ) —投影光學儀器,其中繼該曝光光束以便在一 晶圓上印刷。 16.如申請專利範圍第1 5項之微影系統,其中該照 明光爲預先極化照明光,而其中該圖樣極化裝置爲一波板The optical path; (C) a mask that produces an image in the exposure beam, wherein the mask includes a contact hole pattern having a little distance; and (d) a projection optical instrument that relays the exposure beam so that Print on a wafer. 16. The lithography system of claim 15, wherein the illumination light is pre-polarized illumination light, and wherein the pattern polarization device is a wave plate 1 7 .如申請專利範圍第1 5項之微影系統,其中該照 明光爲預先極化照明光,而其中該圖樣極化裝置爲一極化 1 8 .如申請專利範圍第1 5項之微影系統,其中該照 明光爲非極化照明光,而其中該圖樣極化裝置爲一極化器 1 9.如申請專利範圍第1 5項之微影系統,進一步包 括··( e ) —晶圓,係裝設以由該曝光光束所曝光。 2 0.如申請專利範圍第1 9項之微影系統,進一步包 括一液體,其塡滿該投影光學儀器與該晶圓之間的空間。 2 1 .如申請專利範圍第1 5項之微影系統,其中該圖 樣極化裝置包含於該投影光學儀器中。 22 .如申請專利範圍第1 5項之微影系統,其中該預 設的極化圖樣爲一放射極化圖樣。 2 3 .如申請專利範圍第1 5項之微影系統,其中該預 設的極化圖樣爲一切線極化圖樣。 -4- 1247339 _ i丨年月日佐:更〕正替換頁 Λ«»»«Μ6η*< rwsr«λ.·λ%wjwt*»*a.j.v --fnrn ι ιγ· ιιιίιγίίίιιί nryn ir ιιτιπητιιττι 24.如申請專利範圍第1 5項之微影系統,其中該預 設的極化圖樣爲一訂製極化圖樣。 2 5 .如申請專利範圍第1 5項之微影系統,其中該遮 罩爲下列群組之一,包括:一無鉻相移遮罩、一衰減相移 遮罩、一二元遮罩及一交替相移遮罩。 26. —種在晶圓上產生接點孔的方法,包括: (a )產生一極化照明光束; (b )以該極化照明光束照亮一遮罩,以產生一曝光 光束’其中該遮罩在該曝光光束中產生具有一點距的接點 孔圖樣;及 (c )以該曝光光束之光對一晶圓實施曝光。 27·如申請專利範圍第2 6項之方法,其中該步驟(b )進一步包括照亮一相移遮罩。 28.如申請專利範圍第26項之方法,其中該步驟(a )進一步包括產生一放射極化照明光束。 2 9·如申請專利範圍第2 6項之方法,其中該步驟(a )進一步包括產生一切線極化照明光束。 3 0.如申請專利範圍第2 6項之方法,其中該步驟(a )進一步包括產生一訂製極化照明光束。The lithography system of claim 15 wherein the illumination light is pre-polarized illumination light, and wherein the pattern polarization device is polarized by 18. 8 as claimed in claim 15 a lithography system, wherein the illumination light is non-polarized illumination light, and wherein the pattern polarization device is a polarizer 1 9. The lithography system according to claim 15 of the patent scope further includes ··(e) a wafer mounted to be exposed by the exposure beam. The lithography system of claim 19, further comprising a liquid that fills the space between the projection optical instrument and the wafer. 2 1. The lithography system of claim 15, wherein the pattern polarization device is included in the projection optical instrument. 22. The lithography system of claim 15, wherein the predetermined polarization pattern is a radio polarization pattern. 2 3. A lithography system as claimed in claim 15 wherein the predetermined polarization pattern is a linear polarization pattern. -4- 1247339 _ i丨年月日佐:More] is replacing the page Λ«»»«Μ6η*< rwsr«λ.·λ%wjwt*»*ajv --fnrn ι ιγ· ιιιίιγίιιί nryn ir ιιτιπητιιττι 24. For example, the lithography system of claim 15 wherein the predetermined polarization pattern is a custom polarization pattern. 2 5. The lithography system of claim 15 wherein the mask is one of the following groups, including: a chromium-free phase shift mask, an attenuated phase shift mask, a binary mask, and An alternating phase shifting mask. 26. A method of producing a contact hole on a wafer, comprising: (a) generating a polarized illumination beam; (b) illuminating a mask with the polarized illumination beam to produce an exposure beam The mask creates a contact hole pattern having a slight distance in the exposure beam; and (c) exposing a wafer to the light of the exposure beam. 27. The method of claim 26, wherein the step (b) further comprises illuminating a phase shift mask. 28. The method of claim 26, wherein the step (a) further comprises generating a radiation-polarized illumination beam. 2 9. The method of claim 26, wherein the step (a) further comprises generating all linearly polarized illumination beams. The method of claim 26, wherein the step (a) further comprises generating a customized polarized illumination beam.
TW093104315A 2003-02-21 2004-02-20 Lithographic printing with polarized light TWI247339B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US44853003P 2003-02-21 2003-02-21
US10/781,803 US7090964B2 (en) 2003-02-21 2004-02-20 Lithographic printing with polarized light

Publications (2)

Publication Number Publication Date
TW200503069A TW200503069A (en) 2005-01-16
TWI247339B true TWI247339B (en) 2006-01-11

Family

ID=32930485

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093104315A TWI247339B (en) 2003-02-21 2004-02-20 Lithographic printing with polarized light

Country Status (7)

Country Link
US (2) US7090964B2 (en)
EP (1) EP1532484A4 (en)
JP (2) JP4488521B2 (en)
KR (1) KR100642169B1 (en)
CN (1) CN1698011B (en)
TW (1) TWI247339B (en)
WO (1) WO2004077154A2 (en)

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG135052A1 (en) 2002-11-12 2007-09-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
CN100568101C (en) 2002-11-12 2009-12-09 Asml荷兰有限公司 Lithographic equipment and device making method
US9482966B2 (en) 2002-11-12 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10503084B2 (en) 2002-11-12 2019-12-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7372541B2 (en) * 2002-11-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7948604B2 (en) * 2002-12-10 2011-05-24 Nikon Corporation Exposure apparatus and method for producing device
KR101101737B1 (en) * 2002-12-10 2012-01-05 가부시키가이샤 니콘 Exposure apparatus, exposure method and method for manufacturing device
JP4352874B2 (en) * 2002-12-10 2009-10-28 株式会社ニコン Exposure apparatus and device manufacturing method
KR101085372B1 (en) * 2002-12-10 2011-11-21 가부시키가이샤 니콘 Exposure apparatus and method for manufacturing device
EP1571695A4 (en) * 2002-12-10 2008-10-15 Nikon Corp Exposure apparatus and method for manufacturing device
US7242455B2 (en) * 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
CN101424883B (en) * 2002-12-10 2013-05-15 株式会社尼康 Exposure system and device producing method
DE10261775A1 (en) 2002-12-20 2004-07-01 Carl Zeiss Smt Ag Device for the optical measurement of an imaging system
TWI247339B (en) * 2003-02-21 2006-01-11 Asml Holding Nv Lithographic printing with polarized light
SG183572A1 (en) 2003-02-26 2012-09-27 Nikon Corp Exposure apparatus, exposure method, and method for producing device
KR20050110033A (en) * 2003-03-25 2005-11-22 가부시키가이샤 니콘 Exposure system and device production method
EP1612850B1 (en) * 2003-04-07 2009-03-25 Nikon Corporation Exposure apparatus and method for manufacturing a device
JP4488004B2 (en) * 2003-04-09 2010-06-23 株式会社ニコン Immersion lithography fluid control system
KR101745223B1 (en) 2003-04-10 2017-06-08 가부시키가이샤 니콘 Environmental system including a transport region for an immersion lithography apparatus
JP4488005B2 (en) 2003-04-10 2010-06-23 株式会社ニコン Outflow passage for collecting liquid for an immersion lithographic apparatus
JP4656057B2 (en) * 2003-04-10 2011-03-23 株式会社ニコン Electro-osmotic element for immersion lithography equipment
EP2950147B1 (en) * 2003-04-10 2017-04-26 Nikon Corporation Environmental system including vaccum scavenge for an immersion lithography apparatus
WO2004092830A2 (en) 2003-04-11 2004-10-28 Nikon Corporation Liquid jet and recovery system for immersion lithography
CN101002140B (en) 2003-04-11 2010-12-08 株式会社尼康 Apparatus and method for maintaining immersion fluid in the gap under the projection lens in a lithography machine
KR20170016014A (en) 2003-04-11 2017-02-10 가부시키가이샤 니콘 Cleanup method for optics in immersion lithography
KR101369582B1 (en) * 2003-04-17 2014-03-04 가부시키가이샤 니콘 Optical arrangement of autofocus elements for use with immersion lithography
TWI295414B (en) * 2003-05-13 2008-04-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
WO2004102646A1 (en) * 2003-05-15 2004-11-25 Nikon Corporation Exposure apparatus and method for manufacturing device
TWI424470B (en) 2003-05-23 2014-01-21 尼康股份有限公司 A method of manufacturing an exposure apparatus and an element
TW201515064A (en) 2003-05-23 2015-04-16 尼康股份有限公司 Exposure device, exposure method, and device manufacturing method
KR101548832B1 (en) 2003-05-28 2015-09-01 가부시키가이샤 니콘 Exposure method, exposure device, and device manufacturing method
US7213963B2 (en) 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1486827B1 (en) 2003-06-11 2011-11-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317504B2 (en) * 2004-04-08 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP3104396B1 (en) 2003-06-13 2018-03-21 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
TWI433211B (en) 2003-06-19 2014-04-01 尼康股份有限公司 A method of manufacturing an exposure apparatus and an element
WO2005006026A2 (en) * 2003-07-01 2005-01-20 Nikon Corporation Using isotopically specified fluids as optical elements
JP4697138B2 (en) * 2003-07-08 2011-06-08 株式会社ニコン Immersion lithography apparatus, immersion lithography method, and device manufacturing method
EP1643543B1 (en) * 2003-07-09 2010-11-24 Nikon Corporation Exposure apparatus and method for manufacturing device
WO2005006418A1 (en) * 2003-07-09 2005-01-20 Nikon Corporation Exposure apparatus and method for manufacturing device
EP2264531B1 (en) 2003-07-09 2013-01-16 Nikon Corporation Exposure apparatus and device manufacturing method
WO2005010960A1 (en) * 2003-07-25 2005-02-03 Nikon Corporation Inspection method and inspection device for projection optical system, and production method for projection optical system
US7175968B2 (en) * 2003-07-28 2007-02-13 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate
US7326522B2 (en) 2004-02-11 2008-02-05 Asml Netherlands B.V. Device manufacturing method and a substrate
EP1503244A1 (en) 2003-07-28 2005-02-02 ASML Netherlands B.V. Lithographic projection apparatus and device manufacturing method
KR101403117B1 (en) * 2003-07-28 2014-06-03 가부시키가이샤 니콘 Exposure apparatus, device producing method, and exposure apparatus controlling method
US7779781B2 (en) 2003-07-31 2010-08-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI263859B (en) * 2003-08-29 2006-10-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
WO2005022616A1 (en) * 2003-08-29 2005-03-10 Nikon Corporation Exposure apparatus and device producing method
KR101748923B1 (en) 2003-09-03 2017-06-19 가부시키가이샤 니콘 Apparatus and method for providing fluid for immersion lithography
WO2005029559A1 (en) * 2003-09-19 2005-03-31 Nikon Corporation Exposure apparatus and device producing method
KR20170058458A (en) 2003-09-29 2017-05-26 가부시키가이샤 니콘 Exposure apparatus, exposure method, and device manufacturing method
ATE509367T1 (en) 2003-10-08 2011-05-15 Zao Nikon Co Ltd EXPOSURE APPARATUS, SUBSTRATE SUPPORT METHOD, EXPOSURE METHOD AND METHOD FOR PRODUCING A DEVICE
JP2005136364A (en) * 2003-10-08 2005-05-26 Zao Nikon Co Ltd Substrate carrying device, exposure device and device manufacturing method
JP4335213B2 (en) 2003-10-08 2009-09-30 株式会社蔵王ニコン Substrate transport apparatus, exposure apparatus, and device manufacturing method
TW201738932A (en) * 2003-10-09 2017-11-01 Nippon Kogaku Kk Exposure apparatus, exposure method, and device producing method
US7352433B2 (en) 2003-10-28 2008-04-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7411653B2 (en) 2003-10-28 2008-08-12 Asml Netherlands B.V. Lithographic apparatus
US7528929B2 (en) 2003-11-14 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI596442B (en) 2003-12-03 2017-08-21 尼康股份有限公司 Exposure apparatus, exposure method, device manufacturing method
KR101111363B1 (en) * 2003-12-15 2012-04-12 가부시키가이샤 니콘 Projection exposure apparatus, stage apparatus, and exposure method
EP1699073B1 (en) * 2003-12-15 2010-12-08 Nikon Corporation Stage system, exposure apparatus and exposure method
US20070081133A1 (en) * 2004-12-14 2007-04-12 Niikon Corporation Projection exposure apparatus and stage unit, and exposure method
US7292315B2 (en) 2003-12-19 2007-11-06 Asml Masktools B.V. Optimized polarization illumination
US7394521B2 (en) * 2003-12-23 2008-07-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070019179A1 (en) 2004-01-16 2007-01-25 Damian Fiolka Polarization-modulating optical element
KR101230757B1 (en) 2004-01-16 2013-02-06 칼 짜이스 에스엠티 게엠베하 Polarization-modulating optical element
US8270077B2 (en) 2004-01-16 2012-09-18 Carl Zeiss Smt Gmbh Polarization-modulating optical element
WO2005071491A2 (en) * 2004-01-20 2005-08-04 Carl Zeiss Smt Ag Exposure apparatus and measuring device for a projection lens
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
KR101377815B1 (en) 2004-02-03 2014-03-26 가부시키가이샤 니콘 Exposure apparatus and method of producing device
TWI518744B (en) 2004-03-25 2016-01-21 尼康股份有限公司 Exposure apparatus,exposure method,and device manufacturing method
US7304719B2 (en) * 2004-03-31 2007-12-04 Asml Holding N.V. Patterned grid element polarizer
US7898642B2 (en) * 2004-04-14 2011-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1747499A2 (en) 2004-05-04 2007-01-31 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US7616383B2 (en) * 2004-05-18 2009-11-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7324280B2 (en) 2004-05-25 2008-01-29 Asml Holding N.V. Apparatus for providing a pattern of polarization
CN101833247B (en) 2004-06-04 2013-11-06 卡尔蔡司Smt有限责任公司 Measuring system for the optical measurement of projecting object lens of micro-lithography projection exposure system
EP3203498A1 (en) 2004-06-09 2017-08-09 Nikon Corporation Exposure apparatus and device manufacturing method
US7463330B2 (en) 2004-07-07 2008-12-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8384874B2 (en) 2004-07-12 2013-02-26 Nikon Corporation Immersion exposure apparatus and device manufacturing method to detect if liquid on base member
US8305553B2 (en) * 2004-08-18 2012-11-06 Nikon Corporation Exposure apparatus and device manufacturing method
US7701550B2 (en) 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060098182A1 (en) * 2004-11-05 2006-05-11 Asml Netherlands B.V. Radially polarized light in lithographic apparatus
US7397533B2 (en) 2004-12-07 2008-07-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7880860B2 (en) 2004-12-20 2011-02-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060146307A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG124359A1 (en) 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG124351A1 (en) 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US8692973B2 (en) 2005-01-31 2014-04-08 Nikon Corporation Exposure apparatus and method for producing device
US20090262316A1 (en) 2005-01-31 2009-10-22 Nikon Corporation Exposure apparatus and method for producing device
CN100562801C (en) * 2005-02-07 2009-11-25 中国科学院光电技术研究所 Raster polarization photomask plate and the application in projection lithography system thereof
US7282701B2 (en) * 2005-02-28 2007-10-16 Asml Netherlands B.V. Sensor for use in a lithographic apparatus
JP4612849B2 (en) * 2005-03-01 2011-01-12 キヤノン株式会社 Exposure method, exposure apparatus, and device manufacturing method
JP2006253241A (en) * 2005-03-08 2006-09-21 Nikon Corp Exposure method and equipment, and device manufacturing method
JP2006269853A (en) * 2005-03-25 2006-10-05 Sony Corp Exposure apparatus and method of exposure
USRE43576E1 (en) 2005-04-08 2012-08-14 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
WO2006111319A2 (en) * 2005-04-20 2006-10-26 Carl Zeiss Smt Ag Projection exposure system, method for manufacturing a micro-structured structural member by the aid of such a projection exposure system and polarization-optical element adapted for use in such a system
US20060256311A1 (en) * 2005-05-16 2006-11-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4425239B2 (en) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus and device manufacturing method
US7528934B2 (en) * 2005-05-16 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20100215273A1 (en) * 2005-06-24 2010-08-26 Koninklijke Philips Electronics, N.V. Methods and devices for characterizing polarization of illumination system
US7745067B2 (en) * 2005-07-28 2010-06-29 Texas Instruments Incorporated Method for performing place-and-route of contacts and vias in technologies with forbidden pitch requirements
US7357768B2 (en) * 2005-09-22 2008-04-15 William Marshall Recliner exerciser
JP2007103835A (en) * 2005-10-07 2007-04-19 Toshiba Corp Aligner and exposure method
JP4750525B2 (en) * 2005-10-14 2011-08-17 キヤノン株式会社 Exposure method and device manufacturing method
US20070124987A1 (en) * 2005-12-05 2007-06-07 Brown Jeffrey K Electronic pest control apparatus
KR100768849B1 (en) * 2005-12-06 2007-10-22 엘지전자 주식회사 Power supply apparatus and method for line conection type fuel cell system
EP1804119A1 (en) * 2005-12-27 2007-07-04 Interuniversitair Microelektronica Centrum Method for manufacturing attenuated phase- shift masks and devices obtained therefrom
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102006021797A1 (en) * 2006-05-09 2007-11-15 Carl Zeiss Smt Ag Optical imaging device with thermal damping
EP1857879A1 (en) * 2006-05-15 2007-11-21 Advanced Mask Technology Center GmbH & Co. KG An illumination system and a photolithography apparatus
JP5023589B2 (en) * 2006-07-21 2012-09-12 大日本印刷株式会社 Photomask and method for designing the photomask
JP4997902B2 (en) * 2006-09-29 2012-08-15 大日本印刷株式会社 Halftone mask
JP4554665B2 (en) 2006-12-25 2010-09-29 富士フイルム株式会社 PATTERN FORMATION METHOD, POSITIVE RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED FOR THE PATTERN FORMATION METHOD, NEGATIVE DEVELOPMENT SOLUTION USED FOR THE PATTERN FORMATION METHOD, AND NEGATIVE DEVELOPMENT RINSE SOLUTION USED FOR THE PATTERN FORMATION METHOD
US8530148B2 (en) * 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US8637229B2 (en) 2006-12-25 2014-01-28 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US7995832B2 (en) * 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
US8654305B2 (en) 2007-02-15 2014-02-18 Asml Holding N.V. Systems and methods for insitu lens cleaning in immersion lithography
US8817226B2 (en) 2007-02-15 2014-08-26 Asml Holding N.V. Systems and methods for insitu lens cleaning using ozone in immersion lithography
US7952685B2 (en) * 2007-03-15 2011-05-31 Carl Zeiss Smt Ag Illuminator for a lithographic apparatus and method
US8237911B2 (en) 2007-03-15 2012-08-07 Nikon Corporation Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine
EP2138898B1 (en) 2007-04-13 2014-05-21 FUJIFILM Corporation Method for pattern formation, and use of resist composition in said method
US8603733B2 (en) 2007-04-13 2013-12-10 Fujifilm Corporation Pattern forming method, and resist composition, developer and rinsing solution used in the pattern forming method
US8034547B2 (en) * 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
US8476001B2 (en) 2007-05-15 2013-07-02 Fujifilm Corporation Pattern forming method
JP4558064B2 (en) * 2007-05-15 2010-10-06 富士フイルム株式会社 Pattern formation method
JP4590431B2 (en) * 2007-06-12 2010-12-01 富士フイルム株式会社 Pattern formation method
JP4617337B2 (en) * 2007-06-12 2011-01-26 富士フイルム株式会社 Pattern formation method
US8632942B2 (en) 2007-06-12 2014-01-21 Fujifilm Corporation Method of forming patterns
KR20100017783A (en) * 2007-06-12 2010-02-16 후지필름 가부시키가이샤 Resist composition for negative working-type development, and method for pattern formation using the resist composition
US8617794B2 (en) 2007-06-12 2013-12-31 Fujifilm Corporation Method of forming patterns
JP2009043789A (en) * 2007-08-06 2009-02-26 Elpida Memory Inc Pattern forming method, and mask
TWI389551B (en) * 2007-08-09 2013-03-11 Mstar Semiconductor Inc Gamma correction apparatus
US7846643B1 (en) 2007-11-02 2010-12-07 Western Digital (Fremont), Llc Method and system for providing a structure in a microelectronic device using a chromeless alternating phase shift mask
US7673278B2 (en) * 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library
KR101448152B1 (en) * 2008-03-26 2014-10-07 삼성전자주식회사 Distance measuring sensor having vertical photogate and three dimensional color image sensor having the same
US9176393B2 (en) 2008-05-28 2015-11-03 Asml Netherlands B.V. Lithographic apparatus and a method of operating the apparatus
US8325417B1 (en) * 2008-09-23 2012-12-04 The United States Of America As Represented By The Secretary Of The Navy Apparatus and method for producing an annular far-field patterned beam(s)
NL2005738A (en) * 2009-12-15 2011-06-16 Asml Holding Nv Improved polarization designs for lithographic apparatus.
EP2381310B1 (en) 2010-04-22 2015-05-06 ASML Netherlands BV Fluid handling structure and lithographic apparatus
US9454090B2 (en) 2012-08-28 2016-09-27 Micron Technology, Inc. Methods and apparatuses for template cooling
EP4137850A1 (en) 2012-10-15 2023-02-22 ImagineOptix Corporation Optical element
US8612904B1 (en) 2012-11-21 2013-12-17 Global Foundries Inc. Use of polarization and composite illumination source for advanced optical lithography
CN106353970B (en) * 2015-07-16 2019-07-26 中芯国际集成电路制造(上海)有限公司 Light source polarizes optimization method and light source-exposure mask-polarization optimization method
DE102022203745A1 (en) 2022-04-13 2022-09-15 Carl Zeiss Smt Gmbh EUV collector for an EUV projection exposure system

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3917399A (en) 1974-10-02 1975-11-04 Tropel Catadioptric projection printer
US5144362A (en) 1990-11-14 1992-09-01 Mitsubishi Denki Kabushiki Kaisha Projection aligner
US5541026A (en) * 1991-06-13 1996-07-30 Nikon Corporation Exposure apparatus and photo mask
JPH0590128A (en) * 1991-06-13 1993-04-09 Nikon Corp Aligner
US5539514A (en) * 1991-06-26 1996-07-23 Hitachi, Ltd. Foreign particle inspection apparatus and method with front and back illumination
JP3235077B2 (en) 1991-09-28 2001-12-04 株式会社ニコン Exposure apparatus, exposure method using the apparatus, and method for manufacturing semiconductor device using the apparatus
JP2796005B2 (en) 1992-02-10 1998-09-10 三菱電機株式会社 Projection exposure apparatus and polarizer
JP2936190B2 (en) * 1992-11-25 1999-08-23 株式会社ニコン Projection exposure apparatus, exposure method, and method of manufacturing semiconductor integrated circuit
US6404482B1 (en) * 1992-10-01 2002-06-11 Nikon Corporation Projection exposure method and apparatus
JP2698521B2 (en) 1992-12-14 1998-01-19 キヤノン株式会社 Catadioptric optical system and projection exposure apparatus having the optical system
US5537260A (en) 1993-01-26 1996-07-16 Svg Lithography Systems, Inc. Catadioptric optical reduction system with high numerical aperture
JPH0757993A (en) * 1993-08-13 1995-03-03 Nikon Corp Projection aligner
JP3128396B2 (en) * 1993-09-24 2001-01-29 株式会社東芝 Exposure method and exposure apparatus
JPH07122469A (en) * 1993-10-20 1995-05-12 Nikon Corp Projection aligner
US5442184A (en) 1993-12-10 1995-08-15 Texas Instruments Incorporated System and method for semiconductor processing using polarized radiant energy
JP3406957B2 (en) * 1995-12-06 2003-05-19 キヤノン株式会社 Optical element and exposure apparatus using the same
US6163367A (en) * 1998-07-16 2000-12-19 International Business Machines Corporation Apparatus and method for in-situ adjustment of light transmission in a photolithography process
WO2001022164A1 (en) 1999-09-17 2001-03-29 Infineon Technologies Ag Contact hole production by means of crossing sudden phase shift edges of a single phase mask
EP1091252A3 (en) 1999-09-29 2004-08-11 ASML Netherlands B.V. Lithographic method and apparatus
EP1255162A1 (en) 2001-05-04 2002-11-06 ASML Netherlands B.V. Lithographic apparatus
DE10124803A1 (en) * 2001-05-22 2002-11-28 Zeiss Carl Polarizer and microlithography projection system with polarizer
WO2004012013A2 (en) * 2002-07-26 2004-02-05 Massachusetts Institute Of Technology Optical imaging using a pupil filter and coordinated illumination polarisation
US6788477B2 (en) * 2002-10-22 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for method for immersion lithography
JP2006135346A (en) * 2002-12-10 2006-05-25 Canon Inc Exposure method and apparatus
TWI247339B (en) * 2003-02-21 2006-01-11 Asml Holding Nv Lithographic printing with polarized light

Also Published As

Publication number Publication date
CN1698011A (en) 2005-11-16
KR20050006174A (en) 2005-01-15
WO2004077154A3 (en) 2004-11-25
JP2008258637A (en) 2008-10-23
EP1532484A2 (en) 2005-05-25
JP2006511967A (en) 2006-04-06
KR100642169B1 (en) 2006-11-03
TW200503069A (en) 2005-01-16
JP4864932B2 (en) 2012-02-01
US7090964B2 (en) 2006-08-15
JP4488521B2 (en) 2010-06-23
US20060275708A1 (en) 2006-12-07
EP1532484A4 (en) 2007-08-22
US7445883B2 (en) 2008-11-04
US20040180294A1 (en) 2004-09-16
CN1698011B (en) 2011-02-02
WO2004077154A2 (en) 2004-09-10

Similar Documents

Publication Publication Date Title
TWI247339B (en) Lithographic printing with polarized light
TWI241622B (en) Mask and its manufacturing method, exposure, and device fabrication method
US5677755A (en) Method and apparatus for pattern exposure, mask used therefor, and semiconductor integrated circuit produced by using them
CN106019850B (en) EUV focus monitoring system and method
JP3779637B2 (en) Method for identifying extreme interaction pitch region, method for designing mask pattern and method for manufacturing mask, device manufacturing method and computer program
US9377677B2 (en) Generating method, creating method, exposure method, and storage medium
US7160649B2 (en) Gray level imaging masks, optical imaging apparatus for gray level imaging masks and methods for encoding mask and use of the masks
JP2010256935A (en) Method of generating complementary masks, computer program product, device manufacturing method, and method of imaging on wafer
JP2007158328A (en) Lithographic apparatus and device manufacturing method
JP2010079303A (en) Method of improving photomask geometry
JP2003515256A5 (en)
JP2006114901A (en) Lithography apparatus and method of manufacturing device
TWI304521B (en) Patterning device manufacturing method, mask set for use in the method, method of generating a mask pattern and a computer readable medium
JP2006269853A (en) Exposure apparatus and method of exposure
JP2005129648A (en) Method of forming contact hole
JP2006191088A (en) Method for manufacturing lithography device
EP1857879A1 (en) An illumination system and a photolithography apparatus
US20110033656A1 (en) Pattern forming method, electronic device manufacturing method and electronic device
CN1954262B (en) Imaging in photoetching and device
WO2002005029A1 (en) A method of illuminating a photomask using chevron illumination
JPH09120154A (en) Polarizing mask and its production as well as pattern exposure method and pattern projection aligner using the same
Baba-Ali et al. Overcoming the resolution challenge using special illumination techniques to print 50/50-nm nested contact holes at 157-nm wavelength
US8927198B2 (en) Method to print contact holes at high resolution
Smolev et al. 244-nm imaging interferometric lithography test bed
US20050089767A1 (en) Mask for off axis illumination and method for manufacturing the same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees