TW591792B - Manufacturing method of trench type capacitor with increasing capacitance value - Google Patents

Manufacturing method of trench type capacitor with increasing capacitance value Download PDF

Info

Publication number
TW591792B
TW591792B TW92114684A TW92114684A TW591792B TW 591792 B TW591792 B TW 591792B TW 92114684 A TW92114684 A TW 92114684A TW 92114684 A TW92114684 A TW 92114684A TW 591792 B TW591792 B TW 591792B
Authority
TW
Taiwan
Prior art keywords
layer
trench
capacitor
manufacturing
doped
Prior art date
Application number
TW92114684A
Other languages
Chinese (zh)
Other versions
TW200427064A (en
Inventor
Yi-Nan Chen
Kuan-Mou Chen
Hsin-Chuan Tsai
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Priority to TW92114684A priority Critical patent/TW591792B/en
Application granted granted Critical
Publication of TW591792B publication Critical patent/TW591792B/en
Publication of TW200427064A publication Critical patent/TW200427064A/en

Links

Landscapes

  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

A kind of manufacturing method of trench type capacitor with increasing capacitance value is provided in the present invention. The invention includes the followings: providing a substrate and forming a trench in the substrate; forming a glass doped layer conformally on the sidewall and bottom portion inside the trench, so as to constitute the first opening in the trench; filling a filling layer having a specified height into the first opening through vapor phase deposition method, and using the filling layer as the mask to etch the glass doped layer, so as to generally align the glass doped layer with the filling layer; forming a spacer on the substrate, the glass doped layer, the filling layer and the trench sidewall; making the dopant in the glass doped layer diffuse outwards in the substrate to form an embedded plate; etching back the spacer to form a collar-shaped first separation layer spacer on the trench sidewall; completely etching the filling layer and the glass doped layer; etching the substrate inside the trench to form a bottle-shaped trench; and forming a trench capacitor structure inside the bottle-shaped trench.

Description

591792591792

【發明所屬之技術領域】 ,本發^係有關於一種半導體製程,特別是有關於一種 可增加電容值(capaCitance)之瓶型溝槽(b〇ttie_shaped trench)電容器之製造方法。 【先前技術】 積體電路的發展技術日新月異,其發展趨勢往功能強 大,尺寸縮小與速度加快的方向前進,而動態隨機存取記 憶體(D R A Μ)的製造技術亦是如此,尤其是其記憶容量的增 加更是最重要的關鍵。 θ 現今大多數的DR AM單元是由一個電晶體與一個電容器 所構成。隨著半導體製程朝著縮小半導體元件尺寸以提高 元件密度之方向發展,DRAM記憶容量也增加到5 1 2百萬位 凡以上’因此記憶體中記憶胞的基底面積必須不斷減少使 積體電路能容納大量記憶胞而提高密度。在元件積集度要 求越來越高的情況下,記憶單元與電晶體的尺寸需要大幅 縮小,才可能製造出記憶容量更高,處理速度更快的DRam 。然而,傳統堆疊式電容的設計方式,會占據太多晶片表 面的面積而無法符合上述需求。 利用立體化的製程技術,可以大量地減少電晶體與電 容裔於半導體基底上所佔佈之面積,因此立體化技術開始 f運用於DRAM的製程上,例如溝槽型電容器,可滿足目前 咼度積集化的需求,因此可大幅改善習知的半導體記憶單 元的缺點,成為目前及未來製造半導體記憶單元的主要朝 流。該溝槽型電容器之基本構造係為一形成於摻雜之矽基[Technical field to which the invention belongs] The present invention relates to a semiconductor process, and in particular, to a method for manufacturing a bottle-shaped trench capacitor with increased capacitance (capaCitance). [Previous technology] The development technology of integrated circuits is changing with each passing day, and its development trend is moving towards powerful functions, reduced size and faster speed. The same is true of the manufacturing technology of dynamic random access memory (DRA M), especially its memory. The increase in capacity is the most important key. θ Most DR AM units today consist of a transistor and a capacitor. As the semiconductor process develops toward reducing the size of semiconductor components to increase the density of components, the memory capacity of DRAM has also increased to more than 51 million bits. Therefore, the base area of memory cells in the memory must be continuously reduced to enable integrated circuit performance. Accommodates a large number of memory cells to increase density. With the increasing requirement of component accumulation, the size of memory cells and transistors needs to be greatly reduced, so that it is possible to produce DRams with higher memory capacity and faster processing speed. However, the traditional stacked capacitor design method will occupy too much chip surface area and cannot meet the above requirements. The use of three-dimensional process technology can greatly reduce the area occupied by transistors and capacitors on the semiconductor substrate. Therefore, the three-dimensional technology has begun to be applied to DRAM processes, such as trench capacitors, which can meet the current requirements. The need for accumulation has greatly improved the shortcomings of conventional semiconductor memory cells, and has become a major trend in the manufacture of semiconductor memory cells at present and in the future. The basic structure of the trench capacitor is a doped silicon substrate.

591792591792

591792 五、發明說明(3) 趨入半導體矽基底1〇〇中,以形成埋層電極板11〇做為溝槽 電容器的下電極之用,請參照第丨c圖。其中,做為下電^ 之埋層電極板11 0的表面積係由氧化矽層丨06覆蓋溝槽的面 積而定,而氧化矽層106覆蓋溝槽的面積則是受控於光阻 107上表面與半導體矽基底10〇上表面之間的距離12〇。由 於此種作法不易將各溝槽中剝除後光阻的厚度維持一定, 再經過光阻剝除的程序後,使得各溝槽内光阻丨〇7的上表 面與無法達到埋層電極板之預定高度丨〇 1,因此不易控制 埋層電極板之面積,請參照第ld圖,例如在〇· 175微米的 設計規則(design rule)下,光阻表面的高度差異可能高 達82 0 0 A,此現象將嚴重影響後續形成之埋層電極板 之面積。若光阻108之咼度過高,則形成之埋層電極板 之面積則會過大’如此會造成溝槽密度較低區域(解搞合 電容區)之下電極與離子摻雜帶(埋入帶)之間的崩潰電^ (breakdown vol tage)降低,甚至發生短路。反之,若光 阻108之高度過低’則形成的埋層電極板11〇之面積將過 小’將嚴重影響整個溝槽電容器的儲存效能,請參照第i e 圖。 為了增加電容面積,一習知之作法則是形成半球形晶 矽粒(Hemispherical Silicon Grain; HSG)於構槽電容内 之瓶型溝槽表面’清參苐2a圖所示,首先在一且有一第一 乳化物層102以及一弟一氮化物層1〇4之半導體基底ι〇〇 上,於既定位置形成一深入基底的溝槽14〇。接'著'在該 溝槽1 4 0上半部形成如第2 a圖所示之保護層丨3 〇後,以姓刻591792 V. Description of the invention (3) The semiconductor silicon substrate 100 is used to form a buried electrode plate 110 as a lower electrode of a trench capacitor. Please refer to FIG. Among them, the surface area of the buried electrode plate 110, which is used for powering down, is determined by the area of the trench covered by the silicon oxide layer 06, and the area of the trench covered by the silicon oxide layer 106 is controlled by the photoresist 107. The distance between the surface and the upper surface of the semiconductor silicon substrate 100 is 120. Because this method is not easy to maintain the thickness of the photoresist after stripping in each trench, and after the photoresist stripping process, the upper surface of the photoresist in each trench and the buried electrode plate cannot be reached. The predetermined height 丨 〇1, so it is not easy to control the area of the buried electrode plate, please refer to Figure ld. For example, under the design rule of 175 microns, the height difference of the photoresist surface may be as high as 8200 A This phenomenon will seriously affect the area of the buried electrode plate formed later. If the photoresist 108 is too high, the area of the buried electrode plate will be too large. This will cause the electrode and ion doped band (buried The breakdown voltage between (bands) is reduced, and even a short circuit occurs. Conversely, if the height of the photoresist 108 is too low ', the area of the buried electrode plate 110 formed will be too small', which will seriously affect the storage performance of the entire trench capacitor, please refer to FIG. In order to increase the capacitor area, a well-known practice is to form a hemispherical silicon grain (HSG) on the surface of a bottle-shaped trench in a grooved capacitor, as shown in Figure 2a. An emulsion layer 102 and a nitride layer 104 are formed on the semiconductor substrate 100, and a trench 14 is formed deep in the substrate at a predetermined position. Next, a protective layer as shown in Fig. 2a is formed in the upper half of the groove 1440, and then engraved with a surname.

0548-9673TWf(nl) ; 91276 ; Phoelip.ptd 第7頁 591792 五、發明說明(4) j大該溝槽未被該保護層13〇覆蓋之下半部而形成第孔圖 所不之瓶型溝槽1 5 〇。 接下來,如第2c圖所示,沿著該保護層130以及該瓶 型溝槽1 50之側壁及底部依序形成—摻雜砷之二氧化發 璃(Arsenic D〇ped Silicon Dioxlde Giass ;asg)層【Μ 以及一四乙氧基石夕烧(^〇幻層134,然後進行驅入(hue m)而於該瓶型溝槽之侧壁内部形成第2d 極板110。 I I心曆电 “二^請參照第26圖,移除摻雜砰之二氧化石夕玻璃 (ASG)層132以及四乙氧基矽烷(TE〇s)層134後,全面 =層广〇以及該瓶型溝槽15〇之側壁及底部形成半球形; :曰如第二二斤6:1…SlUC〇n ““η ;HSG)190層,最後 士第2 f圖所不,移除位於該保護層上的半 (HSG)層’而保留位於該瓶型溝槽側壁及 曰曰球 矽晶粒(HSG)層。 丨工日7千琢开v 由於上述之習知製程,在目前製程窗口(pr〇c Wmdow)越來越細小的前提下’由於必 槽:依序形成摻"之二氧化綱陶層以 ),對瓶型溝槽之後易在溝槽中形成突懸(〇Verhang 、戈氣辁不利,增加製程的困難度。 提下:展St製程困難度及提昇製程之穩定性的前 型電容以提昇動態容表面積及埋層電極板之溝槽 機存取記憶體製造技种::己憶體的特性,是目前動態隨 衣&技術上之一項重要課題。 5917920548-9673TWf (nl); 91276; Phoelip.ptd Page 7 591792 V. Description of the invention (4) The size of the groove is not covered by the protective layer 13 and the lower half forms a bottle shape not shown in the hole diagram. Trench 1 5 〇. Next, as shown in FIG. 2c, the protective layer 130 and the sidewalls and the bottom of the bottle-shaped trench 150 are sequentially formed—Arsenic Doped Silicon Dioxlde Giass; asg ) Layer [M and a tetraethoxy stone yaki (^ 〇 magic layer 134, and then drive (hue m) to form a 2d plate 110 inside the sidewall of the bottle-shaped groove. II electrocardiogram " 2. Please refer to FIG. 26. After removing the doped SiO2 glass layer (ASG) layer 132 and the tetraethoxysilane (TE0s) layer 134, the full-scale layer and the bottle-shaped groove are removed. The side wall and the bottom of the 150 are formed in a hemispherical shape: as described in the second two pounds 6: 1 ... SlUCon "" η; HSG) 190 layers, and lastly not shown in Figure 2f, remove the protective layer Half (HSG) layer 'while remaining on the side wall of the bottle-shaped trench and said layer of spherical silicon grains (HSG). 丨 Working day 7 thousand cuts v Due to the above-mentioned conventional manufacturing process, in the current process window (prOc Wmdow) Under the premise that it is getting smaller and smaller, 'because of the necessary grooves: a doped oxide layer of ceramics is formed in sequence), after the bottle groove is prone to form overhangs in the groove (〇Verhang It is unfavorable to increase the difficulty of the manufacturing process. It is mentioned below: the front capacitor that exhibits the difficulty of the St manufacturing process and improves the stability of the manufacturing process to improve the dynamic surface area and the grooved machine memory technology of the buried electrode plate. :: The characteristics of the body of memory is an important subject in the current dynamic clothing & technology.

【發明内容】 、、篝榉此,ί發明之目的在於提供-種增加電容值之 件:i ί谷益的製造方法’以期在簡化製程步冑、增加元 之穩疋度及不增加製程之複雜性的前摇 / 型溝槽結構及粗糙化溝槽表面之電容哭 古形成具有瓶 提昇ί; ί n i件能夠在高度集積化的狀態下, =存電谷之此力以維持記憶體良好的操作性沪。 容儲2溝需增加溝槽深度即;增加電 型電的至加電容值之溝槽 並形成-溝槽於上述“;順;::基底, 迷溝槽内之側壁及底部,以構 θ二开广成於上 ;以-具有-特定高度之填u广於上述溝槽中 述第-開口,ϋ以上述填充沉積方式填入於上 層,使上述玻璃摻雜層與上4填^ 2蝕刻上述破螭摻雜 齊;順應性形成-間隔層;;於上述溝槽内大體切 上述填充層及上述溝槽側壁 If=璃摻雜層、 破璃摻雜層中之摻雜物向外。至:=匕將上述 埋板;回蝕刻上述間隔層形成一第二-形成一隱 刻上述溝槽内之基底多除:::—充以;=雜層;: 槽電容器結構於上述瓶型溝槽内。冓槽及形成-溝 0548-9673TWf(nl) ; 91276 : Phoelip.ptd 591792 五、發明說明(6) 根據本發明所述之增加電容值之溝槽型電容器的製造 方法,其中更包拮:在形成上述溝槽電容器結構於上述瓶 型溝槽内之後,移除上述領形第一間隔層間隙壁’並形成 一領形絕緣層間隙璧於上述領形第一間隔層間隙壁之原來 位置;於上述領形絕緣層間隙壁包圍之區域中形成一第二 導電層;以及形成/第三導電層於上述領形絕緣層間隙壁 及上述第二導電層上。 根據本發明之,較佳實施例,本發明所述之增加電容 值之溝槽型電容器的製造方法,其中在形成/第二導電層 於上述領形絕緣層間隙壁及上述第二導電層上之步驟後, 更包括對上述第三導電層表面進行一平坦化製程。 根據本發明所述之增加電容值之溝槽型電容器的製造 方法,其中上述溝槽電容器結構係包括一導電薄層、一電 容器介電層及一第〆導電層。而形成上述溝槽電容器結構 於上述瓶型溝槽内之步驟係包括··形成一導電薄層於上述 瓶型溝槽内之基底表面上,其中上述導電薄層係構成一瓶 型溝槽下部區域;形成一電容器介電層於上述導電薄層之 表面上;以及以〆第一導電層填滿於上述瓶型溝槽下部區 域。 本發明所述之增加電容值之溝槽型電容器的製造方法 亦可以另一方式表現’至少包括下列步驟:依序形成一第 一氧化物層及一第〆氮化物層於一基底之表面;接著形成 一溝槽於上述基底中’貫通上述第一氧化物層及上述第一 氮化物層,且露出上述第一氧化物層兩側表面;然後去除[Summary of the Invention] The purpose of the invention is to provide a kind of increased capacitance value: i The manufacturing method of Gu Yi ', in order to simplify the process steps, increase the stability of the yuan, and not increase the process. The complex forward-swing / shaped groove structure and the roughened surface of the capacitor can form a bottle with a lift; ί ni can be in a highly integrated state, which is the force of the power storage valley to maintain good memory Operational Shanghai. To store 2 trenches, you need to increase the depth of the trench, that is, to increase the capacitance to the capacitance-added trench and form-trenches in the above "; Shun; :: substrate, side walls and bottom of the trench to construct θ The second opening is completed; the filling with a specific height is wider than the first opening in the trench, and the filling is performed in the upper layer by the above filling deposition method, so that the glass doped layer and the upper 4 are filled. 2 Etching the above-mentioned broken doped material; compliant formation-spacer layer; roughly cut the filling layer and the sidewall of the groove in the trench If = glass doped layer, dopants in the glass doped layer outward To: = dagger the above-mentioned buried board; etch back the above-mentioned spacer layer to form a second-to form a substrate that is etched into the above-mentioned trench, and divide it: :::-charge; = miscellaneous layer; Inside trench, trench and formation-trench 0548-9673TWf (nl); 91276: Phoelip.ptd 591792 V. Description of the invention (6) A method for manufacturing a trench capacitor with increased capacitance according to the present invention, wherein More intimidating: After forming the trench capacitor structure in the bottle-shaped trench, remove the collar shape A spacer gap wall and forming a collar-shaped insulating layer gap at the original position of the collar-shaped first spacer gap; forming a second conductive layer in a region surrounded by the collar-shaped insulation gap; and forming / The third conductive layer is on the above-mentioned collar-shaped insulating layer and the second conductive layer. According to a preferred embodiment of the present invention, a method for manufacturing a trench-type capacitor with increased capacitance according to the present invention, wherein After forming / the second conductive layer on the collar-shaped insulating layer and the second conductive layer, the method further includes performing a planarization process on the surface of the third conductive layer. According to the present invention, the capacitance value is increased. A trench capacitor manufacturing method, wherein the trench capacitor structure includes a conductive thin layer, a capacitor dielectric layer, and a first conductive layer. The step of forming the trench capacitor structure in the bottle-shaped trench is Including ... forming a conductive thin layer on the surface of the substrate in the bottle-shaped trench, wherein the conductive thin layer forms a lower region of a bottle-shaped trench; forming a capacitor dielectric Layer on the surface of the conductive thin layer; and filling the lower area of the bottle-shaped trench with a first conductive layer. The manufacturing method of the trench capacitor with increased capacitance according to the present invention can also be expressed in another way. 'At least includes the following steps: sequentially forming a first oxide layer and a thallium nitride layer on a surface of a substrate; and then forming a trench in the substrate' penetrating the first oxide layer and the first nitrogen A compound layer, and the surfaces of both sides of the first oxide layer are exposed;

591792 五、發明說明(7) 層戶:曝露之兩側部份,以於上述第-氧化 物層及上述基底之間形成 層填滿上述第一開π 呈古㈤Μ # ?化物 m溝槽之底部順應性形成於上述溝槽内…,: =成二第二開口於上述溝槽中;以一複晶石夕層填入於上述 播肉二I,以使上述複晶矽層與上述玻璃摻雜層於上述溝 ” ί ΐ 一平®;順應性形成-氮化物間隔層於上述第-=夕μ s三上述玻璃摻雜層、上述複晶矽層及上述溝槽侧 二猎由退火處理,將上述玻璃摻雜層中之摻雜物向 ,,,.n ^ τ 形成一隱埋板,·移除形成於第一氮 曰上述複晶矽層上之上述氮化物間隔層,以形成一 =开=化物間隙壁於溝槽側壁上;完全移除上述複晶石夕層 二υ璃摻雜層;㈣上述溝槽内之上述基I,以形成 〜风屏糟冤合态結構於上述瓶型溝槽内; 形成一非晶矽層於上述瓶型溝槽内之基底表面上,其中上 j非::層係構成一瓶型溝槽下部區4;對上述非晶矽層 =:- f球狀顆粒化製程’使上述瓶型溝槽内之非晶矽層 ㈣晶粒層;形成—電容器介電層於上述半球 =曰曰:立層之表面上以一第—導電層填滿於上述瓶型 \二下部區域,移除上述領形氮化物間隙壁,並形成一領 形氧化物間隙壁於上述領形氮化物間隙壁之原來位置,且 於上述領形氧化物間隙壁包圍之區域中形成一第二導電 =二以=形成一第三導電層於上述領形絕緣層間隙壁及上 述弟二導電層上。591792 V. Description of the invention (7) Storey households: the exposed two sides of the layer, to form a layer between the above-mentioned oxide layer and the above-mentioned substrate to fill the first opening π, which is the ancient ㈤M #? M m trench. The bottom compliance is formed in the groove ..., == two second openings in the groove; a polycrystalline stone layer is filled in the sowing meat I, so that the polycrystalline silicon layer and the glass The doped layer in the above-mentioned trench ”ί ΐ Yiping®; compliantly formed-nitride spacer layer in the above-mentioned μ μs three glass doped layer, the polycrystalline silicon layer, and the trench side two by annealing treatment To form a buried plate toward the dopants in the above-mentioned glass doped layer, and remove the nitride spacer layer formed on the above-mentioned polycrystalline silicon layer to form a buried plate, so as to form A = on = a compound spacer on the sidewall of the trench; the polycrystalline silicon layer and the glass doped layer are completely removed; the above-mentioned base I in the above trench is formed to form a windscreen structure; In the bottle-shaped trench, an amorphous silicon layer is formed on the surface of the substrate in the bottle-shaped trench, and the upper layer is not :: layer structure A bottle-shaped trench lower region 4; for the above-mentioned amorphous silicon layer =:-f spherical granulation process' makes the amorphous silicon layer in the bottle-shaped trench ㈣ grain layer; forming-the capacitor dielectric layer on the above Hemisphere = Said: A first-conducting layer is filled on the surface of the vertical layer to the above-mentioned bottle shape \ second lower area, the collar-shaped nitride spacer is removed, and a collar-shaped oxide spacer is formed on the collar The original position of the nitride barrier wall, and a second conductive layer = two to = a third conductive layer is formed in the region surrounded by the collar-shaped oxide barrier wall, and a third conductive layer is formed on the collar-shaped insulating layer barrier and the second conductive layer. on.

0548-9673TWf(nl) ; 91276 ; Phoelip.ptd 第11頁 591792 五、發明說明(8) 為使本發明之上述目的、特徵能更明顯易懂,下文特 舉較佳實施例,並配合所附圖式,作詳細說明如下: 【貫施方式】 茲配合附圖將本發明之較佳實施例詳細說明如下: 第3 a圖至弟3 η圖係繪不根據本發明一較佳實施例之增 加電容值之溝槽型電容器的製造流程剖面圖。 首先,提供一基底100,在上述基底1〇〇表面形成包含 一第一氧化物層102及一第一氮化物層104之墊層結構,並 以蝕刻方式形成一溝槽140於上述基底1〇〇中,貫通上述第 一氧化物102層及上述第一氮化物1〇4層,且露出上述第一 氧化物層1 0 2之兩側表面,請參照第3 a圖所示。其中上述 基底10可例如為P型矽基底、N型矽基底或磊晶矽基底。在 本發明的敘述中,"基底”一詞係包括半導體晶圓上已形成 的兀件與覆蓋在晶圓上的各種塗膜,其上方可以已形成任 何所需的半導體元件,不過此處為了簡化圖式,僅以平整 的基底表示之。而該墊層結構係由該一第一氧化物層丨〇 2 與该第一氮化物層1 0 4所構成,係先形成該第一氧化物層 1/2於基底1〇〇之表面上,再形成該第一氮化物層1〇4於該 第一氧化物層1 0 2上。該第一氧化物層i 〇 2,可例如為氧化 石夕層,形成方法例如是在攝氏8 5 〇 - 9 5 0 °C之溫度下進行熱 氧化程序(thermal oxidation)或是以常壓化學氣相沉積 (APCVD)、低壓化學氣相沉積(LPCVD)方式形成;該第一氮0548-9673TWf (nl); 91276; Phoelip.ptd page 11 591792 V. Description of the invention (8) In order to make the above-mentioned objects and features of the present invention more comprehensible, the following exemplifies the preferred embodiments and cooperates with the attached The drawings are described in detail as follows: [Performance] The preferred embodiments of the present invention will be described in detail with reference to the drawings: Figures 3a to 3n are drawings that are not according to a preferred embodiment of the present invention. Cross-sectional view of the manufacturing process of a trench capacitor with increased capacitance. First, a substrate 100 is provided. A pad structure including a first oxide layer 102 and a first nitride layer 104 is formed on the surface of the substrate 100, and a trench 140 is formed on the substrate 1 by etching. 〇, penetrates the first oxide 102 layer and the first nitride 104 layer, and exposes both surfaces of the first oxide layer 102, as shown in FIG. 3a. The substrate 10 can be, for example, a P-type silicon substrate, an N-type silicon substrate, or an epitaxial silicon substrate. In the description of the present invention, the term " substrate " includes the components formed on the semiconductor wafer and various coating films covering the wafer. Any desired semiconductor element may have been formed thereon, but here In order to simplify the drawing, it is only represented by a flat substrate. The pad structure is composed of the first oxide layer 〇2 and the first nitride layer 104, and the first oxide layer is formed first. The object layer 1/2 is on the surface of the substrate 100, and then the first nitride layer 104 is formed on the first oxide layer 102. The first oxide layer i02 can be, for example, The oxidized stone layer is formed by, for example, performing a thermal oxidation process at a temperature of 850-950 ° C, or performing atmospheric pressure chemical vapor deposition (APCVD), low pressure chemical vapor deposition ( LPCVD); the first nitrogen

0548-9673TWf(nl) ; 91276 ; Phoelip.ptd0548-9673TWf (nl); 91276; Phoelip.ptd

第12頁 591792 五、發明說明(9) 化物層,形成方法係在攝氏7〇〇 —8〇〇t下以sic 混合氣體進行低壓化學氣相沉積。 請參照#第3b圖所示,利用等向性飯刻方式去除掉由溝 槽140兩側路出之上述第一氧化物層1〇2各一部份,以於上 述第一氧化物層102及上述基底1〇〇之間之溝槽14〇兩側各 形成一第一開口103。其中等向性餘刻上述第一氧化物層 102之方法可為一溼蝕刻,可例如為使用蝕刻化學品氫氟 酸(HF )來蝕刻由氧化矽所組成之第一氧化物層丨〇 2。接 著,全面性沉積一第二氮化物層丨〇8於上述第一氮化物層 104及上述溝槽140之表面,且形成之上述第二氮化物層 1 0 8填滿上述第一開口 1 〇 3,結果請參照第3 c圖所示。再 來’餘刻上述第二氮化物層丨08,以除去除了形成於上述 第一開口 1 03内以外之第二氮化物層丨〇8,只留下填滿於上 述第一開口 103内之第二氮化物層1〇8。而上述將第二氮化 物層1 0 8沉積填滿於第一開口丨03之步驟則稱為『氮化&再 填滿(nitride refill )步驟』,藉由此『氮化物再填滿 步驟』,就可利用再填滿之第二氮化物層1 〇 8來保護作為 墊氧化物層之第一氧化物層1 〇 4免於在後述之步驟中遭到 侵餘而發生底切(un(ier cut )之現象,並從而能對後述步 驟所使用的化學藥品有更多樣化的使用選擇。上述蝕刻^ 一氮化物層之方式可為一溼钱刻,例如為使用H F / e G (氣氣 酉欠/乙一醇)配方之溶液或是熱磷酸(Hs P 〇4)作為餘刻溶來餘 刻由氮化矽所組成之第二氮化物層丨〇 2。 接著,請參照第3 d圖所示,順應性地沉積一玻璃捧雜Page 12 591792 V. Description of the invention (9) The compound layer is formed by low pressure chemical vapor deposition with a sic mixed gas at 700-800 ° C. Please refer to the figure # 3b, and use an isotropic meal engraving method to remove each part of the first oxide layer 102 from the two sides of the trench 140, so that the first oxide layer 102 A first opening 103 is formed on each side of the groove 14 between the substrate 100 and the substrate 100. The method of isotropically etching the first oxide layer 102 may be a wet etching. For example, the first oxide layer composed of silicon oxide may be etched using an etching chemical hydrofluoric acid (HF). . Next, a second nitride layer is comprehensively deposited on the surfaces of the first nitride layer 104 and the trench 140, and the formed second nitride layer 108 fills the first opening 1o. 3. Please refer to Figure 3c for the results. Then come to 'etch the above-mentioned second nitride layer 08' in order to remove the second nitride layer other than the inside of the first opening 103 03, leaving only the portion filled in the first opening 103 The second nitride layer 108. The above-mentioned step of depositing and filling the second nitride layer 108 into the first opening 03 is referred to as a "nitride & refill step", whereby the "nitride refill step" ", The refilled second nitride layer 108 can be used to protect the first oxide layer 104 which is a pad oxide layer from undercutting in the steps described below (un (ier cut) phenomenon, and thus can have more diversified use options for the chemicals used in the steps described below. The method of etching ^ a nitride layer can be a wet engraving, for example, using HF / e G (Gas gas owing / ethylene glycol) formula solution or hot phosphoric acid (Hs P 〇4) as a solution to leave a second nitride layer composed of silicon nitride 〇 02. Next, please refer to the As shown in Figure 3d, a glass substrate is deposited compliantly.

〇548-9673TWf(nl) ; 91276 ; Phoelip.ptd〇548-9673TWf (nl); 91276; Phoelip.ptd

591792 五、發明說明(10) 層112於上述第一氮化物層1〇4及上述溝槽14〇之表面上, 且上述玻璃摻雜層112係於溝槽丨4〇中構成一凹槽14〇a。再 於上述玻璃摻雜層112於溝槽140内形成之凹槽H〇a中以沉 積法沉積一即定高度之填充層丨丨4,可例如為複晶矽層,/ 其中該即定高度係為接下來欲形成之一隱埋板之深度。上 述玻璃摻雜層可擇自由摻雜硼磷之矽玻璃(BpsG)、摻雜砷 矽之玻璃(ASG)、摻雜磷矽之玻璃(PSG)及摻雜硼之矽玻璃 (BSG)所組成之族群中,可例如為硼矽玻璃(β^),其形成 方法i疋以S i H4、B Fs及%等氣體混合後進行化學氣相沉 積步驟。而形成一即定高度之複晶矽填充層丨丨4之方法, 可包括以上述填充層114填滿由上述玻璃摻雜層112於溝槽 内形成之凹槽140a,再回蝕上述填充層114至上述即定 问,形成填充層11 4之方法,可例如為利用同步攙雜之 低壓化學氣相沉積法(LPCVD)形成,其反應氣體是”3、591792 V. Description of the invention (10) The layer 112 is on the surface of the first nitride layer 104 and the groove 14o, and the glass doped layer 112 is formed in the groove 14 and forms a groove 14 〇a. Then, a filling layer of a predetermined height is deposited by a deposition method in the groove H0a formed in the groove 140 of the above-mentioned glass doped layer 112, which can be, for example, a polycrystalline silicon layer, where the fixed height is It is the depth of a buried plate to be formed next. The glass doped layer can be selected from the group consisting of boron-phosphorus-doped silica glass (BpsG), arsenic-doped silica glass (ASG), phosphorus-doped silica glass (PSG), and boron-doped silica glass (BSG). Among the groups, for example, borosilicate glass (β ^), and the formation method i 疋 is performed by mixing Si H4, B Fs, and% gas, and then performing a chemical vapor deposition step. The method for forming a polycrystalline silicon filling layer of a certain height 4 may include filling the groove 140a formed in the trench by the glass doped layer 112 with the filling layer 114, and then etching back the filling layer. From 114 to the above, the method for forming the filling layer 11 4 can be formed by, for example, synchronously doped low pressure chemical vapor deposition (LPCVD). The reaction gas is "3,

Sa與叱或AsH3、SiH4與&的混合氣體,反應溫度係介於 5 0 0到6 5 (TC之間。The reaction temperature of Sa and Krypton or AsH3, SiH4 and & is between 500 and 65 (TC).

接著,請參照第3e圖所示,以蝕刻方式去除高於上述 即定高度之玻璃摻雜層112,以使上述填充層114與上述玻 璃摻雜層112於上述溝槽140内構成一平面。其中蝕刻上述 玻璃摻雜層1 12之方法可利用乾蝕刻或是溼蝕刻方式。若 為乾蝕刻方式,蝕刻方式可例如為磁場增強式活性離子式 電漿蝕刻法(MERIE)、電子迴旋共振電漿蝕刻法(ECR)或傳 統的活性離子式電漿蝕刻法(RIE),而其電漿反應氣體可 例如為六敦化硫(SFe)、氧(〇2)、氣㈧^和溴化氫(HBr)之Next, referring to FIG. 3e, the glass doped layer 112 higher than the predetermined height is removed by etching, so that the filling layer 114 and the glass doped layer 112 form a plane in the trench 140. The method for etching the glass doped layer 112 can be dry etching or wet etching. If it is a dry etching method, the etching method may be, for example, a magnetic field enhanced active ion plasma etching method (MERIE), an electron cyclotron resonance plasma etching method (ECR), or a conventional active ion plasma etching method (RIE). The plasma reaction gas may be, for example, one of six sulfonated sulfur (SFe), oxygen (02), tritium, and hydrogen bromide (HBr).

591792 五、發明說明(11) 混合氣體;若為溼蝕刻,則可使用例如稀釋氟化氫(Dhf )或緩衝氟化氫(BHF )作為蝕刻溶來蝕刻作為玻璃摻雜 層Π2之摻雜坤石夕之玻璃(asg)。 接著’請參照第3 f圖所示,順應性形成一氮化物間隔 層116於上述第一氮化物層104、上述玻璃摻雜層112、上 ,填充層114及上述溝槽140側壁之上。在此形成氮化物間 隔層11 6對於本發明所述之增加電容值溝槽型電容器之製 程有兩項優點:一為可用以防止摻雜之離子在後續的熱製 程中擴散到未被摻雜之介電層覆蓋的溝槽丨4〇側壁周圍的 基底1 0 0中,以確保形成之隱埋板丨丨〇在所欲形成之區域; =則為此氮化物間隔層116在蝕刻後,即可用來作為領形, 氮化物間隙壁1 1 6a。上述之氮化物間隔層丨丨6可為含矽之 氮化物,例如為氮化矽或氮氧化矽,可利用低壓化 ,積法(LPCVD),以二氣石夕烧(MW)與氨氣(NH3)為^ 亂體,在2 5 0〜40 0 的操作溫度下沉積作為氮化物 11 6之氮化矽層。 ^續 接著,請參照第3g圖所示,係藉由退火製帛 玻璃摻雜層112中之摻雜物向外擴散至上达 :”㈣η◦的摻雜(buried plate 上 進 程係於局溫下對玻璃摻雜層112進 理 如於80 0〜1100 °C之溫度下’推广从0 Ί门旳”、、慝理,例 雜層112中的摻雜離子,例如二:1〇分鐘,以使玻璃換 區,以形成-隱埋板110。“度之溝槽140周圍之柱形591792 V. Description of the invention (11) Mixed gas; if it is wet etching, for example, diluted hydrogen fluoride (Dhf) or buffered hydrogen fluoride (BHF) can be used as an etching solution to etch the glass doped as a glass doped layer Π2 glass (Asg). Next, as shown in FIG. 3f, a nitride spacer layer 116 is compliantly formed on the first nitride layer 104, the glass doped layer 112, and the filler layer 114 and the sidewalls of the trench 140. The formation of the nitride spacer layer 116 here has two advantages for the process of increasing the capacitance of the trench capacitor according to the present invention: one is to prevent the doped ions from diffusing to the undoped ones in the subsequent thermal process. The dielectric layer covers the trench 丨 40 in the substrate 100 around the sidewall to ensure that the buried plate 丨 丨 〇 is formed in the desired area; = this is the nitride spacer layer 116 after etching, That is, it can be used as a collar shape, and the nitride spacers 1 1 6a. The above-mentioned nitride spacer layer 6 can be a silicon-containing nitride, such as silicon nitride or silicon oxynitride, which can be made by LPCVD, using MW gas and ammonia gas. (NH3) is a messy body, and a silicon nitride layer as nitride 116 is deposited at an operating temperature of 250 to 400. ^ Continued, please refer to FIG. 3g, the dopants in the doped layer 112 made of annealed ytterbium glass are diffused outward to up to: "㈣η◦ doping (buried plate on the process at local temperature) The glass doped layer 112 is processed at a temperature of 80 0 to 1100 ° C, such as' promote from 0 to 0 'gates,' and other examples. For example, doped ions in the doped layer 112, such as two: 10 minutes, to Change the area of the glass to form-the buried plate 110. "Column around the groove 140 of the degree

第15頁 591792 五、發明說明(12) 接者,請參照第3h圖所示,可一〜a_____々叫「陶⑨η υ 進行一非等向性蝕刻,移除形成於第一氮化物層及上述填 充層上之上述氮化物間隔層11 6,以形成一領形氮化物間 隙壁1 1 6 a。其中上述非等向性餘刻可例如為磁場增強式活 性離子式電漿蝕刻法(MERIE)、電子迴旋共振電漿^刻法 (fCR)或傳統的活性離子式電漿蝕刻法(RIE),其電漿反應 氣體可例如為六氟化硫(SF6)、氧(〇2)、氯(ci2)和溴化氫〜 (HBr)之混合氣體。 接著,請參照第3 i圖所示,完全去除形成於上述玻璃 払雜層1 1 2及上述填充層11 4,以利接續瓶型溝槽之形成。 移除填充層1丨4之方法,可例如為以溼蝕刻方式,像是利 衝過的氫氟酸(buffered 〇xide etching,B〇E)溶液 Λ :可為溼蝕刻,像是使用例如稀釋氟化 璃摻雜層氫(BHF)作為姓刻溶來蚀刻作為玻 二 之彳乡雜砷矽之玻璃(ASG)。 蝕刻上述溝槽14〇ii底ι〇〇以形成一瓶型溝槽150。其中 方法可A: 氐4基板1 〇 〇,以形成一瓶型溝槽1 5 0之 7 π σ」马藉由上诫笛 間隙辟11R 弟一虱化物10 4及上述領形第一間隔層 土丄i 〇 a肩7名生女ιΐ翌# 蝕刻上述、、f Μ广罩幕,利用稀釋氰水溶液或酸為蝕刻劑 中上述稀釋‘:::半導體基板’以形成-瓶裂溝槽’其 間。若上述溼蝕2 =度可為氨水:水之比例1:5至1:50之 Χ形成一瓶型溝槽的方式為利用酸,則該Page 15 591792 V. Description of the invention (12) For the receiver, please refer to the figure 3h. You can perform a non-isotropic etching by calling "⑨_⑨" to remove a layer formed on the first nitride layer. And the nitride spacer layer 116 on the filling layer to form a collar-shaped nitride spacer 1 1 6 a. The above-mentioned anisotropic relief may be, for example, a magnetic field enhanced active ion plasma etching method ( MERIE), electron cyclotron resonance plasma etching (fCR), or traditional reactive ion plasma etching (RIE). The plasma reaction gas can be, for example, sulfur hexafluoride (SF6), oxygen (〇2), A mixed gas of chlorine (ci2) and hydrogen bromide ~ (HBr). Next, please refer to Figure 3i to completely remove the glass doped layer 1 12 and the filling layer 11 4 to facilitate bottle connection. The formation of a type trench. The method of removing the filling layer 1-4 may be, for example, wet etching, such as a flushed hydrofluoric acid (buffered oxide etching, BOE) solution Λ: may be wet etching , Such as the use of dilute glass fluoride doped layer hydrogen (BHF) as the last name to etch to etch the arsenic silicon Glass (ASG). Etching the above grooves 140 and 150 to form a bottle-shaped groove 150. The method can be A: 氐 4 substrate 1 00 to form a bottle-shaped groove 1 5 0-7 π σ ”Ma uses the gap between the upper commandment and the 11R, a lice compound 10 4 and the collar-shaped first spacer layer 丄 i 〇a shoulders 7 生 女 ιΐ 翌 # Etching the above, f Μ wide screen, using Dilute the cyanide aqueous solution or acid as the above dilution '::: semiconductor substrate' in the etchant to form a -bottle crack groove 'in the meantime. If the above wet erosion 2 = degree can be ammonia water: water ratio 1: 5 to 1:50, the way to form a bottle-shaped groove is to use acid, then

第16頁 乃丄792 五、發明說明(13) 醇(EG )、稀釋氟化氫 酸可例如為氟化氫(JJF ) /乙 (DHF )或緩衝氟化氫(BHF〕 而形成上述瓿型溝槽1 5 0之方法亦可為钭μ、+、、塞祕n j 底部基底100實施一氧化户採方f T 了為對上述溝槽140 1 〇 〇而來成一气π P 处,以口p刀氧化該露出的基底 开;第一::严’且藉由上述第-氮化物104及上述領 开> 第一間隔層間隙壁u 貝 成一瓶型溝mm ϋ幕Λ 乳而構 處理(™),而_1 化處理可為快速熱氧化 氫氟酸(HF二二化區的方法’可例如為使用 飞亂馱(HF)作為蝕刻溶來蝕刻上述氧化區。 (未顯接著^青參/第3j圖所示,順應性形成-非晶石夕層 内之基mi上述第—氮化物104及上述瓶型溝槽 狀顆i (h . 7上’然後’對上述非晶矽層進行一半球 =t(hemi-SPheHeal gFain,hsg)化 二未顯=半物晶粒層190,再沉積-電容器介t i上述:::示中)於上述半球型石夕晶粒層之表面上,以 為& ^ ί ί 晶粒層1 9〇與後續形成之一第一導電層142 形成I : ?電層(未顯不於圖示中)所隔開。其中在上述 球型矽^ f :晶粒層1 9〇之步驟中,亦可視需要對上述半 ) 〇 實施一氣相摻雜(gas Phase doping, 声# ’以降低隱埋板11 0與半球型石夕晶粒層1 90之間的濃 二f,介電層可同樣藉由LPCVD形成,其材質 (〇Nn \谷态氮化物介電層、氧化物/氮化物/氧化物 〇)、氮化石夕層/氧化石夕層(nitride/〇xide,Ν 其 他類似此性質者。Page 16 is 792. V. Description of the invention (13) Alcohol (EG), dilute hydrofluoric acid can be, for example, hydrogen fluoride (JJF) / ethyl (DHF) or buffered hydrogen fluoride (BHF) to form the above-mentioned ampoule grooves 150 The method can also perform an oxidation of the bottom substrate 100 for the μ, +, and Sej nj. The bottom substrate 100 is oxidized to form a gas π P for the above-mentioned groove 140 1 00, and the exposed portion is oxidized with a p-knife. Base opening: first: Yan 'and by the first -nitride 104 and the above collar opening> The first spacer layer partition wall u is formed into a bottle-shaped groove mm ϋ Curtain Λ milk, and _ The chemical treatment may be a method of rapid thermal oxidation of hydrofluoric acid (HF dioxinization region). For example, the above-mentioned oxidation region may be etched using HF as an etching solution. As shown in the figure, the conformable formation-the base mi in the amorphous stone layer mi above the first nitride 104 and the bottle-shaped groove-shaped particles i (h. 7 'then' on the then amorphous silicon layer hemisphere = t (hemi-SPheHeal gFain, hsg) is not obvious = half-grain layer 190, redeposition-capacitor medium (above ::: shown) on the above-mentioned hemisphere type stone evening grain layer On the surface, it is thought that & ^ ί Grain layer 190 is formed from one of the subsequent first conductive layers 142 to form I:? The electrical layer (not shown in the figure) is separated. Among the above-mentioned spherical silicon ^ f: In the step of the grain layer 190, the above-mentioned half may also be performed as necessary. 〇 Gas phase doping (sound #) is performed to reduce the buried plate 110 and the hemispherical stone layer 1 With a concentration of 90 ° F, the dielectric layer can also be formed by LPCVD. Its material (〇Nn \ valley nitride dielectric layer, oxide / nitride / oxide 〇), nitride layer / oxide Xi layer (nitride / 〇xide, Ν other similar to this nature.

第17頁 591792 五、發明說明(14) 一 >:L參照第3k圖所示,以蝕刻方式移除形成於第 亂 04及上述領形氮化物間隙壁1 16a上之半球型矽 晶粒層190及電容器介電声,甘以莖道士庶二 h_沭沲刑、、#播电層亚以一弟一導電層142填滿於 溝槽1 5 0下部區域。其中蝕刻電容器介電 法可例如使用氟化氫(HF)/乙二醇(EG)為姓刻化學口 來進行溼蝕刻;而蝕刻半球型矽晶粒層19〇之方法可: 用稀釋氨水洛液來進行半球型矽晶粒之蝕刻。上述之、、第一 導電層1^2可為複晶矽、摻雜之複晶矽或其他導電材料。 接著,請參照第3 1圖所示,以蝕刻方式完全去除上述 領形氮化物間隙壁116a,並順應性沈積一氧化物間隔層 11 8於上述結構。接著,對上述氧化物間隔層丨丨8進行蝕 刻,移除形成於第一氮化物104及上述第一導電層142上之 氧化物間隔層1 1 6,以形成一領形氧化物間隙壁丨丨8 a,結 果請參照第3 m圖所示。其中去除上述領形氮化物間隙壁 116a之蝕刻方式可為一溼蝕刻,例如為使用HF/EG(氫氟酸 /乙二醇)配方之溶液或是熱磷酸(H3p〇4)作為蝕刻溶來進 行,而形成領形氧化物間隙壁11 8 a之方法可為對上述氧化 物間隔層11 8進行等向性餘刻,而所使用之钱刻化學品可 例如為氫氟酸(H F)溶液。 最後’請參照第3 η圖所示,對上述領形氧化物間隙壁 11 8 a進行一非等向性钱刻,以移除部份之領形氧化物間隙 壁118a,再依習知方式進行第二導層144及第三導層146的 填入,至此則完成本發明增加電容值之溝槽型電容器之製 程步驟。其中’對上述領形氧化物間隙壁1 1 8 a進行一非等P.17 591792 V. Description of the invention (14) A >: With reference to FIG. 3k, the hemispherical silicon crystal grains formed on the chaotic 04 and the collar-shaped nitride spacer 1 16a are removed by etching. Layer 190 and the dielectric sound of the capacitor, fill the lower region of the trench 150 with a conductive layer 142 and a conductive layer 142. Among them, the capacitor dielectric method can be wet etching using hydrogen fluoride (HF) / ethylene glycol (EG) as the last name; and the method for etching the hemispherical silicon grain layer 19 can be: using diluted ammonia solution Etching of hemispherical silicon grains. The first and second conductive layers 1 ^ 2 may be polycrystalline silicon, doped polycrystalline silicon, or other conductive materials. Next, referring to FIG. 31, the collar nitride spacer 116a is completely removed by etching, and an oxide spacer layer 118 is conformably deposited on the above structure. Next, the oxide spacer layer 丨 8 is etched, and the oxide spacer layer 1 1 6 formed on the first nitride 104 and the first conductive layer 142 is removed to form a collar-shaped oxide spacer 丨丨 8 a, please refer to Figure 3m for the results. The etching method for removing the collar-shaped nitride spacer 116a may be a wet etching, for example, using a solution of HF / EG (hydrofluoric acid / glycol) formula or hot phosphoric acid (H3p04) as an etching solution The method for forming a collar-shaped oxide spacer 11 8 a may be isotropic etching of the above-mentioned oxide spacer layer 11 8, and the chemical used for the etching may be, for example, a hydrofluoric acid (HF) solution. . Finally, please refer to FIG. 3 η, perform an anisotropic money engraving on the collar-shaped oxide spacer 11 8 a to remove a part of the collar-shaped oxide spacer 118 a, and then follow a known method. The second conductive layer 144 and the third conductive layer 146 are filled in, and the manufacturing process of the trench capacitor with the increased capacitance value according to the present invention is completed. Wherein, the above-mentioned collar-shaped oxide partition wall 1 1 8 a

0548-9673TWf(nl) : 91276 ; Phoelip.ptd 第18頁 591792 五、發明說明(15) 向性餘刻的目的是為擴大上述溝槽之開口,以利後續導電 層之形成。 綜上所述,本發明與習知技術相比較,本發明所述之 增加電容值之溝槽型電容器的製造方法具有數項優點。首 先,本發明可大幅度地增加電容器之面積,從而有效地提 高電容器之電容值;其次,藉由上述方法中之氮化物再填 滿(ni tr ide ref i 1 1 )步驟,可保護作為墊氧化物層 (pad oxide)之第一氧化物層免於發生底切(undercut )之現象’此作法避免了習知瓶型溝槽電容其製程困難及 易造成電性干擾等問題。 此外,本發明不但具有可 結構造及可增加電容面積之半 製程複雜性之前提下,更可精 期增加溝槽電容器之效能及維 所形成之具有瓶型溝槽結構及 不但可有效地增加溝槽型電容 度集積化的狀態下,提昇儲存 好的操作性能,且非常符合目 積集度製程的需要。 大幅增加電容量之瓶型溝槽 球型石夕晶粒層,且在不增加 確避控制隱埋板之面積,以 持元件之穩定度。依本發明 粗链化溝槽表面之電容哭, 之表面積,使元件能夠在高 電容之能力以維持記憶體良 前動態隨機存取記憶體之高 雖然本發明已以較佳實 限疋本發明,任何熟習此技 和範圍内,當可作各種之更 範圍當視後附之申請專利範 施例揭露如上,然其並非用以 ☆者’在不脫離本發明之精神 動與/閏飾,因此本發明之伴1 圍所界定者為準。 40548-9673TWf (nl): 91276; Phoelip.ptd page 18 591792 V. Description of the invention (15) The purpose of the directional remnant is to enlarge the opening of the above-mentioned trench to facilitate the formation of subsequent conductive layers. To sum up, compared with the conventional technology, the present invention has several advantages in the method for manufacturing a trench capacitor with increased capacitance. Firstly, the present invention can greatly increase the area of the capacitor, thereby effectively increasing the capacitance value of the capacitor; secondly, through the nitride refilling (ni tr ide ref i 1 1) step in the above method, it can be protected as a pad The first oxide layer of the pad oxide is free from undercuts. This method avoids the problems of the conventional bottle-type trench capacitors, which are difficult to process and easy to cause electrical interference. In addition, the present invention not only has the semi-process complexity that can be structured and can increase the capacitance area, it can also increase the performance of the trench capacitor in the precision period and the bottle-shaped trench structure formed by the dimension can not only effectively increase In the state of the trench capacitance accumulation, the stored operation performance is improved, and it is in line with the needs of the mesh accumulation process. The bottle-shaped groove spherical stone slab grain layer that greatly increases the capacitance, and does not increase the area of the buried board to avoid the control to maintain the stability of the component. According to the present invention, the capacitance of the surface of the rough chain trench is cryotropic, and its surface area enables the device to dynamically and randomly access the memory before the capacity of the capacitor is high enough to maintain the good memory. Anyone who is familiar with this technique and scope can make a variety of more scopes as the attached patent application examples are disclosed above, but it is not used for ☆ 'without departing from the spirit and / or decoration of the present invention, Therefore, those defined in the companion 1 of the present invention shall prevail. 4

591792 圖式簡單說明 第1 a圖至第1 e圖均為結構剖面圖,係繪示一習知之溝 槽型電容的製造流程。 第2 a圖至第2 f圖均為結構剖面圖,係繪示一習知之瓶 型溝槽型電容的製造流程。 第3 a圖至第3 η圖均為結構剖面圖,係繪示根據本發明 一較佳實施例之增加電容值之溝槽型電容器的製造方法。 【符號說明】 100〜基底; 1 0 1〜埋層電極板之預定高度; 1 0 2〜第一氧化物層; 103〜第一開口; 1 0 4〜第一氮化物層; 1 0 6〜氧化石夕層; 1 0 7〜光阻; I 0 8〜第二氮化物層; II 0〜隱埋板; 11 2〜玻璃摻雜層; 11 4〜填充層; 11 6〜氮化物間隔層; 11 6a〜領形氮化物間隙壁; 11 8〜氧化物間隔層; 11 8a〜領形氧化物間隙壁; 1 2 0〜預定距離;591792 Brief description of the drawings Figures 1a to 1e are structural cross-sectional views, which show a manufacturing process of a conventional trench capacitor. Figures 2a to 2f are structural cross-sectional views, which illustrate a conventional manufacturing process of a bottle-type trench capacitor. Figures 3a to 3n are structural cross-sectional views, each showing a method for manufacturing a trench-type capacitor with increased capacitance according to a preferred embodiment of the present invention. [Symbol description] 100 ~ substrate; 10 1 ~ predetermined height of buried electrode plate; 102 ~ first oxide layer; 103 ~ first opening; 104 ~ first nitride layer; 106 ~ Oxide oxide layer; 107 ~ photoresist; I0 8 ~ second nitride layer; II 0 ~ buried plate; 11 2 ~ glass doped layer; 11 4 ~ fill layer; 11 6 ~ nitride spacer layer 11 6a ~ collar nitride spacer; 11 8 ~ oxide spacer; 11 8a ~ collar oxide spacer; 1 2 0 ~ predetermined distance;

0548-9673TWf(nl) ; 91276 ; Phoelip.ptd 第20頁 591792 圖式簡單說明 1 3 0〜保護層; 1 3 2〜摻雜砷之二氧化矽玻璃層; 134〜四乙氧基矽烷(TEOS)層; 1 4 0〜溝槽; 140a〜玻璃摻雜層構成之凹槽; 142〜第一導電層; 144〜第二導電層; 146〜第三導電層; 1 5 0〜瓶型溝槽;以及 1 9 0〜半球型矽晶粒層。0548-9673TWf (nl); 91276; Phoelip.ptd Page 20 591792 Brief description of the drawings 1 3 0 ~ protective layer; 1 3 2 ~ arsenic-doped silica glass layer; 134 ~ tetraethoxysilane (TEOS ) Layer; 140 to groove; 140a to groove formed by glass doped layer; 142 to first conductive layer; 144 to second conductive layer; 146 to third conductive layer; 150 to bottle groove ; And 190 ~ hemispherical silicon grain layer.

0548-9673TWf(nl) ; 91276 ; Phoelip.ptd 第21頁0548-9673TWf (nl); 91276; Phoelip.ptd page 21

Claims (1)

/vz 六、申請專利範圍 t 一種增加電容值之溝槽型電容 下列步驟: W表k方法,包括 提供一基底,並形成一溝槽於上述基底· -玻璃摻雜層順應性形成於上 内之 ,以構成H 口於上述溝槽中; 側i及底部 上述ΐ一開以氣相沉積方式填入* 雜層,使上述玻璃摻雜2=為遮罩餘刻上述玻璃摻 切齊; t雜層與上述填充層於上述溝槽内大體 順應性形成一間隔声 上述填充層及上述溝槽;壁之:;氏、上述玻璃摻雜層、 將上述玻璃摻雜芦φ 爽 & 中,形成-隱埋板; 摻雜物向外擴散至上述基底 回姓刻上述間隔居## 成m 槽側壁上; 成—領形第一間隔層μ隙壁於溝 =移除上述填充層與上述玻璃摻雜層; 形成一溝样t底,以形成一瓶型溝槽;以及 :電谷為結構於上述瓶型溝槽内。 • 口申$專利範圍第1項所述之增加電容值之、、蓋 電容器的製造方法,1+ r 曰加罨合俚之溝槽型 述瓶型溝槽内之;更;:在形成上述溝槽電容器結構於上 層間間壁’並形成-領形絕緣 貝化弟一間隔層間隙壁之原來位置; ;述領形絕緣層間隙壁包圍之區域中形成一第二導 0548-9673TWf(nl) ; 91276 ; Phoelip.ptd 第22頁 591792 六、申請專利範圍 電層;以及 二導Ά第三導電層於上述領形絶緣層間隙壁及上述第 電容3哭請專利範圍第2項所述之増加電容值之溝槽型 口口的I造方法,其中在形成一第三導 身 絕緣層間隙壁及上述第二導電層上 s=項形 述第三導電層表面進行一平坦化製^驟後,更包括對上 4.如申請專利範圍第3項所述之增加 =器的製造方法,其中該平坦化製程係為一化學冓機槽上 係 ^ 5 ·如申請專利範圍第2項所述之增加電容值之溝槽型 電容器的製造方法,其中該第二導電層以及第三導電層4 分別為複晶矽、摻雜之複晶矽或其他導電材料。 6 ·如申請專利範圍第3項所述之增加電容值之溝槽变 電容器的製造方法,其中上述領形絕緣層間隙壁係包含一 7貝形氧化物層(collar oxide layer)。 7 ·如申請專利範圍第1項所述之增加電容值之溝槽塑 電容器的製造方法,更包括下列步驟: 在形成上述溝槽於該基底前,先形成一第一襯塾層石 第一概墊層於基底之表面上: 去除部份曝露於上述第一襯墊層露出面之上述第 形成該溝槽於上述基底中,貫通上述第一襯塾層及』 述第二襯墊層,且露出上述第一襯墊層兩側之第一襯墊’ 露出面;以及/ vz 6. The scope of patent application t A trench capacitor with an increased capacitance value The following steps: The method of table W includes providing a substrate and forming a trench on the substrate.-The compliance of the glass doped layer is formed on the top In order to form the H-port in the above-mentioned trench; the side i and the bottom of the above-mentioned opening are filled with a * impurity layer by vapor deposition, so that the above-mentioned glass doping 2 = the mask is doped and cut; t The impurity layer and the filling layer generally conform to form an interval within the groove. The filling layer and the groove are formed in the wall, the glass doped layer, the glass doped layer, and the glass doped reed φ Shuang & Forming-buried plate; dopants diffuse outward to the above substrate, and the above-mentioned interval ## 成 m is formed on the sidewall of the groove; the first spacer layer of the collar μ gap wall in the trench = removing the filling layer and the above A glass doped layer; forming a trench-like bottom to form a bottle-shaped trench; and: an electric valley as a structure in the bottle-shaped trench. • The method for manufacturing a cap capacitor with an increased capacitance value described in item 1 of the patent application scope, 1+ r is a grooved type bottle-shaped groove added with a compound; more ;: in the formation of the above The trench capacitor structure is formed on the upper interlayer partition wall and forms the original position of the collar-shaped insulating bead-dividing spacer; the second guide is formed in the region surrounded by the collar-shaped insulating layer gap. 0548-9673TWf (nl ); 91276; Phoelip.ptd Page 22, 591792 VI. Patent application electrical layer; and the second conductive third conductive layer on the collar-shaped insulating layer gap wall and the above-mentioned capacitor 3, please refer to the second item in the patent scope造 A method for manufacturing a trench-type opening with a capacitance value, wherein a surface of the third conductive layer is formed on the surface of the third conductive layer and the second conductive layer. After that, it further includes the method of manufacturing an increaser as described in item 3 of the scope of patent application, wherein the flattening process is a system on a chemical tank ^ 5 Manufacturing of Trench Capacitors with Increased Capacitance The method, wherein the second conductive layer and the third conductive layer 4 of polysilicon, respectively, of the doped polycrystalline silicon or other conductive material. 6. The method for manufacturing a trench variable capacitor with an increased capacitance value as described in item 3 of the scope of the patent application, wherein the collar-shaped insulating layer spacer comprises a 7-shell oxide layer. 7 · The method for manufacturing a trench plastic capacitor with increased capacitance as described in item 1 of the scope of patent application, further comprising the following steps: before forming the above trench on the substrate, first forming a first liner stone The underlying cushion layer is on the surface of the substrate: the first formed groove is partially removed and exposed on the exposed surface of the first cushion layer in the substrate, penetrating the first liner layer and the second cushion layer, And the first pads' exposed surfaces on both sides of the first pad layer are exposed; and 0548-9673TWf(nl) : 91276 ; Phoelip.ptd 第23頁 申請專利範圍 —— — 於上述第一襯塾層及上述 並以一弟—介電層填滿上述開口。 間形成—開口, 電=Ϊ中請專利範圍第1項所述之增力口電容信μ 電薄層、Z f上述溝槽電容器結構俘句杠 曰一電容器介電層及一第一導電層。苒係包括一導 •如申請專利範圍第8項所诚之掸+曰$ 電容器的t # .員所过日加電容值之溝_ $丨 之遴曰! 其中該第—導電層係為葙曰 之稷晶矽或其他導電材料。 係為硬晶矽、摻雜 φ六1^ ·如申請專利範圍第1項所述之增加雷办 電谷器的;|y :;生古、上 ^ 電各值之溝;I*创 溆刑、秦祕方法,其中形成上述溝槽電容哭沾-槽孓 瓶1溝槽内之步驟係包括: 構於上述 开導電薄層於上述瓶型溝槽内 中上述導雷續猛 丞紙表面上,並 ; 溥層係構成一瓶型溝槽下部區域· ” 形成—電容器介電層於上述導電薄層之夺 5—Λ一導電層填滿於上述瓶型‘部區i;以及 雷二•申請專利範圍第8項所述之增加電容2。 電“的製造方法,其中上述導 =溝槽型 (h e m 1 - s d h p r · i 两千球型石々曰, pner iCai grain,HSG)。 丄夕晶粒 型電t器如= ^ 瓶型溝槽内i;::包;中形成上述半球型…於上槽述 及形成—非晶矽層於上述瓶型溝槽内之基底表面· 上;以 使上述瓶0548-9673TWf (nl): 91276; Phoelip.ptd page 23 Application scope of patent —— The above-mentioned first liner layer and the above-mentioned and a brother-dielectric layer are used to fill the openings. Forming-opening, electricity = the capacitance of the booster capacitor as described in item 1 of the patent scope, the electric thin layer, the above-mentioned trench capacitor structure, a capacitor dielectric layer, and a first conductive layer . The system includes a guideline. For example, the 8th in the scope of the patent application + the t capacitor of the $ capacitor. The groove of the capacitor value added by the office _ $ 丨 the Lin Yue! Where the first-conductive layer is 葙It is called crystalline silicon or other conductive materials. It is hard-crystal silicon and doped with φ6 1 ^ · Adding the thunderbolt valley device as described in item 1 of the scope of patent application; The method of punishment and secretion, wherein the step of forming the grooved capacitor-stained-slotted bottle 1 grooves includes: constructing the above-mentioned open conductive thin layer in the bottle-shaped grooves, and the above-mentioned lightning-conducting continuous mammoth paper surface溥 layer constitutes the lower area of a bottle-shaped trench · "formation-capacitor dielectric layer on the above-mentioned conductive thin layer 5-Λ a conductive layer fills the above-mentioned bottle-shaped portion i; and Lei Er • The increased capacitance 2 described in item 8 of the scope of the patent application. The manufacturing method of "electricity", wherein the above-mentioned guide = trench type (hem 1-sdhpr · i two thousand ball type stone 々, pner iCai grain, HSG). On the evening, the grain type electric device is as follows: ^ in the bottle-shaped groove i; :: package; the above-mentioned hemisphere type is formed in the upper groove described and formed-an amorphous silicon layer on the substrate surface in the bottle-shaped groove · On; so that the above bottle 0548-9673TWf(nl) ; 91276 ; Phoelip.ptd 第24頁 對上述非晶矽層進行一半球狀顆粒化製程, 591792 六、申請專利範圍 型溝槽内之非晶矽層形成一半球塑矽晶粒層 13.如申請專利範圍第12項所述之增加電容值之溝栌 型電容器的製造方法,更包括對上述半球型矽晶粒層進行 一氣相摻質(gas phase doping)處理之步驟。 曰 丁 1 4·如申請專利範圍第7項所述之增加電容值之溝槽型 電容器的製造方法,其中上述第一襯墊層係為氧化物^ 質。 1 5 ·如申請專利範圍第7項所述之增加電容值之溝槽型 電容器的製造方法,其中上述第二襯墊層係為氮化物 質。 1 6.如申請專利範圍第1項所述之增加電容值之溝槽型 電容器的製造方法,其中上述玻璃摻雜層係擇自由摻雜侧 磷之矽玻璃(BPSG)、摻雜砷矽之玻璃(AsSG)、摻雜鱗碎之 玻璃(PSG)及摻雜硼之矽玻璃(BsG)所組成之族群中。 1 7 ·如申請專利範圍第7項所述之增加電容值之溝槽型 電容器的製造方法,其中上述第/介電層係為氮化物^ 質。 1 8 ·如申請專利範圍第1項所述之增加電容值之溝槽型 電容器的製造方法,其中該間隔層係為一氮化物間隔層。 1 9 ·如申請專利範圍第1項所述之增加電容值之溝槽型 電容器的製造方法,其中蝕刻上述溝槽底部半導體基底, 以形成一瓶型溝槽之方法係為藉由上述第二襯墊層及上述 領形第一間隔層間隙壁為蝕刻罩幕,利用稀釋氨水溶液或 酸為蝕刻劑蝕刻上述溝槽底部的半導體基底,以形成一瓶0548-9673TWf (nl); 91276; Phoelip.ptd p.24 The semi-spherical granulation process is performed on the above amorphous silicon layer, 591792 6. The amorphous silicon layer in the patent-type trench forms a hemispherical plastic silicon crystal Granular layer 13. The method for manufacturing a trench-type capacitor with increased capacitance as described in item 12 of the scope of the patent application, further comprising the step of performing a gas phase doping process on the hemispherical silicon grain layer. D14. The method for manufacturing a trench-type capacitor with an increased capacitance value as described in item 7 of the scope of the patent application, wherein the first pad layer is made of oxide. 1 5 · The method for manufacturing a trench-type capacitor with increased capacitance as described in item 7 of the scope of the patent application, wherein the second pad layer is made of a nitride. 1 6. The method for manufacturing a trench capacitor with an increased capacitance value as described in item 1 of the scope of the patent application, wherein the glass doped layer is selected from the group consisting of freely doped side phosphorous silicon glass (BPSG) and doped arsenic silicon. Glass (AsSG), doped glass (PSG) and boron-doped silica glass (BsG). 1 7 · The method for manufacturing a trench-type capacitor with increased capacitance as described in item 7 of the scope of the patent application, wherein the above-mentioned / dielectric layer is a nitride. 1 8 · The method for manufacturing a trench-type capacitor with an increased capacitance as described in item 1 of the scope of the patent application, wherein the spacer layer is a nitride spacer layer. 1 9 · The method for manufacturing a trench-type capacitor with increased capacitance as described in item 1 of the scope of patent application, wherein the method of etching the semiconductor substrate at the bottom of the trench to form a bottle-shaped trench is performed by the second The spacer layer and the spacer of the collar-shaped first spacer layer are etching masks, and the semiconductor substrate at the bottom of the trench is etched with a dilute ammonia solution or acid as an etchant to form a bottle. 0548-9673TWf(nl); 91276 ; Phoelip.ptd 第25頁 ----- ^ί/92 六、申請專利範圍 型溝槽。 κ 2 0 ·如申請專利範圍第1項所述之增加電容值之溝槽型 ^各器的製造方法,其中蝕刻上述溝槽底部半導體基底, 乂化$ —瓶型溝槽之方法係包括: ^ 對上述溝槽底部半導體基底實施一氧化處斑,以部分 氣化^露出的摻雜區而形成一摻雜氧化區;以及 藉由上述第二襯墊層及上述領形第一間隔層間隙壁為 蝕刻罩幕以去除該摻雜氧化區而構成一瓶型溝槽。 I 2 1 •如申請專利範圍第2 〇項所述之增加電容值之溝槽 型電容器的製造方法,其中係藉由氫氟酸(HF )以蝕刻上 述摻雜氧化區。 2 2.如申請專利範圍第2〇項所述之增加電容值之溝槽 里電谷為、的製造方法,其中上述氧化處理係為快速熱氧化 處理(RTO ) 。 ^ > 2 3 ·如申請專利範圍第1項所述之增加電容值之溝槽型 電容器的製造方法,其中該填充層係為一複晶矽層。 24· —種增加電容值之溝槽型電容器的製造方法,包 括下列步驟: 依序形成一第一氧化物層及一第一氮化物層於一基底 之表面; - 形成一溝槽於上述基底中,貫通上述第一氧化 上述第一氮化物層,且露出上述第一氧&& 曰及 去除露出之上述第一氧化物層兩側各—部份,τ面, 述第一氧化物層及上述基底之間形成一第—開二,亡0548-9673TWf (nl); 91276; Phoelip.ptd Page 25 ----- ^ ί / 92 VI. Patent Application Type Groove. κ 2 0 · The manufacturing method of a trench-type device for increasing capacitance as described in item 1 of the scope of the patent application, wherein the semiconductor substrate at the bottom of the trench is etched, and the method of forming a bottle-shaped trench includes: ^ Performing an oxidation spot on the semiconductor substrate at the bottom of the trench to partially vaporize the exposed doped region to form a doped oxide region; and a gap between the second pad layer and the collar-shaped first spacer layer The wall is an etched mask to remove the doped oxide region to form a bottle-shaped trench. I 2 1 • The manufacturing method of a trench capacitor with an increased capacitance value as described in item 20 of the scope of the patent application, wherein the doped oxide region is etched by hydrofluoric acid (HF). 2 2. The manufacturing method of the electric valley in the trench for increasing capacitance as described in item 20 of the scope of patent application, wherein the above-mentioned oxidation treatment is rapid thermal oxidation treatment (RTO). ^ > 2 3 · The method for manufacturing a trench-type capacitor with an increased capacitance value as described in item 1 of the patent application range, wherein the filling layer is a polycrystalline silicon layer. 24 · —A method for manufacturing a trench capacitor with increased capacitance, including the following steps: sequentially forming a first oxide layer and a first nitride layer on a surface of a substrate;-forming a trench on the substrate In the first oxide layer, the first nitride layer is penetrated, the first oxygen layer is exposed, and the first oxide layer on both sides of the exposed first oxide layer is removed. Between the layer and the above-mentioned substrate 0548-9673TWf(nl) ; 91276 ; Phoelip.ptd 第26頁 /vz =、申請專利範圍 第二氮化物層填滿上述第一開口; 具有一第一既定高度的破 部順應性形成於上述溝槽内,上:溝槽之底 上述溝槽中; 田 以構成一第二開口於 層舆於上述第二開^ ’以使上述複晶石夕 述圾瑀摻雜層於上述溝槽内構 順應性形成一氮化物間隔層於一户, 述玻璃摻雜>、μ、+、、_ 、 述第一氮化物層、上 上迷複晶石夕層及上述 將上述玻璃摻雜層中之摻 使 ,形成一隱埋板; 作物向外擴政至上述基底中 化物::ί成:第一氮化物層及上述複晶矽層上之上述氮 完:i除::t 一領形氮化物間隙壁於溝槽側壁上; 钱刻:ίΐί设晶石夕層與上述玻璃掺雜層; 形成一二‘ 2二上述基底,以形成-瓶型溝槽; /日電各器結構於上述瓶型溝槽内; 中上述i::i:夕層於上述瓶型溝槽内之基底表面上,其 夕層係構成一瓶型溝槽下部區域; 型溝槽内in!層進行一半球狀顆粒化製程,使上述瓶 =之非晶石夕層形成-半球型石夕晶粒層; 上,κι器介電層於上述半球型石夕晶粒層之表面 ^ 導電層填滿於上述瓶型溝槽下部區域; 隙辟於:、f 3領形氮化物間隙壁,並形成-領形氧化物間 隙土:上逑領形^化物間隙壁之原來位置; ;上述項形氧化物間隙壁包圍之區域中形成一第二導 第27頁 0548-9673TWf(nl) ; 91276 ; Ph〇elip.ptd 591792 六、申請專利範圍 電層;以及 形成一第三導電層於上述領形絕緣層間隙壁及上述第 二導電層上。 ,2 5 ·如申請專利範圍第2 4項所述之增加電容值之溝槽 型f容器的製造方法,其中在形成一第三導電層於上述領 形絕、$層間隙壁及上述第二導電層上之步驟後,更包括對 上述第三導電層表面進行一平坦化製程。 • “ 2 6 ·如申請專利範圍第2 5項所述之增加電容值之溝槽 型電容器的製造方法,其中該平坦化製程係為一化曰 研磨法。0548-9673TWf (nl); 91276; Phoelip.ptd page 26 / vz =, patent application scope second nitride layer fills the first opening; a compliance with a broken portion having a first predetermined height is formed in the groove In the upper part, the bottom of the trench is in the above trench; the field is configured to form a second opening in the layer and the second opening ^ 'so that the polycrystalline silicon doped plutonium doped layer conforms in the above trench. A nitride spacer layer is formed in a household, the glass doping >, μ, + ,, _, the first nitride layer, the upper polycrystalline stone layer, and the above-mentioned glass doped layer. It is mixed to form a buried plate; the crop expands outwards to the above-mentioned substrate: ί: the first nitride layer and the above-mentioned nitrogen on the polycrystalline silicon layer are finished: i divided:: t a collar-shaped nitrogen The spacer wall is on the side wall of the trench; Qian Qi: ΐ ΐ set the crystal stone layer and the above-mentioned glass doped layer; forming the above-mentioned substrate to form a bottle-shaped groove; / NEC's container structure on the bottle In the above-mentioned groove: i :: i: Xi layer on the surface of the substrate in the above-mentioned bottle groove, which The Xi layer constitutes the lower area of a bottle-shaped groove; the in! Layer in the groove is subjected to a semi-spherical granulation process, so that the above-mentioned bottle = amorphous stone layer is formed into a hemisphere-shaped stone layer; The dielectric layer of the device is on the surface of the above-mentioned hemispherical stone grain layer. The conductive layer fills the lower area of the bottle-shaped trench. Interstitial soil: the original position of the upper collar-shaped compound spacer; the area surrounded by the above-mentioned oxide spacers forms a second guide. Page 27 0548-9673TWf (nl); 91276; Phoelip.ptd 591792 6. A patented electrical layer; and forming a third conductive layer on the collar insulating layer spacer and the second conductive layer. 2 5 · The method for manufacturing a trench-type f container with an increased capacitance value as described in item 24 of the scope of patent application, wherein a third conductive layer is formed on the collar-shaped insulation, the $ -layer gap wall, and the second After the step on the conductive layer, the method further includes performing a planarization process on the surface of the third conductive layer. • "2 6 · The method for manufacturing a trench capacitor with an increased capacitance value as described in item 25 of the scope of patent application, wherein the planarization process is a chemical polishing method. I “ 2J.如申請專利範圍第24項所述之增加電容值之溝槽 型,=态的製造方法,其中上述第一導電層、第二導電層 及第三導電層係分別為複晶矽、摻雜之複晶矽 ^ 材料。 ,、to导电 28·如申請專利範圍第24項所述之增加電容值之 j,容器的製造方法,更包括對上述半球型矽晶粒層進曰行 氣相摻質(gas phase doping)處理之步驟。I "2J. The manufacturing method of increasing the capacitance value of the trench type as described in Item 24 of the scope of the patent application, wherein the first conductive layer, the second conductive layer, and the third conductive layer are polycrystalline silicon, respectively. Doped compound silicon material. To conductive 28. As described in item 24 of the scope of the patent application, to increase the capacitance value, the method of manufacturing the container further includes the above-mentioned hemispherical silicon grain layer. Gas phase doping treatment step. 29·如申請專利範圍第24項所述之增加電容值之 型電容器的製造方法,其中上述玻璃摻雜層係擇自由曰 硼磷之矽玻璃(BPSG)、摻雜砷矽之玻璃(AsSG)、摻. 之玻璃(PSG)及摻雜硼之矽玻璃(BSG)所組成之族群中。牛 3 0.如申請專利範圍第24項所述之增加電容值之 型電容器的製造方法,#中蝕刻上述溝槽底部半導體基曰 板,以形成一瓶型溝槽之方法係為藉由上述第二襯墊^及29. The method for manufacturing a capacitor with increased capacitance as described in item 24 of the scope of the patent application, wherein the glass doped layer is selected from the group consisting of borosilicate silicon glass (BPSG) and arsenic silicon doped glass (AsSG) , Doped glass (PSG) and boron-doped silica glass (BSG). Niu 30. According to the method for manufacturing a capacitor with an increased capacitance value as described in item 24 of the scope of the patent application, the above method is to etch the semiconductor substrate at the bottom of the trench to form a bottle-shaped trench. Second pad ^ and πΐ792πΐ792 ==形第—間隔層間隙壁為蝕刻罩幕,利用稀釋氨水溶 <或&L為钱刻劑蝕刻上述溝槽底部的半導體基板,以形成 一瓶型溝槽。 4 ^ 1 ·如申清專利範圍第3 0項所述之增加電容值之溝槽 f電合為的製造方法,其中上述稀釋氨水的濃度係為氨 水··水之比例1:5至1:5〇之間。 q " 3 2 ·如申清專利範圍第3 〇項所述之增加電容值之溝槽 ^電容器的製造方法,其中該酸係為氟化氳(耵)/乙二 if (EG")、絲贼 t== 形 第 —The spacer of the spacer layer is an etching mask, and the semiconductor substrate at the bottom of the trench is etched by using a dilute ammonia solution < or & L as a coining agent to form a bottle-shaped trench. 4 ^ 1 · The manufacturing method for the galvanic combination of the trench f with an increased capacitance value as described in item 30 of the scope of the patent application, wherein the concentration of the above-mentioned diluted ammonia is ammonia water. The ratio of water is 1: 5 to 1: Between 50 and 50. q " 3 2 · The manufacturing method of a trench ^ capacitor with an increased capacitance value as described in item 30 of the patent application, wherein the acid is fluorinated europium (耵) / ethylene oxide (EG "), Cutie t ; 褅釋氟化氫(DHF )或緩衝氟化氫(BHF )。 如申請專利範圍第24項所述之增加電容值之溝槽 1電谷裔的製造方法,其中蝕刻上述溝槽底部半導體基 板’以形成一瓶型溝槽之方法係包括: & ,上述溝槽底部半導體基板實施一氧化處理,以部$ 乳b j路出的摻雜區而形成一摻雜氧化區;以及 餘列Ϊ t ΐ ί弟二襯墊層及上述領形第一間隔層間隙壁』 d罩幕以去除該摻雜氧化區而構成一瓶型溝槽。 ^ t Ϊr, ® ^ 3 a ^ ^ ^^ ^ ^ # :谷。。的t造方&,其中係藉由氫氟酉曼( 述摻雜氧化區。 爿乂蚀刻__; Release hydrogen fluoride (DHF) or buffered hydrogen fluoride (BHF). The manufacturing method of the trench 1 for increasing the capacitance value as described in the scope of the patent application No. 24, wherein the method of etching the semiconductor substrate at the bottom of the trench to form a bottle-shaped trench includes: & The semiconductor substrate at the bottom of the groove is subjected to an oxidation treatment to form a doped oxide region with the doped region exited by the part bj bj; and the remaining rows of the second spacer layer and the collar-shaped first spacer layer spacer wall. D to form a bottle-shaped trench by removing the doped oxide region. ^ t Ϊr, ® ^ 3 a ^ ^ ^^ ^ ^ #: Valley. . The fabrication method &, which is doped with oxidized regions by hydrofluoromanganese (the doped oxide region. 爿 乂 etch__ 35·如申請專利範圍第33項所述之增加電容 ,電容器的製造方法,其中上述氧化處理係為快速心 處理(RTO )。 丨、疋热乳35. The method for manufacturing a capacitor and a capacitor according to item 33 of the scope of the patent application, wherein the above-mentioned oxidation treatment is a rapid core treatment (RTO).丨, hot milk
TW92114684A 2003-05-30 2003-05-30 Manufacturing method of trench type capacitor with increasing capacitance value TW591792B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW92114684A TW591792B (en) 2003-05-30 2003-05-30 Manufacturing method of trench type capacitor with increasing capacitance value

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW92114684A TW591792B (en) 2003-05-30 2003-05-30 Manufacturing method of trench type capacitor with increasing capacitance value

Publications (2)

Publication Number Publication Date
TW591792B true TW591792B (en) 2004-06-11
TW200427064A TW200427064A (en) 2004-12-01

Family

ID=34059330

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92114684A TW591792B (en) 2003-05-30 2003-05-30 Manufacturing method of trench type capacitor with increasing capacitance value

Country Status (1)

Country Link
TW (1) TW591792B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI578346B (en) * 2012-06-26 2017-04-11 聯華電子股份有限公司 Capacitor structure and method of forming the same
US11805645B2 (en) 2019-08-16 2023-10-31 Micron Technology, Inc. Integrated assemblies having rugged material fill, and methods of forming integrated assemblies

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6688291B2 (en) * 2014-10-08 2020-04-28 クリアインク ディスプレイズ, インコーポレイテッドClearink Displays, Inc. Reflective display with color filters aligned
CN117712101A (en) * 2022-09-05 2024-03-15 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI578346B (en) * 2012-06-26 2017-04-11 聯華電子股份有限公司 Capacitor structure and method of forming the same
US11805645B2 (en) 2019-08-16 2023-10-31 Micron Technology, Inc. Integrated assemblies having rugged material fill, and methods of forming integrated assemblies

Also Published As

Publication number Publication date
TW200427064A (en) 2004-12-01

Similar Documents

Publication Publication Date Title
US7329576B2 (en) Double-sided container capacitors using a sacrificial layer
CN102082116B (en) Method for fabricating side contact in semiconductor device using double trench process
TWI297182B (en) Semiconductor device having a trench gate the fabricating method of the same
US8354675B2 (en) Enhanced capacitance deep trench capacitor for EDRAM
US20080246068A1 (en) Trench capacitors and memory cells using trench capacitors
US20130011987A1 (en) Method for fabricating semiconductor device with vertical gate
CN102082117A (en) Method for forming side contact in semiconductor device through self-aligned damascene process
KR20130004809A (en) Semiconductor device with buried bitline and method for fabricating the same
KR100541682B1 (en) Method for forming capacitor of semiconductor device
JP2015084400A (en) Semiconductor device and method of manufacturing the same
CN108091574A (en) Mos field effect transistor and preparation method thereof
US6486025B1 (en) Methods for forming memory cell structures
KR20030058317A (en) Method for fabricating capacitor
TW591792B (en) Manufacturing method of trench type capacitor with increasing capacitance value
US6541334B2 (en) Integrated circuit configuration having at least one buried circuit element and an insulating layer, and a method of manufacturing the integrated circuit configuration
KR100753106B1 (en) Bulb type recessed channel array transistor and method for manufacturing the same
KR100756788B1 (en) Method for manufacturing of semiconductor device
KR100351916B1 (en) Method for manufacturing capacitor in semiconductor device
KR100526885B1 (en) Method for forming capacitor for use in semicondutor device
KR100532770B1 (en) Method for manufacturing the semiconductor device
US6838691B2 (en) Chalcogenide memory and method of manufacturing the same
KR20240005529A (en) Integrated circuit device and method of manufacturing the same
TW471166B (en) Fabrication method of DRAM deep trench capacitor
KR101035589B1 (en) Capacitor and method for fabricating the same
CN117395990A (en) Integrated circuit device and method of manufacturing the same

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent