TW540086B - Dense arrays and charge storage devices, and methods for making same - Google Patents

Dense arrays and charge storage devices, and methods for making same Download PDF

Info

Publication number
TW540086B
TW540086B TW90119945A TW90119945A TW540086B TW 540086 B TW540086 B TW 540086B TW 90119945 A TW90119945 A TW 90119945A TW 90119945 A TW90119945 A TW 90119945A TW 540086 B TW540086 B TW 540086B
Authority
TW
Taiwan
Prior art keywords
layer
array
charge storage
scope
memory
Prior art date
Application number
TW90119945A
Other languages
Chinese (zh)
Inventor
Thomas H Lee
Vivek Subramanian
James M Cleeves
Andrew J Walker
Christopher Petti
Original Assignee
Matrix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matrix Semiconductor Inc filed Critical Matrix Semiconductor Inc
Application granted granted Critical
Publication of TW540086B publication Critical patent/TW540086B/en

Links

Landscapes

  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

There is provided a monolithic three dimensional array of charge storage devices which includes a plurality of device levels, wherein at least one surface between two successive device levels is planarized by chemical mechanical polishing. A memory device comprising: a first input/output conductor formed above or on a first plane of a substrate; a second input/output conductor; a semiconductor region located between said first input/output conductor and said second input/output conductor an intersection of their projections; a charge storage medium; and wherein charge stored in said charge storage medium affects the amount of current that flows between said first input/output conductor and second input/output conductor.

Description

540086 A7 _ B7 五、發明説明(1 ) 本申請案為美國申請案序號09/801,233 (2001年3月6曰提出) 之部份接續申請案,而後者為美國申請案序號09/745,125 (2000年12月22曰提出)之部份接續申請案,兩者都以引用的方 式全體併入本文中。本申請案也為美國申請案序號09/639,579 (2000年8月14曰提出)之部份接續申請案,後者以引用的方式 全體併入本文中。本申請案也為美國申請案序號09/639,702 (2000年8月14日提出)之部份接續申請案,後者以引用的方式 全體併入本文中。本申請案也為美國申請案序號09/639,749 (2000年8月14日提出)之部份接續申請案,後者以引用的方式 全體併入本文中。本申請案也請求優先於臨時申請案 60/279,855號(2001年3月28曰提出),後者以引用的方式全體併 入本文中。 發明背景 1. 發明領域 本發明一般來說係關於半導體裝置;特別是關於一種三 維TFT(薄膜電晶體)陣列。 2. 相關技藝討論 隨著積體電路及電腦的效能變強,新的應用乃興起而要 求儲存大量資料的能力。某些應用要求記憶體具寫入及抹 除資料的能力,及以非揮發性方式來儲存資料的能力。有許 多可行的應用,係由於半導體記憶體降價,低過每百萬位元 組一美元而對以下裝置具價格競爭性:⑴化學薄膜,用以儲 存照相影像:⑵光碟(CDs [Compact Disks]),用以儲存發行的 音樂及文字資料;(3)數位揮發性光碟(DVDs [Digital Versatile -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐) 540086 A7 ^_B7 ___ 五、發明説明(2 )540086 A7 _ B7 V. Description of the Invention (1) This application is a continuation of the US application serial number 09 / 801,233 (filed on March 6, 2001), and the latter is US application serial number 09 / 745,125 (2000 Partial applications were filed on December 22, 2014, both of which are incorporated herein by reference in their entirety. This application is also a continuation of the US application serial number 09 / 639,579 (filed on August 14, 2000), which is incorporated herein by reference in its entirety. This application is also part of a continuation of US Application Serial No. 09 / 639,702 (filed on August 14, 2000), which is incorporated herein by reference in its entirety. This application is also part of a continuation of US Application Serial No. 09 / 639,749 (filed on August 14, 2000), which is incorporated herein by reference in its entirety. This application also claims priority over provisional application 60 / 279,855 (filed on March 28, 2001), which is incorporated herein by reference in its entirety. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to semiconductor devices; and more particularly, to a three-dimensional TFT (thin film transistor) array. 2. Related technical discussions As integrated circuits and computers become more powerful, new applications are emerging that require the ability to store large amounts of data. Some applications require the memory to have the ability to write and erase data and the ability to store data in a non-volatile manner. There are many possible applications that are competitive with the price of semiconductor memory, which is less than one dollar per million bytes: ⑴ chemical film to store photographic images: ⑵ CDs [Compact Disks] ) To store the distributed music and text data; (3) Digital Volatile Discs (DVDs [Digital Versatile -4-This paper size applies to China National Standard (CNS) A4 specifications (210 x 297 mm) 540086 A7 ^ _B7 ___ V. Description of Invention (2)

Disks]),用以儲存發行的視頻及多媒體材料,·及(4)影帶(Video Tape)及數位影音磁帶(Digital Audio and Video Tape),用以儲存 消費者的聲頻視頻記錄。如此的記憶體能從設備及一切電 源移出達約10年時間而内儲資訊不致有重大敗壞,故應屬歸 樓式且為非揮發性的。此一要求大約即為CDs、DVDs、磁帶 及大多數形式下之照相膜的典型壽命。 現今,此等記憶體係以電可抹除式非揮發性記憶體如快 閃記憶體及EEPROMs(電可抹除式可程式化唯讀記憶體)而形 成。不幸的是,這些裝置一般係在單晶狀矽基板中製造,因 而僅限於二維儲存裝置陣列;所能儲存的資料量乃受限在 單一個矽平上面所能製造的裝置數目以下。 已知別有得以製造的非揮發性記憶體,其係使用介電質 層中所陷獲的電荷。就典型來說,係藉(以實例來說)電流穿 隧過一層氮化矽,而使電子陷獲在該氮化物層中。氮化矽係 形成於一閘極間,而使該閘極與場效應電晶體通道絕緣。陷 獲的電荷使電晶體的閾值電壓移位,如此則該閾值電壓經 感測而決定電荷是否陷獲於氮化物層中。關於此類記憶體 的範例,見美國專利5,768,192號。 美國專利5,768,192號(頒予B·愛坦[BJEitan]),以及題為 〈NROM :新穎的局域陷獲2位元非揮發性記憶體單元〉 (NROM: A Novel Localized Trapping, 2-Bit Nonvolatile Memory Cell) 之技術兀件(B·愛坦等人,《IEEE Electron Device Letters》第21卷 第11號[2000年η月],第543-545頁),傳授一種非揮發性半導體 記憶體單元,其係在氧化物一氮化物一氧化物(ΟΝΟ)堆疊之 -5- 本紙俵尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(3 ) 氮化物電荷儲存層中,利用不對稱電荷陷獲,而在一個單元 中儲存二個位元。對單元之寫入,係藉熱電子注入汲極接面 (junction)之上的電荷儲存層《對單元之讀出,則相反於寫入 方向,即:電壓施於源極及閘極,而汲極接地。該記憶體單 元係建構在一 P型矽基板中。然而,此矽一氧化物一氮化物 一氧化物一矽(SONOS) 1TC記憶體係安排在一 NOR(反或)虛擬 接地陣列(Virtual Ground Array)之中,而每位元之單元面積為 2.5F2,此處F為最小特徵尺寸《此單元面積大於必要,而致使 單元密度小於最適。 先前技藝之負電阻裝置也是已知的。此等裝置係在1972年 左右發現,經說明於〈薄金屬絕緣體半導體結構Si質負電阻 二極體〉(Thin-MIS-Structure Si Negative-Resistance Diode)(《Applied Physics Letters》第20冊第8號[1972年4月15曰],第269頁始)。該 文章所說明的裝置為一種接面二極體(如圖96之二極體5510) 以及一配置於該二極體之P型區域上的薄氧化物區域(如圖96 之氧化物區域5511)。該裝置提供一交換(switch)現象,而展現 如圖97所示之負電阻區域。注意,因該二極體上的電位係於 二極體之正向方向提高,故少有傳導發生,直到電壓甫達點 5512所示之電壓(在該點,裝置展現負電阻)。由此開始,裝置 .展現某種程度的二極體特性,如圖97中之段5513所示。此交 換特性用來製造靜態記憶體單元(正反器[flip-flop]),諸如美國 專利5,533,156號及6,015,738號所示著7。另外,此裝置之基本操 作經說明於施敏(Sze)《半導體裝置物理》(The Physics of Semiconductor Devices^ 第 2版,第 9.5章,第 549-553 頁),但其解釋 -6- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)Disks]), used to store distributed video and multimedia materials, and (4) Video Tape and Digital Audio and Video Tape, used to store consumer audio and video recordings. Such memory can be removed from the device and all power sources for about 10 years without any significant corruption of the stored information. Therefore, it should be built-in and non-volatile. This requirement is approximately the typical life of CDs, DVDs, magnetic tapes, and photographic films in most forms. Today, these memory systems are formed of electrically erasable non-volatile memory such as flash memory and EEPROMs (electrically erasable programmable read-only memory). Unfortunately, these devices are generally manufactured on single-crystal silicon substrates and are therefore limited to two-dimensional storage device arrays; the amount of data that can be stored is limited to the number of devices that can be manufactured on a single silicon flat. It is known that other non-volatile memories can be manufactured which use the electric charges trapped in the dielectric layer. Typically, electrons are trapped in the nitride layer by, for example, current tunneling through a layer of silicon nitride. A silicon nitride system is formed between a gate to insulate the gate from the field effect transistor channel. The trapped charge shifts the threshold voltage of the transistor, so that the threshold voltage is sensed to determine whether the charge is trapped in the nitride layer. For an example of such memory, see U.S. Patent No. 5,768,192. US Patent No. 5,768,192 (issued to BJEitan), and entitled "NROM: Novel Localized Trap 2-Bit Nonvolatile Memory Cell" (NROM: A Novel Localized Trapping, 2- Bit Nonvolatile Memory Cell) (B · Aitan et al., IEEE Electron Device Letters, Vol. 21, No. 11 [2000], pp. 543-545), teaches a non-volatile semiconductor memory Body unit, which is -5- stacked on the oxide-nitride-oxide (NO) stack. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 B7 V. Description of the invention (3 ) In the nitride charge storage layer, asymmetric charge trapping is used, and two bits are stored in one cell. The writing to the cell is performed by injecting a hot electron into the charge storage layer above the junction of the drain. The reading of the cell is opposite to the writing direction, that is, the voltage is applied to the source and gate, and The drain is grounded. The memory unit is constructed in a P-type silicon substrate. However, the silicon-oxide-nitride-oxide-silicon (SONOS) 1TC memory system is arranged in a NOR (reverse OR) virtual ground array (Virtual Ground Array), and the cell area per bit is 2.5F2 , Where F is the minimum feature size, "The area of this element is larger than necessary, and the element density is smaller than the optimum. Negative resistance devices of the prior art are also known. These devices were discovered around 1972 and described in "Thin-MIS-Structure Si Negative-Resistance Diode" (Applied Physics Letters, Vol. 20, No. 8) [April 15, 1972], p. 269). The device described in this article is a junction diode (such as the diode 5510 in FIG. 96) and a thin oxide region (such as the oxide region 5511 in FIG. 96) disposed on the P-type region of the diode. ). The device provides a switching phenomenon, and exhibits a negative resistance region as shown in FIG. Note that since the potential on the diode increases in the forward direction of the diode, little conduction occurs until the voltage reaches the voltage shown at point 5512 (at which point the device exhibits negative resistance). From this point, the device. Exhibits a certain degree of diode characteristics, as shown in paragraph 5513 in FIG. 97. This swapping feature is used to make static memory cells (flip-flops), such as shown in U.S. Patent Nos. 5,533,156 and 6,015,738. In addition, the basic operation of this device is described in Sze, The Physics of Semiconductor Devices ^ 2nd Edition, Chapter 9.5, 549-553, but its explanation-6- this paper Standards apply to China National Standard (CNS) A4 (210 X 297 mm)

裝 訂Binding

540086 A7 B7 五、發明説明(4 ) 在討論到極性(polarity)時容或有誤。 圖96之裝置包含一 PN接面二極體及一薄氧化物區域。該二 極體為正向偏壓時,因所施電壓中一部分為二極體接面電 壓,其餘為跨越η-區和氧化物區域之電壓降,故初始時極少 電流流動。從ρ區注入η-區之電洞為數甚少,故η-區在穿過氧 化物(不管其對電洞流動有不利的障壁)的穿隧電流下仍得以 保持為η-型區域。類似地,任何在耗盡(depletion)區域内生成 的電洞都能通過該薄氧化物,而任何生成的電子都遭掃除 越過P區而出陽極接點。 隨著所施的正向電壓提高,η-區與該氧化物的介面處開始 耗盡,一如在正常的M0SFET(金屬氧化物半導體場效應電晶 體)中接近閾值電壓時。在足夠高的電壓下,此耗盡區域一 路延伸至接面而引起衝穿(punch-through),致使電洞大量從ρ區 注入η-層。該等電洞難能流過氧化物,因而積聚在表面附近 。於是使η-區在氧化物介面附近較強地逆化,而提高跨越氧 化物之.電壓降(莫忘V=Q/C)。若提高跨越二極體之正向偏壓 並提高電流,則穿過氧化物之電子穿隧電流以一超指數 (super-exponential)因子升高。同時,電洞 巳澄於η-區,而提高η-區的導電率並減小其電壓降。跨越二極體的電壓既相對地 小(且少有改變,即使電流有大改變),則η-電壓降之大幅減 小使得跨越整個結構的電壓劇降(假設電路中有合適的串聯 電阻以避'免裝置發生擊穿[rupture]) ^如此,則前述再生成作 用使電流迅速上升,並附帶使電壓迅速下降。正是此負電阻 區域,用來製造上引專利中所述的SRAM(靜態隨機存取記憶 -7- 本紙張尺度適用中國國家標準(CMS) A4規格(210 X 297公釐) 裝 訂540086 A7 B7 5. Description of the Invention (4) When discussing polarity, it may be wrong or wrong. The device of FIG. 96 includes a PN junction diode and a thin oxide region. When the diode is forward-biased, a portion of the applied voltage is the diode junction voltage and the rest is the voltage drop across the η-region and the oxide region, so very little current flows initially. The number of holes injected into the η-region from the ρ region is very small, so the η- region is maintained as an η-type region under the tunneling current through the oxide (regardless of its barrier to the hole flow). Similarly, any holes generated in the depletion region can pass through the thin oxide, and any generated electrons are swept across the P region and out of the anode contact. As the applied forward voltage increases, the interface between the η-region and the oxide begins to deplete, as it approaches the threshold voltage in a normal MOSFET (metal oxide semiconductor field effect transistor). At a sufficiently high voltage, this depletion region extends all the way to the junction and causes punch-through, causing a large number of holes to be injected into the n-layer from the p-region. It is difficult for these holes to flow through the oxides, so they accumulate near the surface. Therefore, the η-region is strongly reversed near the oxide interface, and the voltage drop across the oxide is increased (Mo forget V = Q / C). If the forward bias across the diode is increased and the current is increased, the electron tunneling current through the oxide increases with a super-exponential factor. At the same time, the hole is cleared in the η-region, which increases the conductivity of the η-region and reduces its voltage drop. The voltage across the diode is relatively small (and rarely changes, even if there is a large change in current), the drastic reduction in the η-voltage drop makes the voltage across the structure drop sharply (assuming there is a suitable series resistance in the circuit to Avoid breakdown of the device [rupture]) ^ In this way, the aforementioned regenerating effect causes the current to rapidly rise and the voltage to fall rapidly. It is this negative resistance area, which is used to manufacture the SRAM (Static Random Access Memory -7) described in the cited patent. This paper size applies the Chinese National Standard (CMS) A4 specification (210 X 297 mm).

540086 A7 ___B7 五、發明説明(5 ) 體)單元。 在較高電流水準,該裝置基本上運行為一普通的正向偏 壓的二極體,因大部份電壓終究係跨越PN接面而下降。總包 來說,該結構之V-I特性示於圖97,而其線段5513的斜率大部 份係由耦合於圖96結構之串聯電阻來決定。 在反向偏壓時,該二極體處於其阻塞態,而流過氧化物的 僅有電流乃是電子洩漏電流。所施電壓因有部份係跨越氧 化物區域而下降,一部分乃為反向接面電壓。應注意,電子 在反向偏壓以及強正向電壓之下,都會載運電流穿過氧化 物區域。 另一種型態之先前技藝記憶裝置則揭露於題為〈新穎的 單元結構,使用多晶矽薄膜電晶體而用於十億位元可抹除 式可程式化唯讀記憶體及快閃記憶體〉(A Novel Cell Structure for Giga-bit EPROMs and Flash Memories Using Poiysilicon Thin Film Transistors)之技術元件(S.小山[S. Koyama]等人,《1992 VLSI科技 技術論文選集》[1992 Symposium on VLSI Technology Digest of Technical Papers],第44-45頁)。如圖98所示,每一記憶體單元皆 為一「自對準(self-aligned)」浮動(floating)閘極單元,且在一絕 緣層上面含一多晶狀矽薄膜電晶體電可抹除式可程式化唯 讀記憶體(TFT EEPROM)。在此裝置中,位元線係平行於源極 一通道一汲極方向而延伸(即,位元線平行於電荷載子流動 方向而延伸)。字線直交於源極一通道一汲極方向而延伸(即 ,字線直交於電荷載子流動方向而延伸)。該等TFT EEPROMs 不含分離的控制閘極;該等TFT EEPROMs在其覆蓋浮動閘極 -8 - 度適用中國國家棣準(CNS) A4規格(210X 297公釐) A7 B7 __ _ 五、發明説明(6 ) 之區域中以字線做為控制閘極。 小山的佈局要求形成兩個多晶矽化金屬接觸墊,以接觸 每一 TFT的源極與閘極。位元線形成於字線之上,並經由一 分離了位元線與字線之層間絕緣層(interlayer insulator)中的接 觸通孔而接觸該等接觸墊。於是,因為該等接觸墊及接觸通 孔皆係由非自對準的微影步驟而圖型化,所以此佈局中的 單元皆非完全自對準。因此,每一記憶體單元之面積大於必 要,而致使單元密度小於最適。小山的記憶體單元因要求形 成接觸墊及位元線接觸通孔,故其製造也是複雜的。此外, 小山裝置之可製造性小於最適,因其位元線及字線都有非 平面的基礎拓樸所致的非平面頂表面。此可能導致位元線 及字線中開路。 以虛擬接地陣列來獲致晶狀矽非揮發性記憶體,也早已 為人所知,且係一種積極減小記憶體單元尺寸的優良途徑。 現在見圖99,其基本途徑係利用埋設的n+擴散5612中的位元 線交點(cross point)陣列5610(在單晶狀矽p-型基板5614内),以及 由多晶矽導軌(rails) 5616所形成的字線(配置在基板5614上面) 。電晶體係由相鄰的位元線5612與配置在相鄰位元線5612之 間的p型通道區域5618所形成。一層閘極氧化物5620將浮動閘 極5622絕緣;浮動閘極5622位於通道5618之上,由多晶碎(舉 例而㊁)所形成。一上部介電質層5624將浮動閘極5622與多晶 矽字線(WLs) 5616絕緣。 「虛擬接地」係指陣列中實無專用的地線。每當選取一個 單元供謂出或程式之用,就有一對埋設的叶位元線為 ___ -9-___ 本紙張尺度適用中國國家標準(CMS) A4規格(210 X 297公釐) 裝 訂540086 A7 ___B7 V. Description (5) Body) unit. At higher current levels, the device basically operates as a normal forward biased diode, as most voltages eventually fall across the PN junction. In summary, the V-I characteristics of this structure are shown in Figure 97, and the slope of its line segment 5513 is largely determined by the series resistance coupled to the structure of Figure 96. When reverse biased, the diode is in its blocking state, and the only current flowing through the oxide is the electron leakage current. The applied voltage decreases because part of it crosses the oxide region, and part of it is the reverse junction voltage. It should be noted that under reverse bias and strong forward voltage, electrons carry current through the oxide region. Another type of prior art memory device is disclosed in the title "New Cell Structure, Using Polycrystalline Silicon Thin Film Transistors for Gigabit Erasable Programmable Read-Only Memory and Flash Memory" ( A Novel Cell Structure for Giga-bit EPROMs and Flash Memories Using Poiysilicon Thin Film Transistors) Papers], pp. 44-45). As shown in FIG. 98, each memory cell is a "self-aligned" floating gate unit, and a polycrystalline silicon thin film transistor on top of an insulating layer is electrically erasable. Dividable programmable read-only memory (TFT EEPROM). In this device, the bit line extends parallel to the source-channel-drain direction (that is, the bit line extends parallel to the direction of charge carrier flow). The word line extends perpendicular to the source-channel-drain direction (that is, the word line extends orthogonal to the direction of charge carrier flow). These TFT EEPROMs do not include separate control gates; these TFT EEPROMs cover floating gates -8-degrees applicable to China National Standards (CNS) A4 specifications (210X 297 mm) A7 B7 __ _ 5. Description of the invention (6) The word line is used as the control gate in the area. The layout of the hill requires the formation of two polycrystalline silicon silicide contact pads to contact the source and gate of each TFT. The bit lines are formed on the word lines and contact the contact pads via a contact via in an interlayer insulator separating the bit lines and the word lines. Therefore, because the contact pads and contact vias are patterned by non-self-aligned lithography steps, the cells in this layout are not completely self-aligned. Therefore, the area of each memory cell is larger than necessary and the cell density is smaller than optimal. Oyama's memory cell is complicated because it requires the formation of contact pads and bit line contact vias. In addition, the manufacturability of the Oyama device is less than optimal because its bit lines and word lines have non-planar top surfaces caused by non-planar base topologies. This may lead to open circuits in bit lines and word lines. The use of virtual ground arrays to obtain crystalline silicon non-volatile memory has also been known for a long time, and it is an excellent way to actively reduce the size of memory cells. Now see Figure 99. The basic approach is to use the buried n + diffusion 5612 bit line cross point array 5610 (in the single crystal silicon p-type substrate 5614), and the polycrystalline silicon rails 5616 The formed word line (arranged on the substrate 5614). The transistor system is formed by an adjacent bit line 5612 and a p-type channel region 5618 disposed between the adjacent bit lines 5612. A layer of gate oxide 5620 insulates the floating gate 5622; the floating gate 5622 is located above the channel 5618 and is formed of polycrystalline debris (for example, ㊁). An upper dielectric layer 5624 insulates the floating gate 5622 from polysilicon word lines (WLs) 5616. "Virtual ground" means that there is no dedicated ground wire in the array. Whenever a unit is selected for predicate or program, there is a pair of buried leaf bit lines as ___ -9 -___ This paper size applies to China National Standard (CMS) A4 (210 X 297 mm) binding

540086 A7 B7 五、發明説明(7 ) 源極和汲極,而以源極接地。例如,選定圖1〇〇中所廓畫的單 元5624,則BL(k)和BL(k+ 1)將選為源極和汲極(或反之),且WL(j) 將選為裝置的控制閘極。在一途徑中,BL(k)左方(如圖100所 示)所有的位元線係保持在同BL(k)之電位,而BL(k+ 1)右方所 有的位元線係保持在同BL(k+ 1)之電位,為使源極一汲極電 流只在選定的單元(其他WLs全都接地)中流動(供讀出及程式 之用)。 在所有這些途徑之中,電荷儲存媒體乃是由摻雜的多晶 矽所製的導電浮動閘極。藉熱電子注射程式化(特為所有上 等的EPROM及單一個電晶體快閃記憶體單元所選的方法), 電子注上浮動閘極,從而改變固有的MOS(金屬氧化物半導 體)電晶體的閾值電壓。 對於虛擬接地陣列結構5626(如圖101所示)中所安排的非揮 發性MTP(可多次程式化)記憶體,則可考慮再採行以上所討 論的SONOS(多晶矽一阻塞氧化物一氮化物一穿隧氧化物一 矽)電荷陷獲途徑。該陣列包含單晶狀矽基板5614中所配置的 n+埋設位元線5612。一 ΟΝΟ(氧化物一氮化物一氧化物)介電 質堆疊5628將位元線5612與多晶矽字線5630絕緣。熱電子於程 式化期間在汲極邊緣附近注入ΟΝΟ介電質堆疊5628,電荷係 在此處陷獲於氮化物層中。利用此途徑,因熱電子係在供程 式化用之汲極邊緣注入ΟΝΟ介電質堆疊,故每一記憶體單元 能儲存Ζ個位元。既然氮化物電荷儲存媒體並不橫向導電, 則電荷停留在其注入處。電晶體源極附近的陷獲電何對該 電晶體之閾值電壓有巨大效應,而汲極附近的陷獲電荷對 -10- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 340086 A7 B7 五、發明説明(8 ) 閾值電壓有些許效應。據此,反轉其汲極與源極之連接,即 可將ΟΝΟ層任一側上的個別電荷區寫入及讀出。當單元遭程 式化,電荷係在最近於汲極一帶注射。若反轉同一單元的源 極與汲極,則另一電荷可注入同一單元但卻是在「另外的」 汲極。因為兩邊都能讀出,所以每單元可儲存及掏取二個位 元。 上述先前技藝裝置之密度並非最適化,相對來說乃是昂 貴的。 發明概要 依據本發明之一較佳具體實施例,半導體裝置包括單石 三維電荷儲存裝置陣列,其包含複數個裝置級,其中二個連 續的裝置級之間有至少一個表面藉化學機械研磨法而平面 在本發明之另一較佳具體實施例中,一單石三維電荷儲 存裝置陣列形成於一單晶狀半導體基板上面之一非晶系或 多晶狀半導體層中,且有驅動器電路至少局部形成於該基 板中,而在陣列以下、陣列以内或陣列以上。 本發明之另一較佳具體實施例提供一記憶裝置,其包含 形成於一基板之第一平上面或之上之第一輸入/輸出導體。 該記憶裝置也包含一第二輸入/輸出導體。一半導體區域位 於該第一輸入/輸出導體與第二輸入/輸出導體之間,在彼等 投影相X處。該記憶裝置包含一€荷儲存媒體,其中儲存在 該電荷儲存媒體中的電荷影響第一輸入/輸出導體與第二輸 入/輸出導體之間流動的電流量。 -11 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(9 ) 本發明之另一較佳具體實施例提供一非揮發性讀一寫記 憶體單元,,其包含一N摻雜區域、一P掺雜區域,及一配置在 該二區域間的儲存元件。 本發明之另一較佳具體實施例提供一用以操作記憶體單 元之方法。該方法包含步驟··將電荷陷獲在一區域中,以程 式化該單元;從該單元讀出資料時,使電流通過該區域。 本發明之另一較佳具體實施例提供一記憶體單元陣列, 該陣列包含複數個記憶體單元,其皆含至少一個半導體區 域及一用以陷獲電荷之儲存構件,該陣列也包含控制構件, 用以控制電流流過單元的半導體區域及儲存構件。 本發明之另一較佳具體實施例提供一非揮發性可堆疊導 柱(pillar)式記憶裝置及其製造方法。該記憶裝置包含一基板 ,其含一第一平面。一第一接點在該基板平面之上或之上形 成。一主體(body)在該第一接點上形成。一第二接點在該主 體上形成,其中該第二接點在第一接點上面至少為局部對 準。一控制閘極在電荷儲存媒體鄰近形成。一讀出電流在第 一接點與第二接點之間流動,而方向直交於基板之平面。 本發明之另一較佳具體實施例提供一場效應電晶體,其 包含一源極、一沒極、一通道、一閘極、至少一個在該閘極 與該通道間的絕緣層;且包含一閘極線,其大致平行於源極 一通道一汲極方向而延伸,並接觸閘極且自對準閘極。 本發听之另一較佳具體實施例袅供一三維非揮發性記憶 體陣列,其包含複數個垂直分離的裝置級,每一級皆含一 TFT EEPROMs陣歹4,而每一 TFT EEPR0M皆含一通道、源極和 -12- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 540086 A7 B7 五、發明説明(10 沒極區域及一鄰近該通道之電荷儲存區域;在每一裝置級 中之複數個位元線行,每一位元線接觸TFT EEPROMs的源極 或汲極區域;在每一裝置級中之複數個字線列;以及在裝置 級間之至少一個層間絕緣層。 本發明之另一較佳具體實施例提供一 EEPR0M,其包含一 通道、一源極、一沒極、一位於該通道之上的穿隨介電質、 位於该穿隨介電質之上的浮動閘極、一位於該浮動閘極 側壁鄰近的側壁間隔物、一位於浮動閘極之上的字線,及一 位於控制閘極與浮動閘極之間的控制閘極介電質。該控制 閘極介電質係位於該等側壁間隔物之上。 本發明之另一較佳具體實施例提供一非揮發性記憶體單 兀陣列,其中每一記憶體單元皆包含一半導體裝置,且記憶 體每位元之單元尺寸皆約(2F2)/N,此處最小特徵尺寸,而 N為第三個維度上的裝置層數目,且N>1。 本發明之另一較佳具體實施例提供一製造EEpR〇M之方法 ,其包含··提供一半導體活性區面;在該活性區面上面形成 黾荷儲存區域,在該電荷儲存區域上面形成一導電閘極 層;以及,圖型化該閘極層以形成一覆蓋電荷儲存區域之控 制閘極。該方法亦包含··用該控制閘極為遮罩來摻雜活性區 面,而在活性區面中形成源極和汲極區域;在控制閘極之上 及鄰近形成一第一絕緣層;曝露控制閘極頂部不具微影遮 罩的部孫:;以及,形成一接觸該g制閘極曝露的頂部之字線 ’以致該字線自對準控制閘極。 本發月之另一較佳具體實施例提供一製造之方法540086 A7 B7 V. Description of the invention (7) Source and drain, and the source is grounded. For example, if unit 5624 outlined in Figure 100 is selected, BL (k) and BL (k + 1) will be selected as the source and drain (or vice versa), and WL (j) will be selected as the control of the device Gate. In one approach, all bit lines to the left of BL (k) (as shown in Figure 100) remain at the same potential as BL (k), while all bit lines to the right of BL (k + 1) remain at Same potential as BL (k + 1), in order to make the source-drain current flow only in the selected cell (all other WLs are grounded) (for readout and programming). In all of these approaches, the charge storage medium is a conductive floating gate made of doped polycrystalline silicon. Stylized by hot electron injection (specifically the method selected for all top-level EPROMs and single transistor flash memory cells), the floating gates are electronically injected to change the inherent MOS (metal oxide semiconductor) transistor Threshold voltage. For the non-volatile MTP (multi-programmable) memory arranged in the virtual ground array structure 5626 (as shown in FIG. 101), it may be considered to use SONOS (polycrystalline silicon-blocking oxide-nitrogen) discussed above. Ions-tunneling oxide-silicon) charge trapping pathway. The array includes n + buried bit lines 5612 arranged in a single crystal silicon substrate 5614. An ONO (oxide-nitride-oxide) dielectric stack 5628 insulates the bit line 5612 from the polysilicon word line 5630. Hot electrons are implanted into the NOx dielectric stack 5628 near the edge of the drain during programming, where the charge is trapped in the nitride layer. Using this approach, since the thermoelectron is implanted with a NOx dielectric stack at the edge of the drain used for programming, each memory cell can store Z bits. Since the nitride charge storage medium does not conduct electricity laterally, the charge stays where it is injected. The trapped electricity near the source of the transistor has a huge effect on the threshold voltage of the transistor, while the trapped charge near the drain has a value of -10-. (Centi) 340086 A7 B7 5. Description of the invention (8) The threshold voltage has a slight effect. According to this, the connection between the drain and the source is reversed, and the individual charge regions on either side of the ONO layer can be written and read. When the cell is programmed, the charge is most recently injected into the drain region. If the source and drain of the same cell are reversed, another charge can be injected into the same cell but at the "other" drain. Since both sides can be read, each unit can store and retrieve two bits. The density of the above-mentioned prior art installations is not optimal and relatively expensive. SUMMARY OF THE INVENTION According to a preferred embodiment of the present invention, a semiconductor device includes a monolithic three-dimensional charge storage device array, which includes a plurality of device stages, and at least one surface between two consecutive device stages is obtained by chemical mechanical polishing. Planar In another preferred embodiment of the present invention, a monolithic three-dimensional charge storage device array is formed in an amorphous or polycrystalline semiconductor layer on a single crystalline semiconductor substrate, and there is a driver circuit at least in part. It is formed in the substrate, below the array, within the array, or above the array. Another preferred embodiment of the present invention provides a memory device including a first input / output conductor formed on or above a first plane of a substrate. The memory device also includes a second input / output conductor. A semiconductor region is located between the first input / output conductor and the second input / output conductor at their projection phase X. The memory device includes a charge storage medium, wherein the charge stored in the charge storage medium affects the amount of current flowing between the first input / output conductor and the second input / output conductor. -11-This paper size applies Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 B7 V. Description of the invention (9) Another preferred embodiment of the present invention provides a non-volatile read-write A memory unit includes an N-doped region, a P-doped region, and a storage element disposed between the two regions. Another preferred embodiment of the present invention provides a method for operating a memory unit. The method includes the steps of: trapping a charge in a region to program the cell; and when reading data from the cell, a current is passed through the region. Another preferred embodiment of the present invention provides a memory cell array. The array includes a plurality of memory cells, each of which includes at least one semiconductor region and a storage member for trapping electric charges. The array also includes a control member. , Used to control the current flowing through the semiconductor region of the cell and the storage member. Another preferred embodiment of the present invention provides a non-volatile stackable pillar type memory device and a manufacturing method thereof. The memory device includes a substrate including a first plane. A first contact is formed on or above the substrate plane. A body is formed on the first contact. A second contact is formed on the main body, wherein the second contact is at least partially aligned above the first contact. A control gate is formed adjacent the charge storage medium. A read current flows between the first contact and the second contact, and the direction is orthogonal to the plane of the substrate. Another preferred embodiment of the present invention provides a field-effect transistor, which includes a source, an electrode, a channel, a gate, and at least one insulating layer between the gate and the channel; and includes a The gate line extends substantially parallel to the source-channel-drain direction, contacts the gate, and is self-aligned to the gate. Another preferred embodiment of the present invention is for a three-dimensional non-volatile memory array, which includes a plurality of vertically separated device stages, each stage contains a TFT EEPROMs array, and each TFT EEPR0M contains One channel, source and -12- This paper size applies Chinese National Standard (CNS) A4 specification (210X 297 mm) 540086 A7 B7 5. Description of the invention (10 non-polar area and a charge storage area adjacent to the channel; in A plurality of bit line rows in each device level, each bit line contacting a source or drain region of the TFT EEPROMs; a plurality of word line columns in each device level; and at least one between the device levels Interlayer insulation layer. Another preferred embodiment of the present invention provides an EEPROM, which includes a channel, a source, an electrode, and a through dielectric on the channel, and on the through dielectric. Floating gate above the ground, a side wall spacer located adjacent to the side wall of the floating gate, a word line above the floating gate, and a control gate dielectric between the control gate and the floating gate The control gate dielectric is located at On the side wall spacer. Another preferred embodiment of the present invention provides a non-volatile memory cell array, wherein each memory cell includes a semiconductor device, and the cell size of each bit of the memory is (2F2) / N, here the smallest feature size, and N is the number of device layers in the third dimension, and N > 1. Another preferred embodiment of the present invention provides a method for manufacturing EEPROM, It includes: providing a semiconductor active area surface; forming a charge storage area on the active area surface; forming a conductive gate layer on the charge storage area; and patterning the gate layer to form a covered charge The control gate of the storage area. The method also includes using the control gate mask to dope the active area surface to form the source and drain regions in the active area surface; forming on and adjacent to the control gate A first insulating layer; the exposed grandson without the shadow mask on the top of the control gate: and forming a word line 'that contacts the top of the g-gate exposed, so that the word line is self-aligned to the control gate. Falun Yue Provided a method of manufacture thereof embodiment

裝 訂Binding

540086 A7 B7 發明説明 ,其包έ ·提供一半導體活性區面;在該活性區面上面形成 一穿隧介電質層;在該穿隧介電質層上面形成一導電閘極 層,圖型化該閘極層以形成一覆蓋穿隧介電質層之浮動閘 極;以及,用該浮動閘極為遮罩來摻雜活性區面,而在活性 區面中形成源極和汲極區域。該方法亦包含:在浮動閘極的 側壁鄰近形成側壁間隔物;在該等側壁間隔物之上及鄰近, 並在該等源極和汲極區域之上,形成一第一絕緣層;在浮動 閘極上面形成一控制閘極介電質層;以及,在該控制閘極介 電質層上面,並在該第一絕緣層上面,形成一字線。 本發明之另一較佳具體實施例提供一形成非揮發性記憶 植陣列之方法,其包含:形成一半導體活性層;在該活性層 上面形成一第一絕緣層;在該第一絕緣層上面形成複數個 閘極電極;以及,用該等閘極電極為遮罩來摻雜活性層,而 在活性層中形成複數個源極和汲極區域,並形成複數個大 致直交於源極一汲極方向而延伸之位元線。該方法亦包含·· 在閘極電極之上及鄰近,並在該等源極區域、汲極區域及位 兀線又上,形成一第二絕緣層;平面化該第二絕緣層;以及 ,在第二絕緣層上面形成複數個大致平行於源極一汲極方 向而延伸之字線。 本發明之另一較佳具體實施例提供一製造EEpR〇M陣列之 方法,其包含:提供一半導體活性區面;在該活性區面之上 形成複數個虛設塊(du_y block)厂用該等虛設塊為遮罩來摻 4活性區面,而在活性區面中形成源極和汲極區域;在該等 虛。又塊之上及其間形成一一整絕緣層;平面化該完整絕緣540086 A7 B7 invention description, which includes: providing a semiconductor active area surface; forming a tunneling dielectric layer on the active area surface; forming a conductive gate layer on the tunneling dielectric layer, pattern The gate layer is changed to form a floating gate covering the tunneling dielectric layer; and the active gate surface is doped with the floating gate mask to form source and drain regions in the active region surface. The method also includes: forming sidewall spacers adjacent to the sidewalls of the floating gate; forming a first insulating layer on and adjacent to the sidewall spacers and above the source and drain regions; and floating the A control gate dielectric layer is formed on the gate; and a word line is formed on the control gate dielectric layer and on the first insulating layer. Another preferred embodiment of the present invention provides a method for forming a non-volatile memory implant array, which includes: forming a semiconductor active layer; forming a first insulating layer on the active layer; on the first insulating layer Forming a plurality of gate electrodes; and using the gate electrodes as a mask to dope the active layer, and forming a plurality of source and drain regions in the active layer, and forming a plurality of approximately perpendicular to the source drain A bit line extending in the polar direction. The method also includes forming a second insulating layer on and adjacent to the gate electrode and on the source region, the drain region, and the bit line; planarizing the second insulating layer; and, A plurality of zigzag lines extending substantially parallel to the source-drain direction are formed on the second insulating layer. Another preferred embodiment of the present invention provides a method for manufacturing an EEPROM array, which includes: providing a semiconductor active area surface; and forming a plurality of du_y blocks on the active area surface for factory use. The dummy block is a mask to mix the 4 active area planes, and the source and drain regions are formed in the active area planes; A whole insulation layer is formed on and between the blocks; the complete insulation is planarized

裝 訂Binding

-14- 540086 A7 B7 五、發明説明(12 ) 層,以曝露虛設塊的頂部;從平面化的完整絕緣層各部份間 選擇性地移除虛設塊,而在完整絕緣層各部份間形成複數 個通孔;在該複數個通孔中的活性區面上面形成電荷儲存 區域;在該等電荷儲存區域上面形成一導電閘極層;以及, 圖型化該導電閘極層以形成一覆蓋電荷儲存區域之控制閘 極。 本發明之另一較佳具體實施例提供一形成TFT EEPROM之 方法,其包含:形成一 TFT EEPROM,其含一非晶系矽或多晶 矽活性層、一電荷儲存區域及一控制閘極;提供一結晶作用 催化劑,與該活性層接觸;以及,在提供該催化劑而用該催 化劑再結晶活性層之步驟後,將活性層加熱。 本發明之另一較佳具體實施例提供一由配置在基板之上 的薄膜電晶體所建構之二維或三維記憶體陣列。在一第一 方向配置的間隔分開的導體,接觸一在異於第一方向之第 二方向配置的導軌堆疊中所形成的記憶體單元。一局域電 荷陷獲媒體接收並儲存形成於該等間隔分開的導體與導軌 堆疊相交處之薄膜電晶體所注射的熱電子。該局域電荷陷 獲媒體可用來儲存電晶體汲極鄰近的電荷;必要時,反轉汲 極與源極線,可藉而在每一記憶體單元中儲存二個位元。以 程式化方法,則保證已儲存的記憶體不會因疏忽而受擾。 本發明之另一較佳具體實施例提供一建構於一基板之上 之非揮胥性薄膜電晶體(TFT)記憶~裝置。其使用過渡金屬結 晶矽所形成的源極、汲極及通道。一局域電荷儲存膜垂直地 鄰近該通道而配置,並儲存注射的電荷。如此的裝置,其二 -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(13 ) 維或三維陣列可建構於該基板之上。在一第一方向配置之 間隔分開的導體,接觸在一異於第一方向之第二方向配置 的導軌堆疊中所形成的記憶體單元。TFTs係在該等間隔分開 的導體與該等導軌堆疊相交處形成;TFTs所注射的電荷由該 局域電荷儲存膜所接收並儲存。局域電荷儲存膜可用來儲 存一電晶體汲極鄰近的電荷;必要時,反轉汲極與源極線, 可藉而在每一記憶體單元中錯存二個位元。以程式化方法, 則保證已儲存的記憶體不會因疏忽而受擾。 本發明之另一較佳具體實施例提供一配置於一基板之上 的快閃記憶體陣列,該陣列包含第一複數個間隔分開的導 電位元線,其係在一第一方向配置至該基板之上之一第一 高度處;以及第二複數個間隔分開的導軌堆疊,其係在一異 於第一方向之第二方向配置至一第二高度處,每一導軌堆 疊皆含複數個半導體島(semiconductor islands),而該等半導體 島之第一表面接觸該第一複數個間隔分開的導電位元線、 一導電字線,及配置在半導體島之第二表面與該字線之間 的電何儲存區域。 本發明之另一較佳具體實施例提供一 TFT CMOS(互補金屬 氧化物半導體)裝置,其包含一閘極電極、一鄰近該閘極電 極第一側的第一絕緣層,且包含··一第一半導體層,其具有 第一導電率型,而配置於第一絕緣層上對立於閘極電極的 另一側JE:;第二導電率型之第一 ^極和汲極區域,配置於第 一半導體層中;以及,第一源極和汲極電極,接觸第一源極 和汲極區域,而配置於第一半導體層上對立第一絕緣層的 -16- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂-14- 540086 A7 B7 V. Description of the invention (12) layer to expose the top of the dummy block; selectively remove the dummy block from the parts of the planarized complete insulation layer, and between the parts of the complete insulation layer Forming a plurality of through-holes; forming a charge storage region on the active area surface of the plurality of through-holes; forming a conductive gate layer on the charge storage regions; and patterning the conductive gate layer to form a A control gate covering a charge storage area. Another preferred embodiment of the present invention provides a method for forming a TFT EEPROM, which includes forming a TFT EEPROM including an amorphous silicon or polycrystalline silicon active layer, a charge storage region, and a control gate; providing a A crystallization catalyst is brought into contact with the active layer; and after the step of providing the catalyst and recrystallizing the active layer using the catalyst, the active layer is heated. Another preferred embodiment of the present invention provides a two-dimensional or three-dimensional memory array constructed by a thin film transistor disposed on a substrate. The spaced-apart conductors arranged in a first direction contact a memory unit formed in a rail stack arranged in a second direction different from the first direction. A localized charge trap is received by the media and stores the thermoelectrons injected by the thin film transistors formed at the intersections of the spaced-apart conductors and rail stacks. The local charge trapping medium can be used to store the charge near the drain of the transistor; if necessary, the drain and source lines are inverted to store two bits in each memory cell. The programmatic approach guarantees that stored memory is not inadvertently disturbed. Another preferred embodiment of the present invention provides a non-volatile thin film transistor (TFT) memory device constructed on a substrate. It uses source, drain, and channel formed from transition metal junction silicon. A localized charge storage film is disposed vertically adjacent to the channel and stores the injected charge. Such a device, the second one is -15- This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 B7 V. Description of the invention (13) A three-dimensional or three-dimensional array can be constructed on the substrate. The spaced-apart conductors arranged in a first direction contact the memory cells formed in a rail stack arranged in a second direction different from the first direction. TFTs are formed at the intersection of the spaced-apart conductors and the rail stacks; the charge injected by TFTs is received and stored by the local charge storage film. The local charge storage film can be used to store the charge adjacent to the drain of a transistor; if necessary, the drain and source lines are inverted to stagger two bits in each memory cell. The programmatic approach guarantees that stored memory is not inadvertently disturbed. Another preferred embodiment of the present invention provides a flash memory array disposed on a substrate. The array includes a first plurality of spaced apart conductive bit lines arranged in a first direction to the At a first height above the substrate; and a second plurality of spaced apart rail stacks, which are arranged in a second direction different from the first direction to a second height, each rail stack includes a plurality of Semiconductor islands, and a first surface of the semiconductor islands contacts the first plurality of spaced apart conductive bit lines, a conductive word line, and is disposed between the second surface of the semiconductor island and the word line Storage area for electricity. Another preferred embodiment of the present invention provides a TFT CMOS (Complementary Metal Oxide Semiconductor) device, which includes a gate electrode, a first insulating layer adjacent to the first side of the gate electrode, and includes a ... The first semiconductor layer has a first conductivity type, and is disposed on the first insulating layer opposite to the other side of the gate electrode JE :; the first and drain regions of the second conductivity type are arranged on In the first semiconductor layer; and, the first source and drain electrodes contact the first source and drain regions, and are arranged on the first semiconductor layer opposite to the first insulating layer. Standard (CNS) A4 size (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(14 ) 另一側上。該TFT CMOS裝置進一步包含一鄰近閘極電極第 二側的第二絕緣層;且含··一第二半導體層,具有第二導電 率型,而配置於第二絕緣層上對立於閘極電極的另一側上; 第一導電率型之第二源極和汲極區域,配置於第二半導體 層中;以及,第二源極和汲極電極,接觸第二源極和汲極區 域,而配置於第二半導體層上對立第二絕緣層的另一側上。 本發明之另一較佳具體實施例提供一電路,其包含複數 個電荷儲存裝置及複數個無熔絲裝置。 本發明之另一較佳具體實施例提供一半導體裝置,其包 含一半導體活性區域、一鄰近該半導體活性區域的電荷儲 存區域、一第一電極,及一第二電極。當一第一程式化電壓 施該第一與第二電極間,則電荷儲存於該電荷儲存區域中; 而且,當一高於該第一電壓之第二程式化電壓施第一與第 二電極間,則有一導電鏈形成,穿過電荷儲存區域,而在第 一與第二電極間形成一導電路徑。 圖式簡單說明 圖1A闡示依據本發明一具體實施例之導柱式記憶體。 圖1B為依據本發明一具體實施例之導柱式記憶體的立體 圖,其中有單一個電荷儲存媒體及單一個圍繞導柱之控制 閘極。 圖1C為依據本發明一具體實施例之導柱式記憶體的立體 圖,其厂有多個電荷儲存媒體及多個圍繞導柱之控制閘極。 圖2闡示依據本發明一具體實施例之導柱式記憶體。 圖3A-3D闡示依據本發明一具體實施例之超細通道導柱式 -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 540086 A7 B7 五、發明説明(15 記憶裝置,及其製造方法。 圖4闡示依據本發明一具體實施例之導柱式記憶體,其中 有蕭特基接點(Schottky contacts)。 圖5闡示依據本發明一具體實施例之閘控二極體導柱式記 憶體。 圖6闡示依據本發明一具體實施例之導柱式記憶體,其中 有一奈米晶體(nanocrystal)浮動閘極。 裝 圖7闡示依據本發明一具體實施例之導柱式記憶體,其中 有一電荷陷獲介電質。 圖8A及8B闡示一利用顯式(explicit)導柱形成製程來形成導 柱的方法。 圖9A及9B闡示一利用相交蝕刻技術來形成導柱的方法。 圖10A-10E闡示一利用「間隔物I虫刻(spacer etch)」技術,依 據本發明一具體實施例來形成導柱式記憶裝置的方法。 圖11A-11C顯示相鄰導柱間之控制閘極隔絕,也闡示一在 相鄰導柱式記憶體間形成一共用的控制閘極的方法。Line 540086 A7 B7 V. Description of the invention (14) on the other side. The TFT CMOS device further includes a second insulating layer adjacent to the second side of the gate electrode; and includes a second semiconductor layer having a second conductivity type and disposed on the second insulating layer opposite to the gate electrode On the other side; the second source and drain regions of the first conductivity type are disposed in the second semiconductor layer; and the second source and drain electrodes contact the second source and drain regions, And disposed on the other side of the second semiconductor layer opposite to the second insulating layer. Another preferred embodiment of the present invention provides a circuit including a plurality of charge storage devices and a plurality of non-fuse devices. Another preferred embodiment of the present invention provides a semiconductor device including a semiconductor active region, a charge storage region adjacent to the semiconductor active region, a first electrode, and a second electrode. When a first stylized voltage is applied between the first and second electrodes, charges are stored in the charge storage region; and when a second stylized voltage higher than the first voltage is applied to the first and second electrodes Between them, a conductive chain is formed to pass through the charge storage region, and a conductive path is formed between the first and second electrodes. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1A illustrates a pillar-type memory according to an embodiment of the present invention. FIG. 1B is a perspective view of a pillar-type memory according to a specific embodiment of the present invention, in which there is a single charge storage medium and a single control gate surrounding the pillar. Fig. 1C is a perspective view of a guide post type memory according to a specific embodiment of the present invention. The factory has a plurality of charge storage media and a plurality of control gates surrounding the guide post. FIG. 2 illustrates a pillar-type memory according to an embodiment of the present invention. Figures 3A-3D illustrate a superfine channel guide post type according to a specific embodiment of the present invention-17- The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) 540086 A7 B7 V. Description of the invention (15 Memory device and manufacturing method thereof. Fig. 4 illustrates a pillar-type memory according to a specific embodiment of the present invention, including Schottky contacts. Fig. 5 illustrates a memory according to a specific embodiment of the present invention. Gate-controlled diode-guided pillar memory. FIG. 6 illustrates a pillar-type memory according to a specific embodiment of the present invention, in which a nanocrystal floating gate is installed. FIG. 7 illustrates a structure according to the present invention. The pillar-type memory of a specific embodiment has a charge trapping dielectric therein. FIGS. 8A and 8B illustrate a method for forming a pillar using an explicit pillar-forming process. FIGS. 9A and 9B illustrate a A method for forming a guide post by using an intersecting etching technique. FIGS. 10A-10E illustrate a method for forming a guide post memory device according to a specific embodiment of the present invention by using a “spacer etch” technique. 11A-11C shows the distance between adjacent guide posts Gate insulation system, shown also explain a control method of a common gate between adjacent guide column memory formation.

線 圖12A及12B闡示一在二或更多級導柱式記憶體間形成一 共用的連續膜控制閘極的方法。 圖 13A、圖 13B、圖 14A、圖 14B、圖 15A、圖 15B、圖 16A、圖 16B、圖 17A、圖 17B、圖 18A、圖 18B、圖 19A、圖 19B、圖 20A、 圖 20B、圖 21A、圖 21B、圖 22A、圖 22B、圖 2 3A、圖 2 3B、圖 23C、圖 24、圖 25A、圖 25B、圖 26、圖 27A、圖 27B、及圖 2 8闡示一依據本發明一具體實施例來製造多級導柱式記憶 體的方法。 圖29A表示本發明一具體實施例之記憶體單元。 圖29B闡示圖29A單元。 圖30為依據本發明一具體實施例所建造之二端子單元的 截面正視圖。 __-18- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 五、發明説明(1δ ) 圖31為依據本發明 截面正視圖。 具體實施例所建造之三端子單元的 圖32為使用依據本發明 之三端子記憶體陣列的截 一具體實施例所建造之導軌堆 面正視圖。 疊 圖33為依據本發明 柱之單元的透視圖。 一具體實施例而在基板之上形成為導 圖°4為形成為導柱之單元的另-具體實施例。 圖35及36為三維裝置陣列的概示圖。 圖為曰曰圓在〇Ν〇介電f、第一問極電極、保護性氧化 物隸塞氮化物層依據本發明—具體實施例之方法而沈積 之後的截面側視圖。 μ圖。8為-!己憶體陣列在位元線圖型化及源極/汲極佈植之 後的截面側視圖。該截面係直交於位元線❶ 圖為陣列在自對準碎化物製程之後的截面側視圖。該截 面係直交於位元線。 圖40為陣列在氧化物填充及平面化之後的截面側視圖。該 截面係直交於位元線。 圖41為陣列在阻塞層經移除之後的截面側視圖。該截面係 直交於位元線。 圖42為陣列在字線形成期間的截面側視圖。該截面係直交 於位元線。 圖43為陣列在字線沿圖42之線形成期間的截面側視圖 。該截面係直交於字線,且通過一位元線。 圖44為陣列在字線沿圖42之線B-B形成期間的截面側視圖 ____-19- 本蛛張尺度適用中國國家標準(CNS) Α4規格(210 X 297公爱:) 540086 A7 B7 五、發明説明(17 ) 。該截面係直交於字線,且通過一電晶體通道。 圖45為一·第二較佳具體實施例陣列在氧化物填充及平面 化之後的截面側視圖。該截面係直交於位元線。 圖46為第二較佳具體實施例陣列在字線形成之後的截面 側視圖。該截面係直交於位元線。 圖47為一較佳具體實施例陣列在字線形成之後的截面側 視圖。該截面係直交於位元線。 圖48A-C及49A-C闡示一製造一較佳具體實施例陣列之丁FT 的替代方法。 圖50及51為一較佳具體實施例之二個較佳陣列在字線形成 之後的截面側視圖。該截面係直交於位元線。 圖52為一較佳具體實施例之三維陣列的三維視圖。 圖53為同一級之一字線接點導體和一位元線接點導體的 截面側視圖。其開口係製造做下一級接點之用。 圖54為第N+ 1級上之字線接點導體與第N級之字線和位元 線接點導體的截面側視圖。在第N+ 1級導體中,定位墊 (landing pads)係製造做下一級接點之用。 圖55-61為一製造一較佳具體實施例陣列之方法的截面側 視圖。該截面係直交於位元線。 圖62為本發明一較佳具體實施例陣列在結晶窗口 (crystallization windows)形成之後的頂視圖。 圖63及764分別為圖62中之線A-Al B-B的截面側視圖。該截 面係直交於圖63中位元線,且平行於圖64中位元線。 圖65為一較佳具體實施例陣列在活性層結晶之後的頂視 -20-Lines 12A and 12B illustrate a method for forming a common continuous film control gate between two or more stages of pillar memory. 13A, 13B, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 20A, 20B, 21A 21B, FIG. 22A, FIG. 22B, FIG. 2 3A, FIG. 2 3B, FIG. 23C, FIG. 24, FIG. 25A, FIG. 25B, FIG. 26, FIG. 27A, FIG. 27B, and FIG. A specific embodiment is a method for manufacturing a multi-level guide pillar type memory. FIG. 29A shows a memory unit according to a specific embodiment of the present invention. Fig. 29B illustrates the unit of Fig. 29A. Fig. 30 is a sectional front view of a two terminal unit constructed according to a specific embodiment of the present invention. __18- This paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm). 5. Description of the invention (1δ) Figure 31 is a sectional front view according to the present invention. FIG. 32 is a front view of a rail stack constructed using a three-terminal memory array according to a specific embodiment of the present invention. Figure 33 is a perspective view of a unit of a column according to the present invention. A specific embodiment is formed as a guide pattern on a substrate. 4 is another specific embodiment of a unit formed as a guide post. 35 and 36 are schematic diagrams of a three-dimensional device array. The figure is a cross-sectional side view of a circle after the ZO dielectric f, the first interrogation electrode, and the protective oxide-plugged nitride layer are deposited according to the method of the present invention. μ Figure. 8 is a cross-sectional side view of the-! Memory array after bit line patterning and source / drain implantation. The cross section is orthogonal to the bit line. The picture shows a cross-sectional side view of the array after the self-aligned fragmentation process. The section is orthogonal to the bit line. FIG. 40 is a cross-sectional side view of the array after oxide filling and planarization. The cross section is orthogonal to the bit line. FIG. 41 is a cross-sectional side view of the array after the blocking layer is removed. The cross section is orthogonal to the bit line. FIG. 42 is a cross-sectional side view of an array during word line formation. The cross section is orthogonal to the bit line. 43 is a cross-sectional side view of the array during the formation of a word line along the line of FIG. 42. The cross section is orthogonal to the word line and passes through a bit line. Figure 44 is a cross-sectional side view of the array during the formation of the word line along the line BB in Figure 42. ____- 19- This spider scale applies the Chinese National Standard (CNS) A4 specification (210 X 297 public love :) 540086 A7 B7 V. Invention description (17). The cross section is orthogonal to the word line and passes through a transistor channel. Figure 45 is a cross-sectional side view of a second preferred embodiment of the array after oxide filling and planarization. The cross section is orthogonal to the bit line. Fig. 46 is a cross-sectional side view of a second preferred embodiment of the array after word line formation. The cross section is orthogonal to the bit line. Fig. 47 is a cross-sectional side view of a preferred embodiment of the array after word line formation. The cross section is orthogonal to the bit line. Figures 48A-C and 49A-C illustrate an alternative method of fabricating the T-FT of a preferred embodiment array. Figures 50 and 51 are cross-sectional side views of two preferred arrays of a preferred embodiment after word line formation. The cross section is orthogonal to the bit line. FIG. 52 is a three-dimensional view of a three-dimensional array of a preferred embodiment. Fig. 53 is a sectional side view of a word line contact conductor and a one-bit line contact conductor in the same stage. The opening is made for the next level of contact. Fig. 54 is a cross-sectional side view of a zigzag line contact conductor on the N + 1th level and a zigzag line and bit line contact conductor on the Nth level. In N + 1 level conductors, landing pads are manufactured for the next level of contacts. 55-61 are cross-sectional side views of a method of manufacturing an array of a preferred embodiment. The cross section is orthogonal to the bit line. FIG. 62 is a top view of an array after a crystallization window is formed in a preferred embodiment of the present invention. 63 and 764 are cross-sectional side views of lines A-Al B-B in FIG. 62, respectively. The cross section is orthogonal to the bit line in FIG. 63 and parallel to the bit line in FIG. 64. Figure 65 is a top view of a preferred embodiment of the array after crystallization of the active layer. -20-

裝 訂Binding

線 本紙張尺度適用中國國家標準(CNS) A4規格(2l〇x 297公釐) 540086 A7 B7 五、發明説明(18 ) 圖。 圖66顯示依據本發明一特定具體實施例之二維記憶體陣 列的前透視圖。 圖67顯示依據本發明一特定具體實施例之二維記憶體陣 列的截面正視圖。 圖68顯示依據本發明一特定具體實施例之記憶體陣列的 平面頂視圖。 圖69顯示依據本發明一特定具體實施例之三維記憶體陣 列的截面正視圖。 圖70顯示依據本發明一特定具體實施例之二維記憶體陣 列的截面正視圖。 圖71顯示依據本發明一特定具體實施例之三維記憶體陣 列的截面正視圖。 圖72顯示依據本發明一特定具體實施例之記憶體陣列的 截面正視圖。 圖73顯示依據本發明一特定具體實施例之三維記憶體陣 列的截面正視圖。 圖74及75闡示依據本發明一特定具體實施例來程式化記憶 體單元所用的方法。 圖76闡示一依據本發明一特定具體實施例來製造記憶體 單元的方法。 圖闡示一介電質堆疊上之一 SONOS的截面圖。 圖78為闡示一奈米晶狀(nanocrystalline)電荷儲存媒體的截面 圖。 -21 - 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐)LINE This paper size applies to China National Standard (CNS) A4 (2l0x 297 mm) 540086 A7 B7 5. Description of the invention (18) Fig. 66 shows a front perspective view of a two-dimensional memory array according to a specific embodiment of the present invention. Fig. 67 shows a cross-sectional front view of a two-dimensional memory array according to a specific embodiment of the present invention. FIG. 68 shows a top plan view of a memory array according to a specific embodiment of the present invention. FIG. 69 shows a cross-sectional front view of a three-dimensional memory array according to a specific embodiment of the present invention. FIG. 70 shows a cross-sectional front view of a two-dimensional memory array according to a specific embodiment of the present invention. FIG. 71 shows a cross-sectional front view of a three-dimensional memory array according to a specific embodiment of the present invention. FIG. 72 shows a cross-sectional front view of a memory array according to a specific embodiment of the present invention. FIG. 73 shows a cross-sectional front view of a three-dimensional memory array according to a specific embodiment of the present invention. Figures 74 and 75 illustrate a method for programming a memory cell according to a particular embodiment of the invention. FIG. 76 illustrates a method of manufacturing a memory cell according to a specific embodiment of the present invention. The figure illustrates a cross-sectional view of one of the SONOS on a dielectric stack. Fig. 78 is a cross-sectional view illustrating a nanocrystalline charge storage medium. -21-This paper size applies to China National Standard (CNS) A4 (21 × 297 mm)

線 540086 A7 B7 五、發明説明(19 ) 圖79為一摻雜的多晶矽位元線的截面圖,在該位元線其中 係形成一对溶的(refractory)金屬石夕化物,以改良橫向導電率。 圖80為依據本發明一特定具體實施例之基板的截面圖。 圖81A-81H闡示依據本發明一特定具體實施例之記憶體陣 列的製造步驟。 裝 圖82A-82I闡示依據本發明一特定具體實施例之記憶體陣 列的製造步騾。 圖83A、圖83B、圖84及圖85闡示依據本發明一較佳具體實 施例之快閃記憶體陣列。 圖86A-86J闡示製造圖83-85陣列的方法。 圖87闡示依據本發明一較佳具體實施例之CMOS陣列。 圖88A-88D闡示一製造圖87之CMOS陣列的方法。 圖89-92闡示使用了圖87之CMOS陣列的邏輯及記憶電路。Line 540086 A7 B7 V. Description of the invention (19) Figure 79 is a cross-sectional view of a doped polycrystalline silicon bit line, in which a pair of refractory metal petrified compounds are formed to improve lateral conductivity rate. FIG. 80 is a cross-sectional view of a substrate according to a specific embodiment of the present invention. 81A-81H illustrate manufacturing steps of a memory array according to a specific embodiment of the present invention. Figures 82A-82I illustrate manufacturing steps for a memory array according to a particular embodiment of the present invention. 83A, 83B, 84 and 85 illustrate a flash memory array according to a preferred embodiment of the present invention. Figures 86A-86J illustrate a method of manufacturing the array of Figures 83-85. FIG. 87 illustrates a CMOS array according to a preferred embodiment of the present invention. 88A-88D illustrate a method of manufacturing the CMOS array of FIG. 87. 89-92 illustrate logic and memory circuits using the CMOS array of FIG. 87.

線 圖93為闡示一供製造結晶化的非晶系矽層用之製程的流 程圖,而該結晶化的非晶系矽層係用於依據本發明一特定 具體實施例之非揮發性TFT記憶裝置。 圖94A-94H為闡示圖93製程步騾的垂直截面圖。 圖95為一矽晶圓在依圖93製程處理之後的部份平面頂視圖 〇 圖96-101闡示先前技藝裝置。 較佳具體實施例詳細說明 本發明切實了解:提高裝置密度,可降低記憶及邏輯裝置 的成本。因此,本發明乃提供一種超緊密的電荷儲存半導體 裝置矩陣陣列,其有提高的密度及較低的成本。 ___ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(20 ) 改良裝置密度的方法之一,是將裝置安排在一含複數個 裝置級之單石三維電荷儲存裝置陣列之中。「單石」一辭, 意謂陣列每一級之層係直接沈積在每一底下級之層上。二 維陣列則迥異·,其可分離地形成再一同組裝,而形成非單石 的記憶裝置。 為形成如此的三維陣列,尤其是具有四層或更多層之陣 列,在二個連續的裝置級之間有至少一個表面藉化學機械 研磨法(CMP)而平面化。與其他平面化方法(諸如回蝕)迥異, 化學機械研磨法容許充份的平面化程度^而得以一級在另 一級頂部堆疊出多個可做商用的裝置級。本發明人發現,在 三維記憶體陣列中,化學機械研磨法典型地達成在平整度 的領域内數量級在4000埃(Angstroms)或更小之平度(flatness”即 ,數量級在10至50毫米之面積上會有4000埃或更小之峰至峰 粗度(peak to peak roughness)值,縱然是在陣列已有4至8層形成 之後。較佳的是,經CMP研磨後,陣列中一層的峰至峰粗度 為3000埃或更小,諸如500至1000埃(在平整度的領域内)。與此 迥異的,單藉回蝕一般則無法提供充份的平度以獲致適合 商用的三維記憶體或邏輯單石陣列。 舉例來說,「二個連續的裝置級之間有至少一個表面藉化 學機械研磨法而平面化」一辭,不只涵括配置在裝置層之間 的層間絕緣層表面,也包括形成於底部及中間裝置層中的 表面。0此,在陣列之每一中間及底部裝置級中的導電及/ 或絕緣層表面皆係藉化學機械研磨法而平面化。因此,如果 陣列包含至少四個裝置級,則至少三個裝置級間皆應有至 -23- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line diagram 93 is a flowchart illustrating a process for manufacturing a crystallized amorphous silicon layer, and the crystallized amorphous silicon layer is used for a nonvolatile TFT according to a specific embodiment of the present invention. Memory device. 94A-94H are vertical sectional views illustrating steps in the process of FIG. 93. Fig. 95 is a partial plan top view of a silicon wafer after being processed according to the process of Fig. 93. Fig. 96-101 illustrates a prior art device. Detailed description of preferred embodiments The present invention truly understands that: increasing the density of the device can reduce the cost of memory and logic devices. Therefore, the present invention provides an ultra-compact charge storage semiconductor device matrix array, which has improved density and lower cost. ___ This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 B7 V. Description of the invention (20) One of the methods to improve the density of the device is to arrange the device in a device level with multiple device levels. Monolithic three-dimensional charge storage device array. The word "monolithic" means that the layers of each level of the array are deposited directly on the layers of each bottom level. Two-dimensional arrays are very different. They can be formed separately and assembled together to form a non-monolithic memory device. To form such a three-dimensional array, especially an array having four or more layers, at least one surface between two consecutive device levels is planarized by chemical mechanical polishing (CMP). Unlike other planarization methods (such as etch back), chemical mechanical polishing allows a sufficient degree of planarization ^ to allow multiple commercially available device levels to be stacked on top of one another. The inventors have found that in three-dimensional memory arrays, chemical mechanical polishing typically achieves flatness in the field of flatness of the order of 4000 Angstroms (Angstroms) or less, that is, in the order of 10 to 50 mm The area will have a peak to peak roughness value of 4000 Angstroms or less, even after the array has 4 to 8 layers formed. Preferably, after CMP polishing, the Peak-to-peak roughness is 3000 angstroms or less, such as 500 to 1000 angstroms (in the area of flatness). In contrast, etchback alone does not generally provide sufficient flatness to achieve a three-dimensional commercial fit. Memory or logic monolithic array. For example, the phrase "at least one surface between two consecutive device levels is planarized by CMP" does not only include the interlayer insulation layer disposed between the device layers The surface also includes the surface formed in the bottom and intermediate device layers. 0 Here, the surface of the conductive and / or insulating layer in each intermediate and bottom device level of the array is planarized by chemical mechanical polishing. Therefore, If the array Including at least four device levels, at least three device levels should be between -23- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) binding

540086 A7 B7 五、發明説明(ζι ) 少一個表面藉化學機械研磨法而平面化。在頂部裝置級中 的導電及/或絕緣層表面也可藉化學機械研磨法而平面化。 改良裝置密度的另一方法,是垂直整合驅動器或週邊電 路與記憶體或邏輯陣列。在先前技藝中,週邊電路係在單晶 狀矽基板的週邊中形成,而記憶體或邏輯陣列係在基板的 其他部份形成(鄰近週邊電路”因此,週邊電路在先前技藝 裝置中佔據了寶貴的基板空間。本發明一較佳具體實施例 與此迥異,其提供一單石三維電荷儲存裝置陣列,形成於一 單晶狀半導體基板上面之非晶系或多晶狀半導體層中,而 驅動器(即,週邊)電路至少局部,較佳者則全部係形成於基 板中,在陣列之下、陣列之内或陣列之上。較佳的是,驅動 器電路包含感測放大器(sense amp)及電荷泵至少其一,而全 部或部份地形成於基板中而在陣列以下。 圖35概示一電荷儲存邏輯或記憶裝置陣列3101,其係形成 於一層間絕緣層3102,而層間絕緣層3102係配置在一單晶狀 基板3105之上。電荷儲存邏輯或記憶裝置陣列3101係如此安 排,而為一在非晶系或多晶矽層中的三維單石陣列薄膜電 晶體或二極體。陣列3101有複數個裝置級3104,而宜以層間 絕緣層來分離。驅動器電路3103,諸如感測放大器及電荷泵 ,係配置在單晶狀基板3105中,做為CMOS或其他電晶體。圖 36概示一電荷儲存邏輯或記憶裝置陣列3101,其係形成於一 單晶狀基板3105之上,而為非晶系或多晶矽層中的薄膜電晶 體或二極體。驅動器電路3103,諸如感測放大器及電荷泵, 係形成於陣列3101以内及/或陣列3101以上。 -24- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(22 ) 改良裝置密度的另一方法,是做自調正,而使用相同的微 影步驟來_圖型化不同之層。裝置單元的面積因失準 (misalignment)容差而擴大,該容差係為保證不同層上的特徵 冗全重疊。如此,本發明人發展了一種完全或部份對準的記 憶體單元結構,其不要求失準容差,或僅要求減小的失準容 差。在如此的單元結構中,某些裝置特徵可自對準其他裝置 特徵,而不需微影步騾來圖型化。或者,可以用相同的抗光 蝕遮罩來蝕刻複數層;抑或,可用一已圖型化的上部裝置層 為遮罩,來蝕刻一下部裝置層。以下將更詳細地討論對準的 記憶體單元之特例。 該陣列之電荷儲存裝置可為任何型態之儲存電荷的半導 體裝置,諸如EPROMs或EEPROMs。在以下所詳述的本發明較 佳具體實施例中,電荷儲存裝置係以各種不同組態而形成, 諸如導柱式TFT EEPROM、具一電荷儲存區域之導柱式二極 體、自對準TFT EEPROM、導軌堆疊式TFT EEPROM,及各種 不同的其他組態。這些組態皆為裝置提供高度的平面性及 對準或自對準性,而提高陣列密度。 舉例來說,在導柱式TFT EEPROM或具一電荷儲存區域之 導柱式二極體中,半導體活性區域至少一側係對準一個接 觸該半導體活性區域之電極。如此,在導柱式TFT EEPROM 組態中,半導體活性區域對準了源極和汲極兩電極。此對準 性之發1,係因半導體活性區域至少兩側和電極其中之一 在相同的微影步驟中圖型化(即,使用相同的抗光蝕遮罩, 或者用一層做為另一層之遮罩)。 -25- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂540086 A7 B7 5. Description of the invention (ζι) One surface is flattened by chemical mechanical polishing. The surface of the conductive and / or insulating layer in the top device level can also be planarized by chemical mechanical polishing. Another way to improve device density is to vertically integrate drives or peripheral circuits with memory or logic arrays. In the prior art, the peripheral circuits were formed in the periphery of the single crystal silicon substrate, and the memory or logic array was formed in other parts of the substrate (adjacent to the peripheral circuits). Therefore, the peripheral circuits occupy valuable in the prior art devices. Substrate space. A preferred embodiment of the present invention is quite different. It provides a monolithic three-dimensional charge storage device array formed in an amorphous or polycrystalline semiconductor layer on a single crystalline semiconductor substrate, and the driver (Ie, peripheral) circuits are at least partially, preferably all formed in a substrate, under the array, within the array, or above the array. Preferably, the driver circuit includes a sense amp and a charge At least one of the pumps is formed entirely or partially in the substrate and below the array. Figure 35 shows a charge storage logic or memory device array 3101, which is formed on an interlayer insulating layer 3102, and the interlayer insulating layer 3102 is It is arranged on a single crystalline substrate 3105. The charge storage logic or memory device array 3101 is arranged in this way, and is a three-layer structure in an amorphous or polycrystalline silicon layer. Monolithic array thin-film transistors or diodes. Array 3101 has multiple device levels 3104, but should be separated by interlayer insulation. Driver circuits 3103, such as sense amplifiers and charge pumps, are arranged in a single crystal substrate 3105 As a CMOS or other transistor. Figure 36 shows a charge storage logic or memory device array 3101, which is formed on a single crystalline substrate 3105, and is a thin film transistor or amorphous silicon or polycrystalline silicon layer. Diodes. Driver circuits 3103, such as sense amplifiers and charge pumps, are formed within array 3101 and / or above array 3101. -24- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 540086 A7 B7 V. Explanation of the invention (22) Another method to improve the density of the device is to do self-adjustment and use the same lithography steps to pattern different layers. The area of the device unit is misalignment The tolerance is expanded to ensure that features on different layers overlap completely. In this way, the inventor has developed a fully or partially aligned memory cell structure that does not require misalignment tolerances. Only a reduced misalignment tolerance is required. In such a unit structure, some device features can be self-aligned to other device features without the need for lithography steps for patterning. Alternatively, the same photoresistance can be used Mask to etch multiple layers; alternatively, a patterned upper device layer can be used as a mask to etch the lower device layer. The following will discuss the special case of aligned memory cells in more detail. Charge storage of the array The device may be any type of semiconductor device that stores charge, such as EPROMs or EEPROMs. In the preferred embodiment of the present invention described in detail below, the charge storage device is formed in various configurations, such as a pillar-type TFT EEPROM, pillar-type diode with a charge storage area, self-aligned TFT EEPROM, rail-stacked TFT EEPROM, and various other configurations. These configurations provide the device with a high degree of planarity and alignment or self-alignment to increase array density. For example, in a pillar-type TFT EEPROM or a pillar-type diode having a charge storage region, at least one side of a semiconductor active region is aligned with an electrode that contacts the semiconductor active region. Thus, in the configuration of the pillar-type TFT EEPROM, the semiconductor active region is aligned with the source and drain electrodes. This alignment occurs because at least two sides of the semiconductor active area and one of the electrodes are patterned in the same lithography step (ie, using the same photoresist mask, or using one layer as the other layer Mask). -25- This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(23 ) 在自對準TFT中,半導體活性區域的兩側僅在該半導體活 性區域的通道部份,而不在源極和汲極區域,對準閘極電極 的一側。此對準性之發生,係因通道區域至少兩側和閘極電 極在相同的微影步驟中圖型化(即,使用相同的抗光蝕遮罩 ,或者用一層做為另一層之遮罩)。顯然不同的是,源極和沒 極區域並不做蝕刻。 在以下說明中,陳述了許多特定的細節,諸如特定的厚度 、材料等等,俾對本發明有徹底之了解。對於熟習此項技藝 者,本發明顯然毋需特定細節即可實作。在其他實例中,並 未細陳週知的概念、電路及製造技藝,以免本發明非必要地 曖昧。 下述任何具體實施例的特徵可用於其他具體實施例。第 一組具體實施例說明各種不同的導柱式裝置,第二組具體 實施例說明自對準TF丁裝置,而第三組具體實施例說明導軌 堆疊式TFT裝置。第四及第五組具體實施例說明這些裝置如 何可用於邏輯或記憶電路。最後一組具體實施例說明,用金 屬誘導結晶作用以改良裝置級的晶性(crystallinity)。 I.導柱裝置 本具體實施例係指以導柱組態(即,與基板垂直之方向, 其中裝置長度係直交於基板而安排之薄膜電晶體(TFTs)及二 極體,及其製造方法。較佳的是,該等導柱式裝置形成含垂 直讀出1流之電荷陷獲記憶體。等記憶體包含一在基板 平面之上或之上形成之第一輸入/輸出導體,及一位於該第 一輸入/輸出導體之上且與第一輸入/輸出導體間隔分開之一 -26- 本紙張瓦度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (23) In a self-aligned TFT, the two sides of the semiconductor active region are only in the channel portion of the semiconductor active region, not the source and drain regions, and the gate electrode is aligned. One side. This alignment occurs because at least two sides of the channel region and the gate electrode are patterned in the same lithography step (ie, using the same photoresist mask, or using one layer as a mask for the other ). Obviously, the source and electrode regions are not etched. In the following description, many specific details are stated, such as specific thicknesses, materials, etc., and the present invention is thoroughly understood. For those skilled in the art, it is obvious that the present invention can be implemented without specific details. In other examples, well-known concepts, circuits, and manufacturing techniques have not been elaborated so as not to unnecessarily obscure the present invention. The features of any of the specific embodiments described below can be used in other specific embodiments. The first group of specific embodiments describes various different pillar type devices, the second group of specific embodiments describes self-aligned TF devices, and the third group of specific embodiments describes rail-stacked TFT devices. The fourth and fifth sets of embodiments illustrate how these devices can be used in logic or memory circuits. The last set of specific examples illustrates the use of metal to induce crystallization to improve device-level crystallinity. I. Guide post device This specific embodiment refers to thin film transistors (TFTs) and diodes arranged in a guide post configuration (that is, a direction perpendicular to the substrate, in which the device length is orthogonal to the substrate, and a method of manufacturing the same). It is preferable that the pillar-type devices form a charge trapping memory with a vertical readout of 1 stream. The memory includes a first input / output conductor formed on or above a substrate plane, and a One above the first input / output conductor and separated from the first input / output conductor by a distance of -26- The paper wattage applies to China National Standard (CNS) A4 (210X 297 mm) binding

線 540086 A7 B7 五、發明説明(24 ) 第二輸入/輸出導體。第一輸入/輸出導體及該第二輸入/輸出 導體經定位而互相重疊或相交,以互相直交相交較佳。一半 導體區域,諸如摻雜的矽區域,在第一輸入/輸出導體與第 二輸入/輸出導體間,形成於第一輸入/輸出導體與第二輸入/ 輸出導體相交處。一電荷儲存媒體,諸如(但不限於)電荷陷 獲介電質,在該半導體區域附近形成,且影響跨越第一輸入 /輸出導體與第二輸入/輸出導體而施的給定電壓之下而流過 第一輸入/輸出導體與第二輸入/輸出導體間的半導體區域的 電流量。在單一個電壓下流過該半導體區域的電流(讀出電 流)量能用來決定電荷是否儲存在電荷儲存媒體中,從而決 定記憶體為程式化抑或遭抹除◊該流過第一輸入/輸出導體 與第二輸入/輸出導體間的半導體區域的電流係在基板(其中 或其上形成記憶體)的垂直方向流動。本具體實施例之電荷 陷獲記憶體結構以及其製造方法適可理想地整合成三維記 憶裝置陣列。 如以下所將討論的,本具體實施例之電荷陷獲記憶裝置 能由兩種一般基板其中之一來製造。在一具體實施例中,電 荷儲存媒體係在半導體區域鄰近形成;在第二具體實施例 中,電荷儲存媒體係在半導體區域之上或之下形成。 1.具相鄰電荷儲存媒體之三端子導柱式記憶體 本發明之一具體實施例,為一三端子非揮發性可堆疊導 柱式記ϋ裝置。圖1A中,概括地闡示了依據本發明本具體實 施例之一導柱式記憶裝置100。導柱式記憶裝置100包含一第 一接點區域102,而第一接點區域102形成於一第一輸入/輸出 -27- 本紙張尺度適用中國國家標準(CNS) Α4規格(210Χ 297公釐) 540086 A7 B7 五、發明説明(25 ) (I/O) 103導體上,第一 I/O 103導體則係形成於一單晶體基板 101之平面(x-y)上或之上。一半導體主體104直接在第一接點 區域102上形成;一第二接點區域106直接在主體104上形成。 一第二I/O導體116在第二接點區域106上形成。第一接點區域 102、主體104及第二接點(源極/汲極)區域106皆垂直地互相對 準,以形成一導柱108。鄰近且接觸主體104的,是一電荷儲 存媒體110。一控制閘極112鄰近且直接接觸電荷儲存媒體110 而形成。控制閘極112及電荷儲存媒體110經建構而鄰置於導 柱108橫側,為了與導柱108電連通。電荷儲存媒體乃是對控 制閘極及控制閘極所定的通道區域做電屏蔽之區域。 該導柱式記憶裝置之程式化或非程式化狀態係取決於電 荷是否儲存在電荷儲存媒體110之中。儲存於電荷儲存媒體 110中的電荷增大或減少施於控制閘極的電壓,藉以更替主 體104中形成導電通道所需的電壓,而該導電通道使得電流 (如,讀出電流IR)能在第一與第二接點(源極/汲極)區域之間流 動。此電壓定義為VT。在主體104中形成一導電通道所需的 電壓量,或給定控制閘極電壓之下在主體中流動的電流量, 可用來決定裝置為程式化抑或非程式化。還有,單一個電荷 儲存媒體110可儲存多個資料位元,藉此則每一不同的儲存 電荷量皆建立一不同的VT,而每一 乂了皆代表一不同的電荷儲 存媒體狀態。因為電荷儲存媒體可有多個狀態,所以在單一 個電荷儲存媒體中能儲存多個位元。 在裝置100之讀出作業期間,當主體104中形成一導電通道 ,則電流114係對於基板101之平面(x-y)(其之上形成導柱式記 -28- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(26 ) 憶裝置)垂直地⑵或直交地流動。藉建立具「垂直的」讀出電 流路徑之記憶裝置,則本發明之導柱式記憶體單元得以輕 易堆疊在三維陣列之中,使源極/汲極導體103與116彼此平行 或直交地延伸且平行於基板101之平面,而不須用垂直互連 策略來做源極與汲極連接。至控制閘極的導體112可垂直地 (如圖1A所示)或水平地延伸。 雖然圖1A所示的記憶裝置100中僅在導柱1〇8的一個側面或 一表面之上形成一電荷儲存媒體110及一控制閘極112,但應 了解,本發明之導.柱式記憶裝置可如圖1B所示製造,使導柱 108的整個主體104為單一個電荷儲存構件110及單一個控制閘 極112所包圍。此外,導柱108的每一表面可有如圖1C所示之 一獨立控制的電荷儲存構件及控制閘極,藉而使多個資料 位元能儲存於本發明之一單一個導柱式記憶裝置。使用多 個電荷儲存構件及控制閘極,則能藉決定通道有多少係曝 露充電,而在單一個導柱式裝置上儲存多個值。此外,導柱 108的主體104的每一面可有不同的摻雜密度,對每一面建立 不同的閾值電壓,進而使導柱式記憶體能增多儲存的狀態 (亦即增多的位元)。 圖2顯示本發明之一具體實施例,其中導柱207包含一第一 源極/汲極接點區域202,而第一源極/沒極接點區域202形成於 一第一輸入/輸出204(如,位元線)導體上,第一輸入/輸出204 則係形成:於一基板201上或之上。系一源極/汲極接點區域202 有一濃厚摻雜的N+矽膜,而該矽膜之摻雜密度係在1 X 1〇19至 1 X 102G個原子/立方厘米範圍内,且以1 X 1〇19至1 X 1〇21較佳。一 -29- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of Invention (24) Second input / output conductor. The first input / output conductor and the second input / output conductor are positioned to overlap or intersect each other, and it is preferable that they intersect at right angles to each other. A half-conductor region, such as a doped silicon region, is formed between the first input / output conductor and the second input / output conductor at the intersection of the first input / output conductor and the second input / output conductor. A charge storage medium, such as (but not limited to) a charge trapping dielectric, is formed near the semiconductor region and affects a given voltage across a first input / output conductor and a second input / output conductor. The amount of current flowing through the semiconductor region between the first input / output conductor and the second input / output conductor. The amount of current (reading current) flowing through the semiconductor region under a single voltage can be used to determine whether the charge is stored in the charge storage medium, thereby determining whether the memory is programmed or erased. It should flow through the first input / output The current in the semiconductor region between the conductor and the second input / output conductor flows in a vertical direction of the substrate (in which a memory is formed). The structure of the charge trapping memory and the manufacturing method thereof in this embodiment are ideally integrated into a three-dimensional memory device array. As will be discussed below, the charge trapping memory device of this embodiment can be manufactured from one of two general substrates. In a specific embodiment, the charge storage medium is formed adjacent to the semiconductor region; in a second embodiment, the charge storage medium is formed above or below the semiconductor region. 1. Three-terminal pillar-type memory with adjacent charge storage media One embodiment of the present invention is a three-terminal non-volatile stackable pillar-type memory device. In Fig. 1A, a pillar-type memory device 100 according to one embodiment of the present invention is schematically illustrated. The guide post type memory device 100 includes a first contact area 102, and the first contact area 102 is formed on a first input / output-27. This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 × 297 mm) 540086 A7 B7 5. Description of the Invention (25) (I / O) 103 conductor, the first I / O 103 conductor is formed on or above the plane (xy) of a single crystal substrate 101. A semiconductor body 104 is formed directly on the first contact area 102; a second contact area 106 is formed directly on the body 104. A second I / O conductor 116 is formed on the second contact area 106. The first contact area 102, the main body 104, and the second contact (source / drain) area 106 are aligned with each other vertically to form a guide pillar 108. Adjacent and in contact with the main body 104 is a charge storage medium 110. A control gate 112 is formed adjacent to and in direct contact with the charge storage medium 110. The control gate 112 and the charge storage medium 110 are constructed adjacent to the lateral side of the guide post 108 for electrical communication with the guide post 108. The charge storage medium is an area that electrically shields the control gate and the channel area defined by the control gate. The programmed or unprogrammed state of the pillar-type memory device depends on whether the charge is stored in the charge storage medium 110 or not. The charge stored in the charge storage medium 110 increases or decreases the voltage applied to the control gate, thereby replacing the voltage required to form a conductive channel in the main body 104, and the conductive channel enables a current (such as the readout current IR) at Flow between the first and second contact (source / drain) regions. This voltage is defined as VT. The amount of voltage required to form a conductive channel in the body 104, or the amount of current flowing in the body under a given control gate voltage, can be used to determine whether the device is programmed or non-programmed. In addition, a single charge storage medium 110 can store multiple data bits, whereby a different VT is established for each different stored charge amount, and each charge represents a different state of the charge storage medium. Because a charge storage medium can have multiple states, multiple bits can be stored in a single charge storage medium. During the reading operation of the device 100, when a conductive channel is formed in the main body 104, the current 114 is to the plane (xy) of the substrate 101 (a guide post type is formed thereon. -28- This paper size applies to Chinese national standards ( CNS) A4 size (210 X 297 mm) 540086 A7 B7 5. Description of the invention (26) Memory device) flows vertically or orthogonally. By establishing a memory device with a "vertical" readout current path, the pillar-type memory cells of the present invention can be easily stacked in a three-dimensional array, so that the source / drain conductors 103 and 116 extend parallel or orthogonal to each other And parallel to the plane of the substrate 101, there is no need to use a vertical interconnection strategy to connect the source and the drain. The conductor 112 to the control gate may extend vertically (as shown in FIG. 1A) or horizontally. Although the memory device 100 shown in FIG. 1A only forms a charge storage medium 110 and a control gate 112 on one side or a surface of the guide pillar 108, it should be understood that the guide pillar memory of the present invention. The device can be manufactured as shown in FIG. 1B so that the entire body 104 of the guide post 108 is surrounded by a single charge storage member 110 and a single control gate 112. In addition, each surface of the guide post 108 may have an independently controlled charge storage member and a control gate as shown in FIG. 1C, so that multiple data bits can be stored in a single guide post memory device of the present invention. . By using multiple charge storage members and control gates, multiple values can be stored on a single guide post device by determining how many channels are exposed to charge. In addition, each side of the main body 104 of the guide pillar 108 may have a different doping density, and different threshold voltages are established for each side, so that the guide pillar memory can increase the storage state (ie, more bits). FIG. 2 shows a specific embodiment of the present invention, wherein the guide post 207 includes a first source / drain contact region 202, and the first source / non-contact contact region 202 is formed on a first input / output 204. (Eg, bit line) on the conductor, the first input / output 204 is formed on or on a substrate 201. A source / drain contact region 202 has a thickly doped N + silicon film, and the doping density of the silicon film is in the range of 1 X 1019 to 1 X 102G atoms / cm3, and is 1 X 1〇19 to 1 X 1021 are preferred. 1 -29- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) binding

540086 A7 B7 發明説明 含淡薄摻雜的P-型矽膜206之主體在第一 N+源極/汲極接點區 域202上直接接觸而形成,該矽膜之摻雜密度係1 χ丨心至i χ 1018個原子/立方厘米。一第二源極/沒極區域208在ρ型碎膜2〇6 上直接接觸而形成(如圖2所示),其含一濃厚摻雜的Ν4^夕膜 ,該矽膜之摻雜密度則係1 X 1019至1 X丨俨個原子/立方厘米, 且以1X10丨9至1Χ1021較佳。一第二導電輸入/輸出(如,字線/位 元線)210在第二Ν+源極/沒極區域208上形成。Ν+源極/沒極膜 202及208可有500-1000埃(Α)之厚度。第一及第二輸入/輸出2〇4 及210可甴高導電材料製得’諸如(但不限於)金屬(如,鶴)、 矽化物(如,矽化鈦或矽化鎢),或濃厚摻雜的矽。在記憶裝 置200中,Ν+源極/沒極區域202、Ρ型矽主體206及Ν+源極/沒極 區域208皆大致互相垂直地對準,而形成導柱207 β 導柱式記憶體200(示於圖2)有一電荷儲存媒體211 ,其包本 一穿隧介電質212、一浮動閘極214及一控制閘極介電質216。 該穿隧介電質係鄰近且直接接觸P型矽主體2〇6而形成。浮動 閘極214係鄰近且直接接觸穿隧介電質212而形成。浮動閘極 214含一導體,諸如(但不限於)摻雜的矽(如,n型矽),或金屬 (如’鎢)。控制閘極介電質216係鄰近且直接接觸浮動閘極214 而形成。最後則有一控制閘極218鄰近且直接接觸控制閘極 介電質216而形成。控制閘極218係由高導電材料所製,諸如 (但不限於)捧雜的碎,或金屬(如,鶏)。 P型碎-膜206及穿隧介電質212的> 度取決於程式化及抹除 的必要電壓。如果要求4至5伏特(volt)之低電壓程式化作業, 則P型矽膜206可有厚度為1000-2500埃,且穿隧介電質可有厚 -30- 本紙張尺度適用中國囷家標準(CNS) A4規格(210X 297公茇)540086 A7 B7 Description of the invention The body of the lightly doped P-type silicon film 206 is formed by direct contact on the first N + source / drain contact region 202, and the doping density of the silicon film is 1 x 1 to i χ 1018 atoms / cm3. A second source / non-electrode region 208 is formed by direct contact on the p-type broken film 206 (as shown in FIG. 2), which contains a thickly doped N4 film and the doping density of the silicon film. Then it is 1 X 1019 to 1 X 俨 atoms / cubic centimeter, and preferably 1X10 丨 9 to 1 × 1021. A second conductive input / output (e.g., word line / bit line) 210 is formed on the second N + source / inverted region 208. The N + source / non-electrode films 202 and 208 may have a thickness of 500-1000 Angstroms (A). The first and second input / output 204 and 210 can be made of highly conductive materials such as (but not limited to) metals (eg, cranes), silicides (eg, titanium silicide or tungsten silicide), or thickly doped Of silicon. In the memory device 200, the N + source / inverted region 202, the P-type silicon body 206, and the N + source / inverted region 208 are aligned substantially perpendicular to each other to form a guide post 207 β a guide post memory 200 (shown in FIG. 2) has a charge storage medium 211, which includes a tunneling dielectric 212, a floating gate 214, and a control gate dielectric 216. The tunneling dielectric is formed adjacent to and directly in contact with the P-type silicon body 206. The floating gate 214 is formed adjacent to and in direct contact with the tunneling dielectric 212. The floating gate 214 contains a conductor such as, but not limited to, doped silicon (e.g., n-type silicon), or a metal (e.g., 'tungsten'). The control gate dielectric 216 is formed adjacent to and directly in contact with the floating gate 214. Finally, a control gate 218 is formed adjacent to and in direct contact with the control gate dielectric 216. The control gate 218 is made of a highly conductive material, such as (but not limited to) miscellaneous debris, or a metal (eg, plutonium). The degree of the P-type chip-film 206 and the tunneling dielectric 212 depends on the necessary voltage for programming and erasing. If low voltage stylized operation of 4 to 5 volts is required, the P-type silicon film 206 may have a thickness of 1000-2500 angstroms, and the tunneling dielectric may have a thickness of -30. Standard (CNS) A4 size (210X 297 male)

裝 訂Binding

線 540086 A7 B7 五、發明説明(28 ) 度為20-150埃(諸如20-50埃,而以80-130埃較佳)。如果要求為 一氮化物穿隧介電質212,則其厚度將稍微放大。應了解,P 型矽膜206的厚度界定了裝置的通道長度。如果要求高電壓(6 至10伏特)程式化作業,則P型矽膜206可有厚度為6000-7000埃 ,且穿隧介電質可有厚度為60-100埃。控制閘極介電質216的 典型厚度在穿隧介電質212之厚度數量級上,但是稍厚(10-30 埃),而以130-180埃較佳。 導柱式記憶體200之認定為程式化或非程式化,係取決於 電荷是否儲存在浮動閘極214上。導柱式記憶裝置200之程式 化可用汲極側程式化方式,而電子在此係放於浮動閘極214 上。此係將源極區域202接地,而對汲極區域208施一相對高 的電壓,且對控制閘極218施約4-5伏特(供低電壓作業)或6-10 伏特(供高電壓作業),以逆化P型矽區域206之一部份成N型矽 而形成一通道區域,使電子在源極區域與汲極區域間流動。 高控制閘極電壓將電子拉出該逆化的通道區域,穿過穿隧 介電質212而到浮動閘極214上。因為電子在穿隧過穿隧氧化 物時耗損一些能量,所以不再有足夠的能量來逸出絕緣體 所包圍的浮動閘極。其它技術,諸如(但不限於)源極側注入 ,也可用來程式化記憶裝置200。 將儲存的電子從浮動閘極214移除,則可藉以抹除記憶裝 置200。對源極區域放上一相對高的正電壓(3伏特),而對控 制閘極218施上一约4-5伏特(在低電壓作業中)或6-10伏特(在高 電壓作業中)之負電壓,藉此可抹除記憶裝置200。源極區域 上的正電壓吸引浮動閘極214的電子,將電子拉離浮動閘極 -31 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(29 ) 214,穿過穿隧介電質212而進入源極區域。 為了讀出記憶裝置200的狀態,可施一電壓(諸如3.3伏特)於 汲極,而施一給定的控制閘極電壓於控制閘極。電流(讀出 電流)在一給定的控制閘極電壓下,從汲極區域流過通道區 域而進入源極區域,其量可用以決定記憶裝置的狀態。或者 ,可藉感測控制閘極電壓造成讀出電流流過主體206所需之 量,來讀出記憶體200的狀態^讀出電流在第一及第二源極/ 汲極區域202及208之間流過主體206時,係在基板201平面(X-y)(該裝置係在其之上建造)之直交方向⑵上流動。 圖3顯示本發明之非揮發性導柱式記憶裝置之另一具體實 施例。圖3顯示一三端子非揮發性導柱式記憶裝置300,其含 有一超薄的矽通道或主體302。類似於記憶裝置200,超薄記 憶裝置300有一在第一輸入/輸出204上形成的第一 N+源極/汲 極接點區域202。一絕緣體304,諸如Si02膜或氮化矽膜,在第 一 N+源極/汲極接點區域202上形成。一第二源極/汲極區域 208在絕緣體層304上形成。絕緣體304使源極/汲極區域202及 208互相分離,從而界定了裝置的通道長度。一薄P型矽膜302 有濃度在1X1016至1X1018個原子/立方厘米範圍内,而沿N+/絕 緣體/N+堆疊的側壁形成,此係為了不僅鄰近且直接接觸該 分離絕緣體304,而同樣地也鄰近且直接接觸該第一及第二 源極/汲極區域。該P型矽膜係做為裝置的通道或主體,且橋 接源極/¾極區域202與208之間的薄隙。既在N+/絕緣體/N+堆 疊鄰近形成薄P型矽膜,則可製得極薄的通道區域(50-100埃) 。該P型矽膜厚度表示著通道厚度,而以小於1/2個通道長度 -32- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(30 ) 較佳(即,源極/汲極區域202與208間的距離),且以小於1/3個 通道長度為理想。 類似於記憶裝置200,記憶裝置300也包含一電荷儲存媒體 211及一控制閘極218。當電晶體300接通,P型矽區域有一部 份逆化而其中形成一導電通路,以使電流能從一個源極/汲 極區域202流至另一個源極/汲極區域208。電流路徑306從一個 源極/汲極區域穿過超薄主體302或通道而流至另一個源極/汲 極區域,其大部份係在基板平面(x-y)(該裝置係在其之上建造) 之直交方向㈡上流動^ 舉例來說,可用「間隔物蝕刻」技術來形成超薄通道或主 體電晶體。例如圖3B所示,可在一含圖型化的金屬I/O 204之 基板上面毯覆性沈積(blanket deposit) — N+矽/絕緣體/N+矽堆疊 。再利用熟知的微影法及蝕刻技術,將該堆疊圖型化成圖3B 所示的導柱306。然後在該導柱上面毯覆性沈積一 P型矽膜, 如圖3C所示。該P型矽膜係沈積達一厚度,而對裝置通道厚 度來說為必要。再將P型多晶矽膜做非各同向性蝕刻,而使P 型矽膜302從水平表面移除且餘留在諸如導柱306側壁之垂直 表面之上。以此,P型矽膜乃形成於導柱鄰近,且跨越絕緣 體304而橋接源極/汲極區域。正如其他的導柱式裝置,接下 來則可形成電荷儲存媒體211及控制閘極218。 圖4顯示本發明之三端子可堆$非揮發性導柱式記憶裝置 之另一具體實施例。圖4為一三端子可堆疊非揮發性導柱式 記憶裝置,其中係以蕭特基接點來形成該裝置的源極和汲 極區域。本發明之蕭特基接點M0SFET 400包含一在第一輸入 _-33- _ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(31 ) /輸出204上形成的第一金屬接點402。一摻雜的矽主體或通道 404(諸如N型矽)在金屬接點402上形成,其係經摻雜至1 X 1016 至IX 1018個原子/立方厘米之濃度水準,且有對通道長度來說 為必要之厚度。一第二金屬接點406在矽主體404上直接接觸 而形成。然後一第二I/O在第二金屬接點406上形成。第一金 屬接點402及第二金屬接點406係由諸如矽化鉑、矽化鎢及矽 化鈦等材料製得;所達厚度則造成與矽主體404接觸之蕭特 基接點。第一金屬接點402、矽主體404及第二金屬接點406皆 垂直地互相對準,而形成圖4所示的導柱408。記憶裝置400也 包含一緊鄰而接觸矽主體404之電荷儲存媒體211,如圖4所示 。此外,記憶裝置400包含一鄰近而直接接觸電荷儲存媒體 211之控制閘極。當矽主體404中形成通道時,電流(如,讀出 電流IR)係在基板表面(x-y)(記憶裝置400在其上形成)之直交方 向⑵上,從金屬接點402流至金屬接點406。 圖5顯示本發明之三端子非揮發性記憶裝置之另一具體實 施例。圖5闡示一閘控的二極體記憶裝置500。記憶裝置500包 含一 P+型矽膜接點區域502,其有摻雜劑密度為1 X 1019至1 X 102G個原子/立方厘米(以1 X 1019至1 X 1021較佳),且有厚度為500-1000埃。一 P-矽膜504有摻雜密度為1 X 1016至1 X 1018個原子/立 方厘米,在P+矽膜502上直接接觸而形成。一 N+型矽膜接點 區域506有摻雜密度為1 X 1019至1 X 102G個原子/立方厘米(以1 X 1019至1 >Γΐ〇21較佳),且有厚度為50石-1000埃,直接在P-矽膜504 形成。在本發明之一具體實施例中,Ρ+矽膜502、Ρ-矽膜504及 Ν+矽膜506皆垂直地互相對準,而形成圖5所示的導柱508。記 -34- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (28) The degree is 20-150 angstroms (such as 20-50 angstroms, and 80-130 angstroms is preferred). If a nitride tunneling dielectric 212 is required, its thickness will be slightly enlarged. It should be understood that the thickness of the P-type silicon film 206 defines the channel length of the device. If high voltage (6 to 10 volts) stylization is required, the P-type silicon film 206 may have a thickness of 6000-7000 angstroms, and the tunneling dielectric may have a thickness of 60-100 angstroms. The typical thickness of the control gate dielectric 216 is on the order of thickness of the tunneling dielectric 212, but is slightly thicker (10-30 angstroms), and preferably 130-180 angstroms. The identification of the pillar-type memory 200 as programmed or unprogrammed depends on whether the charge is stored on the floating gate 214 or not. The programming of the guide post type memory device 200 can be done on the drain side, and the electrons are placed on the floating gate 214 here. This system grounds the source region 202, applies a relatively high voltage to the drain region 208, and applies approximately 4-5 volts (for low-voltage operation) or 6-10 volts (for high-voltage operation) to the control gate 218. ), Inverting a portion of the P-type silicon region 206 into N-type silicon to form a channel region, so that electrons flow between the source region and the drain region. The high control gate voltage pulls electrons out of the inverse channel region, passes through the tunneling dielectric 212, and onto the floating gate 214. Because the electrons consume some energy as they tunnel through the tunneling oxide, there is no longer enough energy to escape the floating gate surrounded by the insulator. Other techniques, such as (but not limited to) source-side implantation, can also be used to program the memory device 200. By removing the stored electrons from the floating gate 214, the memory device 200 can be erased. Put a relatively high positive voltage (3 volts) on the source region, and apply a voltage of about 4-5 volts (in low voltage operation) or 6-10 volts (in high voltage operation) to the control gate 218 The negative voltage can erase the memory device 200. The positive voltage on the source region attracts the electrons of the floating gate 214 and pulls the electrons away from the floating gate -31-This paper size applies Chinese National Standard (CNS) A4 specifications (210 X 297 mm) 540086 A7 B7 V. Invention Explanation (29) 214 passes through the tunneling dielectric 212 and enters the source region. To read the state of the memory device 200, a voltage (such as 3.3 volts) may be applied to the drain, and a given control gate voltage may be applied to the control gate. The current (readout current) flows from the drain region through the channel region to the source region under a given control gate voltage, and its amount can be used to determine the state of the memory device. Alternatively, the state of the memory 200 can be read by sensing the amount of gate current required to cause the read current to flow through the body 206. The read current is in the first and second source / drain regions 202 and 208. When the main body 206 flows between them, it flows in the orthogonal direction ⑵ of the plane (Xy) of the substrate 201 on which the device is built. Fig. 3 shows another embodiment of the non-volatile guide type memory device of the present invention. FIG. 3 shows a three-terminal non-volatile pillar-type memory device 300, which includes an ultra-thin silicon channel or body 302. Similar to the memory device 200, the ultra-thin memory device 300 has a first N + source / drain contact area 202 formed on a first input / output 204. An insulator 304, such as a SiO2 film or a silicon nitride film, is formed on the first N + source / drain contact region 202. A second source / drain region 208 is formed on the insulator layer 304. The insulator 304 separates the source / drain regions 202 and 208 from each other, thereby defining the channel length of the device. A thin P-type silicon film 302 has a concentration in the range of 1X1016 to 1X1018 atoms / cubic centimeter and is formed along the side wall of the N + / insulator / N + stack. This is to not only adjoin and directly contact the separation insulator 304, but also Adjacent and directly contact the first and second source / drain regions. The P-type silicon film is used as a channel or a body of the device, and bridges the thin gap between the source / ¾ region 202 and 208. By forming a thin P-type silicon film adjacent to the N + / insulator / N + stack, an extremely thin channel region (50-100 angstroms) can be obtained. The thickness of the P-type silicon film indicates the thickness of the channel, and the length of the channel is less than 1/2 of the channel length. -32- This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 B7 30) It is better (that is, the distance between the source / drain regions 202 and 208), and is ideally less than 1/3 channel length. Similar to the memory device 200, the memory device 300 also includes a charge storage medium 211 and a control gate 218. When transistor 300 is turned on, a portion of the P-type silicon region is reversed and a conductive path is formed therein, so that current can flow from one source / drain region 202 to another source / drain region 208. The current path 306 flows from one source / drain region through the ultra-thin body 302 or channel to another source / drain region, most of which is on the substrate plane (xy) (the device is above it) Construction) flow in the orthogonal direction ^ For example, "spacer etching" technology can be used to form ultra-thin channels or bulk transistors. For example, as shown in FIG. 3B, a blanket deposit—N + silicon / insulator / N + silicon stack can be deposited on a substrate containing patterned metal I / O 204. The well-known lithography and etching techniques are then used to pattern the stacked pattern into the guide pillars 306 shown in FIG. 3B. Then a P-type silicon film is blanket deposited on the guide post, as shown in FIG. 3C. The P-type silicon film is deposited to a thickness which is necessary for the device channel thickness. The P-type polycrystalline silicon film is then anisotropically etched, so that the P-type silicon film 302 is removed from the horizontal surface and remains on a vertical surface such as a sidewall of the guide pillar 306. In this way, a P-type silicon film is formed adjacent to the pillar and bridges the source / drain region across the insulator 304. As with other pillar type devices, a charge storage medium 211 and a control gate 218 can be formed next. FIG. 4 shows another embodiment of the three-terminal stackable non-volatile pillar-type memory device of the present invention. Fig. 4 is a three-terminal stackable non-volatile conductive post memory device, in which Schottky contacts are used to form the source and drain regions of the device. The Schottky contact M0SFET 400 of the present invention includes a first input _-33- _ This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 B7 V. Description of the invention (31) / The first metal contact 402 formed on the output 204. A doped silicon body or channel 404 (such as N-type silicon) is formed on the metal contact 402, which is doped to a concentration level of 1 X 1016 to IX 1018 atoms per cubic centimeter, and has a function of the channel length. Say it is the necessary thickness. A second metal contact 406 is formed in direct contact with the silicon body 404. A second I / O is then formed on the second metal contact 406. The first metal contact 402 and the second metal contact 406 are made of materials such as platinum silicide, tungsten silicide, and titanium silicide; the thickness achieved is a Schottky contact in contact with the silicon body 404. The first metal contact 402, the silicon body 404, and the second metal contact 406 are all vertically aligned with each other to form a guide post 408 as shown in FIG. The memory device 400 also includes a charge storage medium 211 in close proximity to the silicon body 404, as shown in FIG. 4. In addition, the memory device 400 includes a control gate adjacent to and in direct contact with the charge storage medium 211. When a channel is formed in the silicon body 404, a current (eg, a readout current IR) flows in a direction orthogonal to the substrate surface (xy) (on which the memory device 400 is formed) and flows from the metal contact 402 to the metal contact 406. Fig. 5 shows another embodiment of the three-terminal non-volatile memory device of the present invention. FIG. 5 illustrates a gated diode memory device 500. The memory device 500 includes a P + -type silicon film contact region 502 having a dopant density of 1 X 1019 to 1 X 102G atoms / cm3 (preferably 1 X 1019 to 1 X 1021) and a thickness of 500-1000 Angstroms. A P-silicon film 504 has a doping density of 1 X 1016 to 1 X 1018 atoms / cm3, and is formed by directly contacting the P + silicon film 502. An N + -type silicon film contact region 506 has a doping density of 1 X 1019 to 1 X 102G atoms / cm3 (preferably 1 X 1019 to 1 > Γΐ〇21), and has a thickness of 50-1000. Angstroms are formed directly on the P-silicon film 504. In a specific embodiment of the present invention, the P + silicon film 502, the P-silicon film 504, and the N + silicon film 506 are vertically aligned with each other to form a guide post 508 as shown in FIG. Note -34- This paper size applies to China National Standard (CNS) Α4 size (210X 297mm) binding

線 540086 A7 B7 五、發明説明(32 ) 憶裝置500也包含一記憶儲存媒體211,其係鄰近且直接接觸 P-矽膜504及N+矽膜506而形成,如圖5所示。鄰近且接觸電荷 儲存媒體211的,是一控制閘極218。此外,類似於電晶體100 、200、300及400,當閘控的二極體500接「通」,則電流(I)在基 板501表面(x-y)(記憶裝置500在其上形成)之直交方向⑵上,從 P+矽膜502流至N型矽膜506。 雖然裝置200-500都已顯示具有一電荷儲存媒體,其包含一 由穿隧介電質212及控制閘極介電質216所隔絕的連續膜浮動 閘極214,但該浮動閘極未必由連續的導電矽膜或金屬膜來 形成,而可由複數個電性隔絕的奈米晶體602(如圖6所示)替 之。奈米晶體為互相電性隔絕的導電材料小集團(duster)或晶 體。使用奈米晶體供浮動閘極所用有一好處:因為其並不形 成連續膜,故奈米晶體浮動閘極為自隔絕。奈米晶體602使 多個自隔絕浮動閘極能在單一個矽主體206周圍形成。例如 ,以方形或矩形導柱來說,在矽主體或通道的每一側上可形 成一浮動閘極,則單一個方形導柱周圍即能形成四或更多 個隔絕的浮動閘極。以此,每一導柱式記憶體中可儲存多個 位元。類似地,因為奈米晶體形成非連續膜,所以浮動閘極 可於二或更多級導柱形成後才形成,而不必顧慮一個單元 級的浮動閘極與緊接其上或之下之鄰近(即,垂直相鄰)單元 的浮動閘極發生短路。使用奈米晶體供浮動閘極所用還有 另一好夏:其所遭受的電荷洩漏 > 於連續膜浮動閘極所遭 受的。 奈米晶體602可由諸如矽、鎢或鋁等導電材料所形成。為 -35- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(33 ) 了要自隔絕,奈米晶體須有材料集團尺寸小於單元間距之 半,以隔絕垂直或水平相鄰的單元的浮動閘極。此即,奈米 晶體或材料叢集602必須夠小,以使單一個奈米晶體602無法 橋接垂直或水平相鄰的單元。利用化學氣相沈積法,在極低 壓力下分解矽源氣體(諸如矽烷),則能由矽來形成矽奈米晶 體。類似地,以化學氣相沈積法,在極低壓力下分解鎢源氣 體(諸如WF6),能形成鎢奈米晶體浮動閘極。還有,在鋁的熔 化溫度之下或附近做濺鍍沈積,則能形成鋁奈米晶體浮動 閘極。 此外,可使用一形成於介電質堆疊702中的陷獲層(如圖7所 示),來替代使用介電質所隔絕的浮動閘極,以儲存電荷於 本發明之記憶裝置中。舉例來說,電荷儲存媒體可為一介電 質堆疊702,而包含一鄰近矽主體或通道之第一氧化物層704 、一鄰近該第一氧化物層之氮化物層706,及一鄰近該氮化 物層並鄰近控制閘極218之第二氧化物層708。如此的介電質 堆疊702在引用時,往往係指ΟΝΟ堆疊(即,氧化物一氮化物 一氧化物堆疊)。必要時,也可使用其他合適的電荷陷獲介 電質膜,諸如含Η+之氧化物膜。 應了解,將導柱中每一矽區域的導電率型簡單地逆化且 維持其濃度範圍,則圖2-5中所示的記憶裝置200-500皆可製成 相反的極性。以此,不僅可製造圖2-5所示的NMOS (Ν型金屬 氧化物半導體)裝置,必要時也可製造PMOS (Ρ型金屬氧化物 半導體)裝置。此外,形成裝置之導柱所用的矽膜可為單晶 體矽或多晶狀矽。此外,該矽膜可為矽合金膜,諸如所摻雜 _-36-_ 本紙張尺度適用中國國家標準(CNS) Α4規格(21〇x 297公釐) 540086 A7 B7 五、發明説明(34 ) 的N型或P型導電率離子達必要濃度之矽鍺膜。 此夕卜,如圖1-3及5所示,導柱108、208、308及508係經製造, 而使接點及主體互相對準(從頂部視之)。為此,可先形成一 I/O 204,然後毯覆性沈積導柱膜堆疊(如,N+/PVN+),如圖8A 所示。然後將膜堆疊802遮罩,並在單一個步騾中非各向同 向地蝕刻全部三道膜(如圖8B所示),以形成導柱804。顯式導 柱形成步驟可形成具任何形狀的導柱。舉例來說,導柱804 可取為(從之上視之)圖8B所示之方形,或可取為矩形,或圓 形。 或者,如圖9A及9B所示,可圖型化第一及第二I/O’s而使相 交,藉而形成導柱。例如,可先毯覆性沈積一第一 I/O導體 900,接而順序地毪覆性沈積所要的導柱膜堆疊902(如,N+/P-/N+)。然後蝕刻第一 I/O膜900及導柱膜堆疊902,以形成複數 個導柱條(pillar strips) 904,如圖9A所示。在接續處理以圖型化 第二I/O期間,係在複數個導柱條904的直交或正交方向蝕刻 第二I/O 906。持續對第二I/O 906圖型化之蝕刻步驟,以蝕去 導柱膜堆疊902在導柱條904中不受第二I/O 906覆蓋或遮罩的 部份。以此,導柱908乃形成於第一與第二I/O’s的相交處。導 柱908係直接對準第一與第二I/O’s的相交處或重疊處而形成 。該形成導柱之相交技術因省略了新增微影步騾,乃是有利 的。 本發明記憶裝置之電荷儲存媒體可利用「間隔物蝕刻」技 術來形成。例如圖10A-10E所示,首先形成一導柱1000或導柱 條。然後在導柱1000上面毯覆性沈積一第一穿隧介電質1002 _-37-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(35 ) 。其次,在第一穿隧介電質1002上面毯覆性沈積一浮動閘極 材料1004。該浮動閘極材料係沈積達一厚度,而對浮動閘極 來說為必要。浮動閘極材料可為奈米晶體或可為一連續導 電膜。然後將浮動閘極材料1004及穿隧介電質1002非各向同 性地回姓,而將水平表面(諸如導柱1000的頂部及相鄰導柱之 間)的浮動閘極材料移除,以留下浮動閘極1008,其係由導柱 1000或導柱條側壁上的穿隧介電質所隔絕。如果浮動閘極係 由一導電膜而非由奈米晶體所製,則須小心保證浮動閘極 材料1004從相鄰單元間全部移除,為了相鄰單元的浮動閘極 1008得以隔絕。 應了解,當浮動閘極係由奈米晶體所製,或當電荷儲存媒 體為陷獲介電質,則不必將膜從相鄰單元間的水平表面蝕 去,因為這些膜並不電耦合相鄰單元。然而,必要時可將電 荷陷獲介電質及奈米晶體浮動閘極非各向同性地回蝕。其 次,如圖10C所示,在浮動閘極1008及導柱1000頂部上面毯覆 性沈積一控制閘極介電質1006。 控制閘極也可用「間隔物蝕刻」技術來形成。在此一情況 下,係在控制閘極介電質1006上面毯覆性沈積控制閘極材料 1010(諸如摻雜的多晶矽),達一對控制閘極來說為必要之厚 度,如圖10D所示。然後將控制閘極材料1010非各向同性地回 蝕(如圖10E所示),而將水平表面(諸如控制閘極介電質1006的 頂部及商鄰導柱或導柱條之間)的1制閘極材料1010移除,以 形成控制閘極介電質1006鄰近的控制閘極1012。控制閘極介 電質1006保護底下的矽導柱1000,使免於控制閘極材料之非 -38- 本紙張尺度適用t國國家標準(CNS) A4規格(210X297公釐) 540086 A7 B7 五 發明説明(36 各向同性蚀刻期間遭|虫去β 儘官浮動閘極須與相鄰單元隔絕,控制閘極卻可為水平 或垂直相鄰的單元所分享。利用微影法來形成一連接水平 相鄰電晶體之導體條,可藉而獲致水平分享的控制閘極。或 者,可如圖11A-11C所示而達成相鄭單元之水平耦合,此係藉 正確控制相鄰的單元1100的間隔,使得有控制閘極將耦合^ 皁元之間存在一最小間隔1102 ,而有控制閘極將隔絕的單元 之間存在較大的溝隙11〇4 ,如圖11 a所示。以此,控制閘極材 料1106沈積時,會填滿相鄰單元間的最小或小溝隙ιι〇2,而 應隔絕的單元間的大溝隙1104上則僅留下一薄膜,如圖 所示。在非各向同性蝕刻期間,大溝隙中的薄控制閘極材料 王部移除,隔絕了相鄰的單元,而小溝隙中的較厚控制閘極 材料1106則有一部份1108餘留,為了橋接相鄰單元並耦合水 平相鄰的單元,如圖11C所示。 此外’在二或更多級導柱已形成後,相鄰單元之間可形成 一控制閘極插頭(plug),藉以達成控制閘極之垂直分享,如圖 12A及12B所示◊控制閘極插頭之能形成,乃是在二或更多級 導柱上面及其間毯覆性沈積一導電膜,諸如摻雜的多晶矽 膜或鎢膜1200,再平面化或圖型化該鎢膜在導柱之上的部份 ,而在導柱間形成一插頭。以此,控制閘極將在二或更多個 垂直的^上以及水平相鄰的單元之間,為裝置所分享。 現將說明一整合本發明之導柱式記憶裝置成多級的儲存 單元陣列之方法。如圖13所示,其製造開始係提供一基板 1300 ’其上將要形成多級的儲存裝置陣列。基板1300典型地 L·_-39- 本紙張尺度適财a s家標準(CNS) Μ規格(21G χ 297公爱)Line 540086 A7 B7 V. Description of the Invention (32) The memory device 500 also includes a memory storage medium 211, which is formed adjacent to and directly contacting the P-silicon film 504 and the N + silicon film 506, as shown in FIG. Adjacent and in contact with the charge storage medium 211 is a control gate 218. In addition, similar to the transistors 100, 200, 300, and 400, when the gated diode 500 is connected to "on", the current (I) is orthogonal to the surface (xy) of the substrate 501 (the memory device 500 is formed thereon). In the direction ⑵, it flows from the P + silicon film 502 to the N-type silicon film 506. Although devices 200-500 have been shown to have a charge storage medium including a continuous film floating gate 214 isolated by a tunneling dielectric 212 and a control gate dielectric 216, the floating gate may not necessarily be formed by a continuous Is formed by a conductive silicon film or a metal film, and a plurality of electrically isolated nanocrystals 602 (as shown in FIG. 6) may be used instead. Nanocrystals are dusters or crystals of electrically conductive material that are electrically isolated from each other. The use of nanometer crystals for floating gates has an advantage: because they do not form a continuous film, nanometer crystal floating gates are extremely self-isolating. The nanocrystal 602 enables multiple self-isolated floating gates to be formed around a single silicon body 206. For example, for a square or rectangular guide post, a floating gate can be formed on each side of the silicon body or channel. A single square guide post can form four or more isolated floating gates. In this way, multiple bits can be stored in each guide pillar memory. Similarly, because nanocrystals form a discontinuous film, floating gates can be formed after two or more stages of pillars are formed, without having to worry about a cell-level floating gate and its immediate vicinity above or below it. (Ie, vertically adjacent) the floating gates of the cells are shorted. There is another good thing about using nanometer crystals for floating gates: the charge leakage they suffer is the same as that of continuous film floating gates. The nanocrystal 602 may be formed of a conductive material such as silicon, tungsten, or aluminum. It is -35- This paper size applies Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 B7 V. Description of invention (33) The nano crystal must have a material group size smaller than the cell spacing Half to isolate floating gates of vertically or horizontally adjacent cells. That is, the nanocrystal or material cluster 602 must be small enough that a single nanocrystal 602 cannot bridge vertically or horizontally adjacent cells. Using chemical vapor deposition to decompose silicon source gases (such as silane) at very low pressures, silicon nanocrystals can be formed from silicon. Similarly, by chemical vapor deposition, the tungsten source gas (such as WF6) is decomposed under extremely low pressure to form a tungsten nanocrystal floating gate. Also, sputter deposition below or near the melting temperature of aluminum can form aluminum nanocrystal floating gates. In addition, a trap layer (as shown in FIG. 7) formed in the dielectric stack 702 can be used instead of the floating gate isolated by the dielectric to store the charge in the memory device of the present invention. For example, the charge storage medium may be a dielectric stack 702 including a first oxide layer 704 adjacent to a silicon body or channel, a nitride layer 706 adjacent to the first oxide layer, and a adjacent to the first oxide layer 706. The nitride layer is adjacent to the second oxide layer 708 of the control gate 218. Such a dielectric stack 702 is often referred to as an ONO stack (ie, an oxide-nitride-oxide stack) when referenced. If necessary, other suitable charge-trapping dielectric films may also be used, such as osmium + -containing oxide films. It should be understood that by simply inverting the conductivity type of each silicon region in the guide post and maintaining its concentration range, the memory devices 200-500 shown in Figures 2-5 can be made with opposite polarities. With this, not only the NMOS (N-type metal oxide semiconductor) device shown in FIG. 2-5 can be manufactured, but also the PMOS (P-type metal oxide semiconductor) device can be manufactured when necessary. In addition, the silicon film used to form the pillars of the device may be single crystalline silicon or polycrystalline silicon. In addition, the silicon film can be a silicon alloy film, such as doped _-36-_ This paper size is applicable to the Chinese National Standard (CNS) A4 specification (21〇x 297 mm) 540086 A7 B7 V. Description of the invention (34) N-type or P-type conductivity Si-Ge film with the necessary concentration. Furthermore, as shown in Figures 1-3 and 5, the guide posts 108, 208, 308, and 508 are manufactured so that the contacts and the main body are aligned with each other (viewed from the top). To this end, an I / O 204 may be formed first, and then a blanket deposition pillar film stack (eg, N + / PVN +) is formed, as shown in FIG. 8A. The film stack 802 is then masked, and all three films are etched non-isotropically in a single step (as shown in FIG. 8B) to form a guide post 804. The explicit guide post forming step can form guide posts having any shape. For example, the guide post 804 may be a square (viewed from above) as shown in FIG. 8B, or may be a rectangle or a circle. Alternatively, as shown in FIGS. 9A and 9B, the first and second I / O's may be patterned to intersect, thereby forming a guide post. For example, a first I / O conductor 900 may be blanket deposited first, and then a desired pillar film stack 902 (eg, N + / P- / N +) may be sequentially deposited. The first I / O film 900 and the pillar film stack 902 are then etched to form a plurality of pillar strips 904, as shown in FIG. 9A. During the subsequent processing to pattern the second I / O, the second I / O 906 is etched in the orthogonal or orthogonal direction of the plurality of guide bars 904. The etching step of patterning the second I / O 906 is continued to etch away the portion of the pillar film stack 902 in the pillar 904 that is not covered or masked by the second I / O 906. Accordingly, the guide pillar 908 is formed at the intersection of the first and second I / O's. The guide post 908 is formed by directly aligning the intersection or overlap of the first and second I / O's. The intersection technique for forming the guide post is advantageous because it eliminates the addition of a lithography step. The charge storage medium of the memory device of the present invention can be formed using a "spacer etching" technique. For example, as shown in FIGS. 10A-10E, a guide post 1000 or a guide post bar is first formed. Then, a first tunneling dielectric 1002 is blanket deposited on the guide pillar 1000. _-37-_ This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) 540086 A7 B7 V. Description of the invention (35). Next, a floating gate material 1004 is blanket deposited on the first tunneling dielectric 1002. The floating gate material is deposited to a thickness that is necessary for the floating gate. The floating gate material may be a nanocrystal or may be a continuous conductive film. Then, the floating gate material 1004 and the tunneling dielectric 1002 are returned isotropically, and the floating gate material on a horizontal surface (such as the top of the guide post 1000 and between adjacent guide posts) is removed to A floating gate 1008 is left, which is isolated by the pillar 1000 or the tunnel dielectric on the sidewall of the pillar. If the floating gate is made of a conductive film instead of nanometer crystals, care must be taken to ensure that the floating gate material 1004 is completely removed from adjacent cells in order to isolate the floating gate 1008 of adjacent cells. It should be understood that when the floating gate is made of nanocrystals, or when the charge storage medium is a trapped dielectric, the film need not be etched from the horizontal surface between adjacent cells, because these films are not electrically coupled to adjacent unit. However, if necessary, the charge can be trapped non-isotropically with dielectric and nanocrystalline floating gates. Secondly, as shown in FIG. 10C, a control gate dielectric 1006 is blanket-deposited on top of the floating gate 1008 and the top of the guide pillar 1000. The control gate can also be formed using a "spacer etch" technique. In this case, a control gate material 1010 (such as doped polycrystalline silicon) is blanket deposited on the control gate dielectric 1006 to a necessary thickness for a pair of control gates, as shown in FIG. 10D. Show. The gate material 1010 is then etched back non-isotropically (as shown in FIG. 10E), and the horizontal surface (such as the top of the control gate dielectric 1006 and the adjacent pillars or pillars) is controlled. The 1-gate material 1010 is removed to form a control gate 1012 adjacent to the control gate dielectric 1006. The control gate dielectric 1006 protects the silicon pillar 1000 under the protection, so that it is free from the control gate material -38- This paper size is applicable to the national standard (CNS) A4 specification (210X297 mm) 540086 A7 B7 Five inventions Explanation (36 Isotropic etching during the isotropic etching. The floating gate must be isolated from adjacent cells, but the control gate can be shared by horizontal or vertical adjacent cells. Use lithography to form a connected level The conductor bars of adjacent transistors can be used to obtain a horizontally shared control gate. Or, as shown in Figures 11A-11C, the horizontal coupling of the Zheng unit can be achieved. This is to correctly control the interval between adjacent units 1100. Therefore, there is a minimum interval 1102 between the control gates to couple the saponins, and there is a large gap 1104 between the units to be isolated by the control gates, as shown in Figure 11a. When the gate material 1106 is deposited, it will fill the smallest or small gap between adjacent cells, while the large gap 1104 between the cells to be isolated will leave only a thin film, as shown in the figure. Thin control gate material in large gap during isotropic etching The king is removed to isolate adjacent cells, while the thicker control gate material 1106 in the small gap is left with a portion of 1108. In order to bridge adjacent cells and couple horizontally adjacent cells, as shown in Figure 11C In addition, after two or more stages of guide pillars have been formed, a control gate plug can be formed between adjacent units to achieve vertical sharing of control gates, as shown in Figures 12A and 12B. A pole plug can be formed by blanketly depositing a conductive film, such as a doped polycrystalline silicon film or tungsten film 1200, on top of two or more stages of pillars and between them, and then planarizing or patterning the tungsten film in the conductive layer. The part above the pillars forms a plug between the guide pillars. In this way, the control gate will be shared by the device on two or more vertical planes and between horizontally adjacent cells. A method for integrating the pillar-type memory device of the present invention into a multi-level memory cell array. As shown in FIG. 13, the manufacturing process begins by providing a substrate 1300 ′ on which a multi-level memory device array is to be formed. L · _-39- The standard of this paper CNS) Μ specifications (21G x 297 public love)

裝 訂Binding

540086 A7 B7 五、發明説明(37 ) 含一淡薄摻雜的單晶狀矽基板1302,其中形成電晶體,諸如 金屬氧化物半導體(MOS)。這些電晶體能用為(舉例來說)存取 電晶體,或者能一同耦合成電路而形成(舉例來說)電荷泵或 感測放大器,供所製的記憶裝置用。基板1300典型地也含多 級互連線路及層間介電質1304,其係用來將基板1302中的電 晶體一同耦合成功能性電路。基板1300的頂表面1306典型地 含一絕緣層或鈍化層,以保護底下的電晶體及互連線路免 於污染。頂表面1306典型地包含電接觸墊,而本發明之多級 記憶裝置陣列能與其電耦合,以便與矽基板1302中的電晶體 做電接觸。在本發明之一具體實施例中,記憶裝置係藉多級 互連線路及介電質1304,而與單晶狀基板物理性地隔絕和分 離。鈍化或絕緣層1306的頂表面典型地經平面化,使本發明 之多級電荷儲存裝置能均勻而可靠地製造。圖13A顯示穿過 基板的截面圖,而圖13B闡示該基板之一立體圖,其係從基 板1300上製造本發明裝置時所跨越之平面向下俯視。依據本 發明之一具體實施例,記憶裝置係與單晶狀矽基板1302物理 性地分離。在本發明之一替代具體實施例中,記憶裝置能在 一玻璃基板1300(諸如平板顯示器中所用者)上製造。 依據本發明一具體實施例,形成多級的記憶裝置陣列之 製程開始係在基板1300的表面1306上面毯覆性沈積一第一導 體層1308。導體1308可為任何合適_的導體,諸如(但不限於)矽 化鈦、摻雜的多晶矽,或者諸如鋁或鎢等金屬及其合金(由 合適的技術所形成)。導體層1308係用為(舉例來說)一位元線 或一字線,而一同_合記憶裝置的一列或一行。其次,在導 _-40-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(38 ) 體1308上面毯覆性沈積膜堆疊1310(如圖13A所示),而第一級 導柱將要由此製造。舉例來說,在一具體實施例中導柱係包 含一 N+源極/汲極區域、一 P-矽主體及一 N+矽源極/汲極區域 。合適的膜堆疊1310可如下形成:先藉化學氣相沈積法(CVD) 來毯覆性沈積一非晶系矽膜,而該膜係就地以N型雜質摻雜 至1 X 1019至1 X 102G個原子/立方厘米(以1 X 1019至1 X 1021較佳)之 摻雜密度。其次,在N+矽膜1312上面沈積一 P-矽膜,舉例來 說,此係藉化學氣相沈積法來沈積一非晶系矽膜,而該膜係 就地以P型雜質(如,硼)摻雜至1 X 1016至1 X 1018個原子/立方厘 米之摻雜劑密度。然後在P-矽主體1314毯覆性沈積一N+矽膜 1316,此係藉化學氣相沈積法來沈積一非晶系矽膜,且將該 膜就地摻雜至1 X 1019至1 X 102G個原子/立方厘米(以1 X 1019至1 X 1021較佳)之水準。然後將該等非晶系矽膜經由後續退火而轉 化成多晶狀矽。就地摻雜之外,另一選擇係以未經摻雜的矽 來沈積膜堆疊,然後再用摻冰劑來佈植或擴散。 應了解,可沈積適當的膜堆疊而獲致裝置的導柱組態,以 此來製造本發明之其他記憶裝置,其組態不僅諸如 N+/Si〇2/N+堆疊(以形成圖3A所示之裝置300),也諸如金屬/矽/ 金屬條(以形成圖4所示之裝置400)及P+/P-/N+堆疊(以形成圖5 所示之裝置500)。其次,如如圖14A及14B所示,對毯覆性沈積 的膜堆疊1310及金屬導體1308係利用熟知的微影法及蝕刻技 術來圖亟化,以形成複數個導體^条1318。沈積的膜堆疊1310 之膜與金屬導體1308係互相對準而蝕刻,而形成具垂直側壁 之導柱條。 _ -41 -__ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 540086 A7 B7 五、發明説明(39 ) 其次,如如圖15A及15B所示,必要時,可使基板接受閾值 調整(threshold adjusting)離子佈植步驟,俾以更替每一條上的P 型矽區域表面或面的摻雜密度。亦即,此時可採行一第一離 子佈植步驟1315,用P型摻雜劑來佈植導柱條1318的一個表面 ,以提高其P型摻雜密度,或者可用N型摻雜劑來反摻雜以降 低其P型摻雜密度。類似地,在第一佈植1315之後,可將基板 旋轉並使其接受一第二離子佈植步騾1317,俾以更替導柱條 1318上的對側或對面的摻雜密度。該閾值調整佈植應有充份 的劑量以更替每一面的閾值電壓,為了能充份地區別或感 測每一面所聯合的個別讀出電流。離子佈植步驟的角度係 經選擇,俾使佈植大體進入P型主體1314的表面。佈植的角度 不僅取決於導柱條1318的間隔,同樣地也取決於條高。 其次,如圖16A及16B所示,在基板1300處於導柱條1318之間 的部份上,同樣地也在導柱條1318的側壁及頂部上面形成穿 隧介電質1320。穿隧介電質可為氧化物、氮化物、含氧氮化 物(oxynitride)或其他合適的介電質。穿隧介電質1320宜利用電 漿沈積法或長晶製程來沈積,溫度小於750°C而以小於600°C 較佳。穿隧介電質1320所形成的厚度及品質,在作業條件下 得以預防崩潰(breakdown)&戍漏。其次,圖16A及16B也顯示, 在穿隧介電質1320上面毯覆性沈積了一浮動閘極材料1322。 在本發明之一較佳具體實施例中,浮動閘極材料係由奈米 晶體所石成。 將碎沈積而使碎在相對於其附著係數(sticking coefficient)下 有極高的表面擴散率,可藉而形成矽奈米晶體。舉例來說, ___-42-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(4〇 ) 矽奈米晶體可藉化學氣相沈積法(CVD)而形成,此係在極低 壓力(1毫托(millitorr)至200毫托)下分解矽烷(SiH4),而溫度在250-650°C。在如此的製程中,極薄的沈積(50-250埃)將形成小矽島 1322。在沈積期間如果H2隨矽烷納入,則能使用較高的壓力 而仍獲得奈米晶體。在本發明之一替代具體實施例中,可形 成金屬奈米晶體(諸如鋁奈米晶體),其係從一金屬標靶濺鍍 形成,溫度則在該金屬的熔化溫度左右;以使金屬燒結而形 成奈米晶體。可形成鎢奈米晶體,其係藉化學氣相沈積法而 利用一含鎢源氣體(諸如WF6及鍺烷[GeH4])之反應氣體混合物 。在本發明之另外一具體實施例中,可沈積一連續的浮,動閘 極材料膜,再使其沉澱(藉加熱),而在該膜中形成矽島。 應了解,以奈米晶體供浮動閘極用較佳,乃因奈米晶體有 自隔絕品質之故,雖然如此,仍可用連續膜來形成浮動閘極 ,諸如用(但不限於)金屬(諸如鎢),或用矽膜(諸如摻雜成必 要的導電率型之多晶狀或非晶系矽,對於N+/P-/N+導柱係以 N+矽為典型)。如果使用連續膜為浮動閘極材料1322,此時 將對膜1322非各向同向地蝕刻,移除浮動閘極材料1322在導 柱條1318之間的部份,而電性隔絕導柱條。 其次,如圖16A及16B所示,將一控制閘極介電質1324毯覆 性沈積至浮動閘極材料或奈米晶體1322上面。控制閘極介電 質1324為沈積的氧化物或含氧氮化物(舉例來說)介電質膜, 係藉電漿加強沈積製程所形成,以降低沈積溫度。控制閘極 介電質1324厚度類似於穿隧介電質1320,但稍厚(如,20-30埃) 。控制閘極介電質1324係用來使浮動閘極與一後續形成的控 _^_-43-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)540086 A7 B7 V. Description of the invention (37) A thinly doped single crystal silicon substrate 1302, in which a transistor such as a metal oxide semiconductor (MOS) is formed. These transistors can be used, for example, to access transistors, or they can be coupled together into a circuit to form (for example) a charge pump or a sense amplifier for the manufactured memory device. The substrate 1300 also typically contains multilevel interconnections and interlayer dielectrics 1304, which are used to couple the transistors in the substrate 1302 together into a functional circuit. The top surface 1306 of the substrate 1300 typically contains an insulating layer or a passivation layer to protect the underlying transistors and interconnects from contamination. The top surface 1306 typically includes electrical contact pads, and the multi-level memory device array of the present invention can be electrically coupled thereto for electrical contact with transistors in the silicon substrate 1302. In a specific embodiment of the present invention, the memory device is physically isolated and separated from the single crystal substrate by a multi-level interconnection and a dielectric 1304. The top surface of the passivation or insulation layer 1306 is typically planarized, enabling the multi-stage charge storage device of the present invention to be manufactured uniformly and reliably. Fig. 13A shows a cross-sectional view through the substrate, and Fig. 13B illustrates a perspective view of the substrate, which is viewed from above the plane spanned by the substrate 1300 when the device of the present invention is manufactured. According to a specific embodiment of the present invention, the memory device is physically separated from the single crystal silicon substrate 1302. In an alternative embodiment of the invention, the memory device can be fabricated on a glass substrate 1300, such as those used in flat panel displays. According to a specific embodiment of the present invention, the process of forming a multi-level memory device array begins by blanketly depositing a first conductor layer 1308 on the surface 1306 of the substrate 1300. The conductor 1308 may be any suitable conductor, such as (but not limited to) titanium silicide, doped polycrystalline silicon, or a metal such as aluminum or tungsten and its alloy (formed by a suitable technique). The conductor layer 1308 is used, for example, as a bit line or a word line, and together as a column or a row of the memory device. Secondly, the paper size applicable to China Paper Standard (CNS) A4 (210 X 297 mm) 540086 A7 B7 is applicable to this paper size. 5. Description of the invention (38) The blanket deposited film stack 1310 on the body 1308 (such as FIG. 13A), and the first-stage guide post is to be manufactured therefrom. For example, in a specific embodiment, the pillar system includes an N + source / drain region, a P-silicon body, and an N + silicon source / drain region. A suitable film stack 1310 can be formed by first depositing an amorphous silicon film blanket by chemical vapor deposition (CVD), and the film is doped with N-type impurities to 1 X 1019 to 1 X in situ. Doping density of 102G atoms / cm3 (preferably 1 X 1019 to 1 X 1021). Secondly, a P-silicon film is deposited on the N + silicon film 1312. For example, this is a chemical vapor deposition method to deposit an amorphous silicon film, and the film is in-situ with P-type impurities (such as boron ) Doping to a dopant density of 1 X 1016 to 1 X 1018 atoms / cm3. An N + silicon film 1316 is then blanket deposited on the P-silicon body 1314, which is an amorphous silicon film deposited by chemical vapor deposition, and the film is doped in situ to 1 X 1019 to 1 X 102G. Atomic / cm3 (preferably 1 X 1019 to 1 X 1021). These amorphous silicon films are then converted into polycrystalline silicon by subsequent annealing. In addition to in-situ doping, another option is to deposit the film stack with un-doped silicon, and then implant or diffuse with ice doping. It should be understood that other film memory devices of the present invention can be manufactured by depositing appropriate film stacks to obtain the guide pin configuration of the device, which has configurations such as N + / Si〇2 / N + stacks (to form the structure shown in FIG. 3A). Device 300), such as metal / silicon / metal strips (to form the device 400 shown in FIG. 4) and P + / P- / N + stacks (to form the device 500 shown in FIG. 5). Next, as shown in FIGS. 14A and 14B, the blanket deposited film stack 1310 and the metal conductor 1308 are patterned using well-known lithography and etching techniques to form a plurality of conductor strips 1318. The film of the deposited film stack 1310 and the metal conductor 1308 are aligned and etched to each other to form a guide bar with vertical sidewalls. _ -41 -__ This paper size applies to China National Standard (CNS) A4 (210X 297 mm) 540086 A7 B7 V. Description of the invention (39) Secondly, as shown in Figures 15A and 15B, if necessary, the substrate Accept the threshold adjusting ion implantation step to replace the doping density of the surface or surface of the P-type silicon region on each strip. That is, at this time, a first ion implantation step 1315 may be performed, and a surface of the guide pillar 1318 may be implanted with a P-type dopant to increase its P-type doping density, or an N-type dopant may be used. To reverse doping to reduce its P-type doping density. Similarly, after the first implantation 1315, the substrate may be rotated and subjected to a second ion implantation step 1317 to replace the opposite side or opposite doping density on the guide bar 1318. The threshold adjustment implant should have a sufficient dose to replace the threshold voltage of each side in order to fully distinguish or sense the individual readout currents associated with each side. The angle of the ion implantation step is selected so that the implantation generally enters the surface of the P-type body 1314. The angle of placement depends not only on the spacing of the guide bar strips 1318, but also on the strip height. Next, as shown in FIGS. 16A and 16B, on the portion of the substrate 1300 between the guide post bars 1318, a tunnel dielectric 1320 is also formed on the side walls and the top of the guide post bars 1318. The tunneling dielectric may be an oxide, a nitride, an oxynitride, or other suitable dielectric. The tunneling dielectric 1320 should be deposited by a plasma deposition method or a growth process. The temperature is preferably less than 750 ° C and preferably less than 600 ° C. The thickness and quality of the tunneling dielectric 1320 prevent breakdown & leakage under operating conditions. Secondly, FIGS. 16A and 16B also show that a floating gate material 1322 is blanket deposited on the tunneling dielectric 1320. In a preferred embodiment of the present invention, the floating gate material is made of nanocrystals. The smash is deposited so that the smash has a very high surface diffusivity relative to its sticking coefficient, thereby forming silicon nanocrystals. For example, ___- 42-_ This paper size applies to Chinese National Standard (CNS) A4 (210 X 297 mm) 540086 A7 B7 V. Description of the invention (4〇) Silicon nanocrystals can be obtained by chemical vapor deposition (CVD), which decomposes silane (SiH4) under very low pressure (1 millitorr to 200 millitorr) and the temperature is 250-650 ° C. In such processes, very thin deposits (50-250 angstroms) will form small silicon islands 1322. If H2 is incorporated with silane during sedimentation, higher pressures can be used while still obtaining nanocrystals. In an alternative embodiment of the present invention, metal nanocrystals (such as aluminum nanocrystals) can be formed, which are formed by sputtering a metal target, and the temperature is about the melting temperature of the metal; to sinter the metal Nano-crystals are formed. Tungsten nanocrystals can be formed by using a chemical vapor deposition method using a reaction gas mixture containing a tungsten source gas such as WF6 and germane [GeH4]. In another embodiment of the present invention, a continuous floating and moving gate material film can be deposited and then precipitated (by heating) to form silicon islands in the film. It should be understood that nanometer crystals are better for floating gates because of the self-isolating quality of nanocrystals. Nevertheless, continuous films can still be used to form floating gates, such as (but not limited to) metals (such as Tungsten), or a silicon film (such as polycrystalline or amorphous silicon doped to the necessary conductivity type, N + silicon is typical for N + / P- / N + pillar systems). If a continuous film is used as the floating gate material 1322, the film 1322 will be etched non-isotropically at this time, and the portion of the floating gate material 1322 between the guide post bars 1318 will be removed, and the guide post bars will be electrically isolated. . Next, as shown in FIGS. 16A and 16B, a control gate dielectric 1324 is blanket deposited on the floating gate material or nanocrystal 1322. The control gate dielectric 1324 is a deposited oxide or oxynitride (for example) dielectric film, which is formed by a plasma enhanced deposition process to reduce the deposition temperature. The control gate dielectric 1324 is similar in thickness to the tunneling dielectric 1320, but slightly thicker (eg, 20-30 angstroms). Control gate dielectric 1324 is used to make the floating gate and a subsequent control _ ^ _- 43-_ This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)

裝 訂Binding

線 540086 A7 B7 五、發明説明(41 ) 制閘極隔絕。該控制閘極介電質的厚度及品質取決於記憶 體單元程式化及非程式化所用的程式閾值電壓。如上所討 論,不僅P型矽主體或通道的厚度,穿隧介電質的厚度也一 樣,取決於必要的程式化電壓。 其次,如圖17A及17B所示,在導柱條1318上及上面毯覆性 沈積一控制閘極材料1328。控制閘極材料形成達一厚度,至 少充份填充相鄰條間的溝隙。以典型來說,一共形膜 (conformal film)若至少沈積達溝隙1330的寬度之半,貝,J保證將 填滿溝隙1330。在本發明之一具體實施例中,控制閘極材料 1328為一摻雜的多晶狀矽膜,而係藉化學氣相沈積法所形成 。或者,控制閘極可由其他導體來形成,諸如藉化學氣相沈 積法而利用WF6所形成之毯覆性沈積的鎢膜。其次,如圖18A 及18B所示,控制閘極膜1328係藉(舉例來說)化學機械研磨法 而回平面化,直到控制閘極的頂表面大致為平面而導柱條 1318頂部上為控制閘極介電質。然後利用一電漿蝕刻製程, 使控制閘極材料的頂表面在導柱條1318的頂表面之下凹縮處 1331,且以蝕刻至頂部源極/主體接面稍之上較佳(如,N+矽 膜1316與P-矽膜1314的接面),如圖18A所示。導柱條1318頂部 上的控制閘極介電質1324保護導柱條1318,使免於凹縮蝕刻Line 540086 A7 B7 V. Description of the invention (41) The gate is isolated. The thickness and quality of the control gate dielectric depends on the programmed threshold voltage used for programming and non-programming the memory cells. As discussed above, not only the thickness of the P-type silicon body or channel, but also the thickness of the tunneling dielectric, depends on the necessary stylized voltage. Next, as shown in FIGS. 17A and 17B, a control gate material 1328 is blanket deposited on and above the guide bar 1318. The gate material is controlled to a thickness of at least enough to fill the gap between adjacent strips. Typically, if a conformal film is deposited at least half the width of the gap 1330, the J, J guarantees that it will fill the gap 1330. In a specific embodiment of the present invention, the control gate material 1328 is a doped polycrystalline silicon film, and is formed by a chemical vapor deposition method. Alternatively, the control gate can be formed by other conductors, such as a blanket deposited tungsten film formed by WF6 by chemical vapor deposition. Second, as shown in FIGS. 18A and 18B, the control gate film 1328 is planarized by, for example, chemical mechanical polishing, until the top surface of the control gate is substantially flat and the top of the guide bar 1318 is controlled. Gate dielectric. A plasma etching process is then used to make the top surface of the control gate material recess 1331 below the top surface of the guide bar 1318, and it is better to etch it slightly above the top source / body junction (for example, The interface between the N + silicon film 1316 and the P- silicon film 1314), as shown in FIG. 18A. Control gate dielectric 1324 on top of guide post 1318 protects guide post 1318 from recessed etch

期間遭蝕去。在凹縮蝕刻之後,就形成了控制閘極1332A及B 〇 其次T將一層間介電質(ILD) 1334(諸如氧化物)不僅毯覆性 沈積進控制閘極1332上面的凹縮處1331,同樣地也毯覆性沈 積在導柱條1318頂部上面。然後將控制閘極介電質、奈米晶 __-44-__ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Eroded during this period. After the recess etching, the control gates 1332A and B are formed. Secondly, an interlayer dielectric (ILD) 1334 (such as an oxide) is not only blanket-deposited into the recess 1331 above the control gate 1332, The blanket is also deposited on top of the guide bar 1318. Then control the gate dielectric, nanocrystalline __- 44 -__ This paper size applies the Chinese National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(42 ) 體及導柱條1318頂部上的穿隧介電質,同樣地也將沈積的氧 化物層1334,研磨或回蝕(如圖19A及19B所示)以顯露並開放 每一導柱條1318的頂部源極/汲極區域(如,N+膜1316)表面。 其次,如圖20A及20B所示,將一第二導體層1336不僅毯覆 性沈積至ILD 1334上面,同樣地也毯覆性沈積而接觸頂部源 極/汲極區域(如,N+源極/汲極區域1316)上面。第二導體層 1336係用來形成一第二輸入/輸出(如,一位元線或一字線), 供第一級記憶裝置用;且將用來形成一第一輸入/輸出(如, 一位元線或一字線),供第二級記憶裝置用。第二導體層1336 可由類似於第一導電層1308之材料來形成,並達類似的厚度 〇 其次,在第二導體層1336上面毯覆性沈積一膜堆疊1338(諸 如N+/P-/N+堆疊),用以形成第二級導柱,如圖20A及20B所示 。膜堆疊1338可由同於膜堆疊1310所用之材料來形成,並達 類似的厚度。或者,如果有必要為不同的記憶裝置型態,則 將形成對應於此裝置型態的膜堆疊。 其次,如圖21A及21B所示,以熟知的微影法及蝕刻技術來 圖型化第二導柱堆疊1338及第二導體層1336,而形成正交或 直交於第一複數個導柱條1318之複數個第二導柱條1340。應 了解,第二導柱堆疊1338膜及第二導體層1336膜係互相對準 而蝕刻,而形成具垂直側壁之導柱條。 圖22λ^22Β顯示旋轉90°的圖21A及21B之基板。 一旦第二導柱膜堆疊1338及第二導體1336已經蝕刻而圖型 化成導柱條1340,則繼續蝕刻以移除第一導柱條1318未受第 -45- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (42) The tunneling dielectric on the top of the body and the pillar 1318 will similarly grind or etch back the deposited oxide layer 1334 (as shown in Figures 19A and 19B) To expose and open the surface of the top source / drain region (eg, N + film 1316) of each guide bar 1318. Secondly, as shown in FIGS. 20A and 20B, a second conductive layer 1336 is not only blanket-deposited on the ILD 1334, but also blanket-deposited to contact the top source / drain region (eg, N + source / Drain region 1316). The second conductor layer 1336 is used to form a second input / output (eg, a bit line or a word line) for the first-level memory device; and will be used to form a first input / output (eg, Bit line or word line) for second-level memory devices. The second conductive layer 1336 can be formed of a material similar to the first conductive layer 1308 to a similar thickness. Second, a film stack 1338 (such as an N + / P- / N + stack) is blanket deposited on the second conductive layer 1336. ) To form a second-level guide post, as shown in FIGS. 20A and 20B. The film stack 1338 can be formed from the same material as that used for the film stack 1310 and has a similar thickness. Alternatively, if it is necessary to have a different memory device type, a film stack corresponding to this device type will be formed. Secondly, as shown in FIGS. 21A and 21B, the second guide pillar stack 1338 and the second conductor layer 1336 are patterned by well-known lithography and etching techniques to form orthogonal or orthogonal to the first plurality of guide pillar bars 1318 of a plurality of second guide bars 1340. It should be understood that the second guide pillar stack 1338 film and the second conductor layer 1336 film are aligned with each other and etched to form guide pillar strips with vertical sidewalls. Figure 22λ ^ 22B shows the substrates of Figures 21A and 21B rotated by 90 °. Once the second pillar film stack 1338 and the second conductor 1336 have been etched and patterned into the pillar bars 1340, the etching is continued to remove the first pillar bar 1318. The paper standard is applicable to Chinese national standards CNS) Α4 size (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(43 ) 二導柱條1340覆蓋或遮罩之部份1341(示於圖22A及22B)。蝕刻 繼續,達第·一導電層丨3〇8為止。以此,如圖23A及23B所示,在 第一與第二I/O 1308與1336(圖23A中所示的Ml及M2)相交處或 重疊處,第一級方形或矩形導柱1342乃由第一導柱條1318而 形成。在本發明之一具體實施例,係形成寬度小於0.18微米 (•um)之方形導柱。應了解,該蝕刻步驟所用的,宜能選擇性 地姓刻導拄條而放過ILD 1334及穿隨和控制閘極介電質。舉 例來說,如果導柱含摻雜的矽,而ILD及穿隧和控制閘極介 .電質為氧化物,則電漿蝕刻法利用CL及HBr能蝕刻矽,但不 顯著蝕刻氧化物ILD或穿隧和控制閘極介電質。應了解,ILD 1334保護底下的矽控制閘極1332免於蝕刻,如圖23C所示。此 外,ILD 1334的目的,是要使控制閘極1332與後續形成而供第 二級導柱用的控制閘極為電性隔絕。 此時若有必要’基板可接受連續離子佈植步驟,以更替導 柱1342(見圖23A)的P型主體1314之每一新顯露的表面的摻雜密 度,目的是藉更替每一面的摻雜密度而更替每一面的閾值 電壓。 其次,如圖24所示,在基板1300上面,連續地一一毯覆性 沈積一穿隧介電質1344、一奈米晶體浮動閘極材料1346及— 控制閘極介電質1348,不僅沿第二導柱條1340側壁,同樣地 也在導柱式裝置1342側壁上,形成穿隧介電質/奈米晶體浮動 閘極/控制閘極(見圖23A)。而且,此膜堆疊不僅在第一級導 柱1342之間的第一導體1308上及在ILD 1334上形成,同樣地也 沿第二導柱條1340的頂表面而形成。 -46 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 一 -Line 540086 A7 B7 V. Description of the invention (43) The part 1341 covered or covered by the second guide post 1340 (shown in Figures 22A and 22B). Etching continues until the first conductive layer 308 is reached. Thus, as shown in FIGS. 23A and 23B, at the intersection or overlap of the first and second I / O 1308 and 1336 (Ml and M2 shown in FIG. 23A), the first-level square or rectangular guide post 1342 is Formed by the first guide bar 1318. In a specific embodiment of the present invention, a square guide pillar with a width of less than 0.18 micrometers (• um) is formed. It should be understood that the etching step used should be capable of selectively engraving the guide bar to pass ILD 1334 and pass through and control the gate dielectric. For example, if the pillar contains doped silicon, and the ILD and the tunneling and control gate dielectrics are oxides, the plasma etching method uses CL and HBr to etch silicon, but does not significantly etch the oxide ILD. Or tunnel and control the gate dielectric. It should be understood that the ILD 1334 protects the underlying silicon control gate 1332 from etching, as shown in FIG. 23C. In addition, the purpose of ILD 1334 is to electrically isolate the control gate 1332 from the control gates that are subsequently formed for the second-level guide post. At this time, if necessary, the substrate can be subjected to a continuous ion implantation step to replace the doping density of each newly exposed surface of the P-type body 1314 of the guide pillar 1342 (see FIG. 23A) in order to replace the doping on each side. The density changes the threshold voltage of each side. Next, as shown in FIG. 24, on the substrate 1300, a tunnel dielectric 1344, a nanocrystalline floating gate material 1346, and a gate dielectric 1348 are successively deposited, not only along the The side wall of the second guide post 1340 is also formed on the side wall of the guide post device 1342, forming a tunneling dielectric / nano crystal floating gate / control gate (see FIG. 23A). Moreover, this film stack is not only formed on the first conductor 1308 between the first-stage guide posts 1342 and on the ILD 1334, but is also formed along the top surface of the second guide post 1340. -46-This paper size applies to Chinese National Standard (CNS) A4 (210 X 297 mm) 1-

裝 訂Binding

線 540086 A7 B7 五、發明説明(44 ) 從相鄰導柱1342間的溝隙1343,要移除浮動閘極材料而使 導柱隔絕,·並不須非各同向性地蝕刻浮動閘極材料,此係因 浮動閘極材料雖然導電,但奈米晶體的不連續本質使導柱 之間隔絕。以此,則穿隧介電質、浮動閘極1346及控制閘極 介電質可用來隔絕後續形成的控制閘極與第一金屬導體。 此外,浮動閘極1346因係由奈米晶體所形成,故與第二級中 位於直接之上之浮動閘極自隔絕,縱使他們同時形成。 其次,如圖25A所示,不僅在導柱1342間的溝隙1343中,同 樣地也在第二導柱條1340之間,形成控制閘極1350。該控制 閘極可如上圖17-20所討論而形成,其係毯覆性沈積一控制閘 極膜(諸如摻雜的多晶矽),而不僅填充了第二導柱條1340間 的溝隙,同樣地也填充了相鄰導柱1342間的溝隙1343。然後, 視情況可將該控制閘極膜研磨凹縮回N+源極/汲極區域的頂 表面之下,並在該凹縮處形成一第二ILD 1352(如圖25A所示) ,以加納更多層。然後回磨ILD 1352以及第二導柱條1340頂部 上的穿隧介電質/浮動閘極/控制閘極介電質,以顯露導柱條 1340的頂部N+源極/汲極區域。 此時,第一級記憶裝置乃告製成。第一級上的每一導柱 1342在其每一上面含有一分離的浮動閘極及控制閘極,且總 共有四個獨立可控制的電荷儲存區域,如圖26所示。此即, 如圖26所闡示,導柱1342含一第一控制閘極對1332A及B,其 係橫向瓦沿導柱1342上的對立兩側壁而形成。控制閘極1332A 及B也皆為水平相鄰的導柱所分享。導柱1342也含一第二控 制閘極對1350A及B,其係橫向地沿導柱1342上的對立的第三 -47- 本紙張尺度逋用中國國家標準(CNS) A4規格(210 X 297公釐)Line 540086 A7 B7 V. Description of the invention (44) From the gap 1343 between adjacent guide posts 1342, to remove the floating gate material to isolate the guide posts, it is not necessary to etch the floating gate non-isotropically. This is because the floating gate material is electrically conductive, but the discontinuous nature of the nanocrystals isolates the pillars. In this way, the tunneling dielectric, the floating gate 1346, and the control gate dielectric can be used to isolate the control gate and the first metal conductor formed later. In addition, since the floating gate 1346 is formed of a nanocrystal, it is self-isolated from the floating gate directly above the second stage, even if they are formed at the same time. Next, as shown in FIG. 25A, not only in the gap 1343 between the guide posts 1342, but also between the second guide post bars 1340, a control gate 1350 is formed. The control gate can be formed as discussed in FIGS. 17-20 above, and it is blanket-deposited with a control gate film (such as doped polycrystalline silicon) instead of filling the gap between the second guide pillars 1340. The ground also fills the gap 1343 between adjacent guide posts 1342. Then, if necessary, the control gate film can be ground and recessed under the top surface of the N + source / drain region, and a second ILD 1352 is formed at the recess (as shown in FIG. 25A). More layers. The ILD 1352 and the tunneling dielectric / floating gate / control gate dielectric on top of the second guide post 1340 are then reground to reveal the top N + source / drain regions of the guide post 1340. At this time, the first-level memory device is completed. Each guide post 1342 on the first stage contains a separate floating gate and a control gate on each of them, and there are a total of four independently controllable charge storage regions, as shown in FIG. That is, as illustrated in FIG. 26, the guide post 1342 includes a first control gate pair 1332A and B, which are formed by the lateral tiles along the opposite side walls of the guide post 1342. Control gates 1332A and B are also shared by horizontally adjacent guide posts. The guide post 1342 also contains a second control gate pair 1350A and B, which is transversely aligned along the opposite third on the guide post 1342. Mm)

Order

540086 A7 B7 發明説明(45 及第四面而形成。每一控制閘極1350將不僅為同一級中的水 平相鄰的導柱1342所分享,同樣地也為後續形成的第二級中 位於垂直之上的導柱式記憶裝置所分享。因為導柱1342包含 四個獨立可控制的控制閘極及四個聯同而隔絕的浮動閘極 ,所以每一導柱式記憶裝置1342皆能儲存多個狀態。 圖20-25所說明的製程可再重複,以製成第二級上的記憶裝 置並開始製造第三級上的記憶裝置。此即,如圖27A及27B(圖 26旋轉90°)所示,可重複圖20-25之步驟,以形成正交於第二 導柱條1340之第三導柱條1360,該等步騾係用於第二級上將 第二導柱條1340圖型化成複數個第二導柱1362 ,並在該第二 導柱鄰近形成一第二控制閘極對1364。 以此,乃製造了第二級記憶體導柱1362,其包含四個獨立 可控制的控制閘極及四個聯同而隔絕的浮動閘極。一第一 控制閘極對1350A及B橫向地沿第二級導柱1362上的對立兩側 壁而形成,且不僅為水平相鄰的單元所分享,同樣地也為位 於第一級上的記憶體導柱1342所分享。一第二控制閘極對 1364A及B橫向地沿第二級導柱1362上的對立的第三及第四面 而形成,且為記憶體陣列之第三級中的後續形成的導柱所 分享。 以上所說明的製程可隨必要而多次重複,增加更多級導 柱式記憶體至陣列中。最後一級記憶體單元可於圖型化最 後I/O之W,由一導柱堆疊條所圖盤化。 本發明之二^子έ己憶導柱式裝置雖係以一特定較佳且體 實施例所示而整合於三維記憶體陣列之中,但應了解,在不 -48- 本紙張尺度適用中國國家標準(CMS) Α4規格(210 X 297公釐)540086 A7 B7 Description of the invention (formed on the 45th and fourth sides. Each control gate 1350 will be shared not only by horizontally adjacent guide posts 1342 in the same stage, but also in the second stage formed later in the vertical The above guide post memory device is shared. Because guide post 1342 includes four independently controllable control gates and four associated and isolated floating gates, each guide post memory device 1342 can store more The process illustrated in Figure 20-25 can be repeated to make a memory device on the second stage and start manufacturing a memory device on the third stage. That is, as shown in Figures 27A and 27B (Figure 26 is rotated 90 ° ), The steps of FIGS. 20-25 can be repeated to form a third guide post 1360 orthogonal to the second guide post 1340. These steps are used for the second guide post 1340 on the second stage. The figure is transformed into a plurality of second guide posts 1362, and a second control gate pair 1364 is formed adjacent to the second guide posts. Thus, a second-level memory guide post 1362 is manufactured, which includes four independent Controlled control gate and four floating gates that are isolated together. A first control gate pair 13 50A and B are formed laterally along the opposite two side walls on the second-stage guide column 1362, and are not only shared by the horizontally adjacent units, but also shared by the memory guide column 1342 on the first stage. The second control gate pair 1364A and B are formed laterally along opposite third and fourth faces on the second-stage guide post 1362, and are shared by the subsequent-formed guide posts in the third stage of the memory array. The process described above can be repeated as many times as necessary to add more stages of column-type memory to the array. The last-level memory unit can be patterned as the last I / O W by a column of stacked columns. Although the second embodiment of the present invention is integrated into a three-dimensional memory array as shown in a specific preferred embodiment, it should be understood that Paper size applies Chinese National Standard (CMS) A4 specification (210 X 297 mm)

裝 訂Binding

線 540086 A7 B7 五、發明説明(46 ) 偏離本發明精神之下,也可利用其他方法來製造三維記憶 體陣列。 2.利用位i於一丰導體區域上或之下的電荷儲存嫫體之記憶 體單元 在圖29A中,該單元包含一二極體及一含區域2921、2922及 2923之堆疊。區域2921含一第一介電質一區域;區域2923含一 第二介電質一區域。配置在這些區域間的,是一儲存區域 2922,其係用來陷獲電荷。保留電荷從而提供單元之「記 憶」的,主要為此區域。如以下所將討論的,電荷可電置於 區域2922内而接受電感測,且從區域2922電移除。 區域2921包含氧化物,其厚度典型在1-5奈米,而以2-3奈米 較佳。在一具體實施例中,本申請案所引用的區域2921係指 穿隧介電質。區域2922為一儲存陷獲的電荷的區域,諸如氮 化物區域,乃是先前技藝中所知(以下做更詳細的討論)。在 一具體實施例中,本申請案所引用的區域2922係指儲存介電 質。區域2923可包含氧化物,且做為障壁而用以保留陷獲的 電荷。在一具體實施例中,本申請案所引用的區域2923係指 阻塞介電質。區域2923可有類似於區域2921之厚度。 一旦發生崩穿,電子在二極體中載運正向電流,因此,陷 獲在穿隧介電質一儲存介電質介面2925以及區域2922之内的 載子種類,乃是電子。注意,這些電子的極性將激勵Ν區在 介面區域2921不成熟的逆化(premature inversion)。如此,則單元 特性首度出現負電阻部份時的電壓,會因儲存的電子而降 低,見圖29B的曲線2926對曲線2927。 -49- 本紙張尺度通用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (46) Deviating from the spirit of the present invention, other methods can also be used to manufacture the three-dimensional memory array. 2. A memory cell utilizing a charge storage body located above or below a conductor region. In FIG. 29A, the cell includes a diode and a stack containing regions 2921, 2922, and 2923. Region 2921 contains a first dielectric-region; region 2923 contains a second dielectric-region. Arranged between these areas is a storage area 2922, which is used to trap charges. It is mainly this area that retains the charge and thus provides the "memory" of the cell. As will be discussed below, the charge may be electrically placed in the region 2922 to undergo an inductive measurement, and electrically removed from the region 2922. The region 2921 contains an oxide, typically having a thickness of 1-5 nm, and more preferably 2-3 nm. In a specific embodiment, the region 2921 referred to in this application refers to a tunneling dielectric. Region 2922 is a region that stores trapped charges, such as nitride regions, and is known in the art (discussed in more detail below). In a specific embodiment, the region 2922 referred to in this application refers to storage dielectric. Region 2923 may contain an oxide and act as a barrier to retain the trapped charge. In a specific embodiment, the region 2923 referred to in this application refers to a blocking dielectric. The region 2923 may have a thickness similar to that of the region 2921. Once a breakdown occurs, the electrons carry a forward current in the diode. Therefore, the types of carriers trapped in the tunneling dielectric-storage dielectric interface 2925 and the region 2922 are electrons. Note that the polarity of these electrons will stimulate the premature inversion of the N region in the interface region 2921. In this way, the voltage when the negative resistance part of the cell characteristic first appears will decrease due to the stored electrons, as shown in the curve 2926 versus the curve 2927 in FIG. 29B. -49- The paper size is in accordance with the Chinese National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(47 ) 在一具體實施例中,程式化係如下組成:對二極體施一充 份的正向偏壓,而使裝置導電;以及,容許正向電流持續夠 久,供充份的電荷陷獲,藉而使電壓閾值從曲線2927所示的 峰值正向電壓移位至曲線2926所示的峰值正向電壓^儘管接 下來下通篇討論二進制程式化,但也可使用多個閾值移位 值而在每單元中儲存多個位元。以此類推,某些快閃記憶體 在每單元中儲存了 2-4個位元,甚或更多。 施加一落在峰值2928與2929間的正向電壓,可做讀出(感測) 。如果電流流動超過一預定閾值,則單元為程式化;如果導 電不發生,則為非程式化;在讀出作業期間導電而流過一程 式化的單元,會增多陷獲的電荷。 抹除之達成,係藉一充份施於記憶體單元的反向偏壓,使 電子穿隧出陷阱而穿過阻塞氧化物2923 ;或者經由電洞流, 來中和陷獲的電子。此作用必須要求二極體在崩潰下作業, 所以抹除電壓須至少為崩潰電壓的最低點。 A.基板中之二端子睪元 參見圖30所闡示,其係將本發明第一具體實施例之記憶體 單元配置於一 P型基板2930中。在該基板中,係形成一二極體 (該單元之引導元件[steering element]),其包含:一 η-區2932,摻 雜至5Χ1016-1018/立方厘米(以實例來說);以及,一 ρ+區2931, 摻雜至> 1019/立方厘米,而係形成於η-區2932之内。這些區域 可藉熟石方法(諸如擴散或離子佈植)來形成。 在區域2932上形成一儲存堆疊,而其包含有一介電質(如, 氧化物)區域2933、陷獲層2934及一第二介電質(如,氧化物)區 -50- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(48 ) 域2935 。 介電質區域2933可為一長出的氧化物層或一沈積的二氧化 矽區域。若包含氧化物,此區域可厚1-5奈米。做平常的處理 即可形成這些區域。 本申請案中所討論的陷獲區域2934及其他陷獲區域由其他 材料之外,也可由氮化合物來形成。在先前技藝中,氮化矽 (氮化物)乃此用途中最通用者$其他可用的氮化合物層為含 氧氮化物(ON)及氧化物一氮化物一氧化物(ΟΝΟ)。其他(單獨 或組合)展現電荷陷獲特性之材料,也可以使用。以實例來 說,具有絕緣的多晶矽區域之礬土(ΑΙΑ;)及二氧化矽,就展 現這些特性。陷獲區域一般為2-20奈米厚,而以3-10奈米厚較 佳。 區域2933及2934的厚度,係由SONOS記憶體技藝中的熟知 因素所決定。例如,穿隧介電質區域須夠薄,使得穿隧之下 無過份的電壓降,方有長壽;陷獲介電質區域須夠厚,不容 許有可觀的電荷自發脫陷(detrapping)。如以上所提及的,對於 氧化物區域2933,典型的厚度係在1-5奈米範圍内,而以2-3奈 米較佳;對於使用氮化物之陷獲層來說,則為3-10奈米。 層2935為氧化物或其他介電質區域,而可有同於區域2933 之厚度。其他可使用的介電質包括鈣鈦礦、陶瓷、鑽石(及鑽 石狀之膜)、碳化矽及無摻雜的矽(包括多晶矽"此區域可由 熟知的沈積技術來形成。如前述,所引用的區域2933係指穿 隧介電質層,其導致(至少部份地)先前討論到的負電阻特性 。另一方面,層2935預防來自區域2934的電荷免於洩漏(以實 _-51 -_ 本紙張尺度逋用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the Invention (47) In a specific embodiment, the stylization is composed of: applying a sufficient forward bias to the diode to make the device conductive; and allowing the forward current to continue Long enough for sufficient charge to be trapped, thereby shifting the voltage threshold from the peak forward voltage shown in curve 2927 to the peak forward voltage shown in curve 2926 ^ Although binary programming is discussed next, However, multiple threshold shift values may be used to store multiple bits in each cell. By analogy, some flash memory stores 2-4 bits or more in each unit. A forward voltage falling between the peaks 2928 and 2929 can be applied for reading (sensing). If the current flow exceeds a predetermined threshold, the cell is programmed; if the conduction does not occur, it is unprogrammed; the conductive charge flowing through the programmed cell during the read operation increases the trapped charge. The erasure is achieved by using a reverse bias voltage sufficiently applied to the memory cell to cause the electrons to tunnel out of the trap and pass through the blocking oxide 2923; or through the hole current to neutralize the trapped electrons. This effect must require the diode to operate under breakdown, so the erase voltage must be at least the lowest point of the breakdown voltage. A. Two terminal units in a substrate As shown in FIG. 30, the memory unit of the first embodiment of the present invention is arranged in a P-type substrate 2930. In the substrate, a diode (a steering element of the unit) is formed, which includes: an n-region 2932 doped to 5 × 1016-1018 / cm 3 (for example); and, A ρ + region 2931 is doped to > 1019 / cm3, and is formed in the η- region 2932. These areas can be formed by cooked stone methods such as diffusion or ion implantation. A storage stack is formed on region 2932, which includes a dielectric (eg, oxide) region 2933, a trapping layer 2934, and a second dielectric (eg, oxide) region -50-This paper size applies China National Standard (CNS) A4 Specification (210 X 297 mm) 540086 A7 B7 V. Description of Invention (48) Domain 2935. The dielectric region 2933 can be a grown oxide layer or a deposited silicon dioxide region. If oxides are included, this area can be 1-5 nm thick. These areas can be formed by ordinary processing. The trapped area 2934 and other trapped areas discussed in this application may be formed from other materials than nitrogen compounds. In the prior art, silicon nitride (nitride) is the most common in this application. Other available nitrogen compound layers are oxynitride (ON) and oxide-nitride-oxide (ONO). Other materials (alone or in combination) that exhibit charge trapping properties can also be used. By way of example, alumina (ΑΙΑ;) and silicon dioxide with insulated polycrystalline silicon regions exhibit these characteristics. The capture area is generally 2-20 nm thick, and preferably 3-10 nm thick. The thickness of the regions 2933 and 2934 is determined by well-known factors in SONOS memory technology. For example, the tunneling dielectric region must be thin enough so that there is no excessive voltage drop under the tunnel for longevity; the trapped dielectric region must be thick enough to not allow considerable charge to spontaneously detrap. . As mentioned above, for oxide region 2933, the typical thickness is in the range of 1-5 nanometers, and preferably 2-3 nanometers; for nitride-captured layers, it is 3 -10 nm. The layer 2935 is an oxide or other dielectric region and may have the same thickness as the region 2933. Other dielectrics that can be used include perovskites, ceramics, diamonds (and diamond-like films), silicon carbide, and undoped silicon (including polycrystalline silicon). "This region can be formed by well-known deposition techniques. As previously mentioned, all The referenced region 2933 refers to a tunneling dielectric layer that results in (at least in part) the negative resistance characteristics previously discussed. On the other hand, the layer 2935 prevents the charge from the region 2934 from leaking (to be true_-51 -_ This paper size uses Chinese National Standard (CNS) A4 (210X 297mm) binding

線 540086 A7 B7 五、發明説明(49 ) 例來說)至接點2938。因此,有時所引用的層2935係指阻塞介 電質。 含區域2933、2934及2935之儲存堆疊可在單一個連續製程中 製造,而更替沈積室内的氣體混合物:以實例來說,首先提 供氧化物,然後為氮化物,最後再為氧化物。由於這些區域 的相對厚度,整個堆疊可在大約數秒之内安置。 為了操作圖30之單元,首先假設陷獲層當製造時為中性, 此即,陷獲區域2934中無陷獲的電荷。為了將電荷放在區域 2934中,係使陽極接點2937相對於接點2938為正電位,俾對區 域2931與2932所界定的二極體做正向偏壓,直到該電位達到 圖29B所示的電壓2929為止。現在穿隧發生,不僅穿過氧化物 2935,同樣地也穿過氧化物2933 ;電荷則陷獲於區域2934之内 。電荷陷獲量取決於總電荷流動及區域2934的陷獲效率。 為了感測此電荷之存在,再次施一電位於線2937與2938之 間,對區域2931與2932所界定的二極體做正向偏壓。然而,此 次電位係在一大於圖29B所示電壓2928,而小於電壓2929之範 圍内。如果電流超過一預定的閾值流動,則知電荷陷獲在區 域2934中。另一方面,如果不發生如此的電流流動,則知該 層中少有或沒有電荷儲存《以此,對於二進制資料之情形, 可決定單元為程式化抑或非程式化。如前所述及的,在陷獲 層2934中可放有不同含量水準的電荷,而能測定該電流流動 發生時的電壓(比方說在電壓2928與2929之間)。此係對應於層 2934中從個別單元提供多於一個位元之資料時所能使用的電 何f 0 -52- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 装 訂Line 540086 A7 B7 V. Description of the invention (49) For example) to contact 2938. Therefore, the layer 2935 is sometimes referred to as a blocking dielectric. Storage stacks with regions 2933, 2934, and 2935 can be manufactured in a single continuous process, replacing gas mixtures in the deposition chamber: by way of example, oxides are provided first, then nitrides, and finally oxides. Due to the relative thickness of these areas, the entire stack can be placed in about a few seconds. In order to operate the cell of FIG. 30, it is first assumed that the trap layer is neutral when manufactured, that is, there is no trapped charge in the trap region 2934. In order to place the electric charge in the region 2934, the anode contact 2937 is made to a positive potential with respect to the contact 2938, and the diodes defined by the regions 2931 and 2932 are forward biased until the potential reaches the potential shown in FIG. Voltage up to 2929. Tunneling now occurs not only through oxide 2935, but also through oxide 2933; the charge is trapped within region 2934. The amount of charge trapped depends on the total charge flow and the trapping efficiency of region 2934. In order to sense the existence of this charge, a second electric charge is applied between lines 2937 and 2938 to forward-bias the diodes defined by regions 2931 and 2932. However, the potential at this time is within a range larger than the voltage 2928 shown in FIG. 29B and smaller than the voltage 2929. If the current flows beyond a predetermined threshold, it is known that the charge is trapped in the area 2934. On the other hand, if such a current does not flow, it is known that there is little or no charge storage in this layer. Thus, for the case of binary data, it can be determined whether the unit is programmed or unprogrammed. As mentioned earlier, different levels of charge can be placed in the trapping layer 2934, and the voltage at which this current flow occurs (for example, between voltages 2928 and 2929) can be measured. This corresponds to the electricity that can be used when providing more than one bit of data from individual units in layer 2934. f 0 -52- This paper size applies to China National Standard (CNS) A4 (210X 297 mm) binding

線 540086 A7 B7 五、發明説明(50 ) 應注意,在讀出作業期間,讀出電流通過一程式化的單元 ,然後通過區域2933、陷獲區域2934及氧化物區域2935。此並 不像是典型的讀出;典型的讀出係用電荷來移位例如場效 應電晶體中的閾值電壓,而在讀出單元狀態時,電流並不通 過陷獲的電荷區域本身。如稍早所述及的,當電流通過區域 2934而供讀出時,電流實則又再對單元補充;此即,如果單 元原本為程式化,則在資料讀出時將保持為程式化。 從單元讀出資料時,須小心不要超過線2924所代表的電流 。如果有電流超過此上限,例如500(M0,000安培/平方厘米 (amps/cm2),貝1J氧化物區域2933或2935之一或兩者會永久損壞, 並可能有短路或開路。 為了抹除單元中的資料,係將二極體反向偏壓:此即,使 陽極相對於陰極為負。若施充份的電位,二極體會崩潰(如, 突崩[avalanches],齊納[Zeners]崩潰或衝穿),並從區域2934剝奪 電荷。在抹除期間或須使基板2930浮動,以預防層2932與基 板2930之間的接面有正向偏壓。其他的隔絕方法,諸如淺渠 隔絕(STI)或「絕緣體上矽(SOI)」也同樣可使用。 B.基板中之三端子單元 在圖31中,單元併有一場效應電晶體,其含源極和汲極區 域及閘極2946 ^區域2941及2942係在基板2940中對準閘極2946 而形成,如技藝中所熟知。在區域2941上形成一堆疊,其含 一氧化砀區域2943、陷獲區域294^ί及氧化物區域2945。區域 2943、2944及 2945可同於圖 30之區域 2933、2934及 2935。 在本具體實施例中,並非對一二極體正向偏壓,而係對閘 -53-Line 540086 A7 B7 V. Description of the invention (50) It should be noted that during the readout operation, the readout current passes through a stylized unit and then passes through the area 2933, the trapped area 2934, and the oxide area 2935. This is not like a typical readout; a typical readout uses charge to shift, for example, the threshold voltage in a field effect transistor, and when the cell state is read, the current does not pass through the trapped charge region itself. As mentioned earlier, when the current passes through the area 2934 for reading, the current actually supplements the cell again; that is, if the cell was originally programmed, it will remain programmed when the data is read. When reading data from the unit, care must be taken not to exceed the current represented by line 2924. If a current exceeds this limit, such as 500 (M0,000 Amperes per square centimeter (amps / cm2), one or both of the Bay 1J oxide regions 2933 or 2935 will be permanently damaged and may have a short circuit or an open circuit. In order to erase The information in the unit is the reverse bias of the diode: that is, the anode is made negative with respect to the cathode. If a sufficient potential is applied, the diode will collapse (eg, avalanches, Zeners ] Collapse or break through) and deprive charge from area 2934. The substrate 2930 may have to be floated during erasure to prevent the junction between the layer 2932 and the substrate 2930 from being forward biased. Other isolation methods, such as shallow Trench isolation (STI) or "Silicon on Insulator (SOI)" can also be used. B. Three-terminal unit in the substrate In Figure 31, the unit does not have a field effect transistor, which contains source and drain regions and gates. The poles 2946 and regions 2941 and 2942 are formed by aligning the gates 2946 in the substrate 2940, as is well known in the art. A stack is formed on the region 2941, which contains a hafnium oxide region 2943, a trapped region 294, and oxidation. Object area 2945. Areas 2943, 2944, and 2945 can be the same as those in Figure 30. 2933,2934 and 2935. Example domain, rather than a forward bias of a diode in this particular embodiment, the lines to the gate -53-

裝 訂Binding

線 本紙張尺度適用中國國家標準(CNS) Α4規格(21〇x 297公釐) 540086 A7 B7 五、發明説明(51 ) 極2946施一正電位,並使接點2948相對於接點2947維持為正。 此係為單元之程式化及讀出而做。為了抹除單元,則接點 2948相對於接點2947為負,而使陷獲的電荷從區域2944移除。 對於圖30及31兩具體實施例來說,在一些記憶體陣列中或許 更必要藉反向偏壓而經由基板一次抹除一费個陣列’比方 說對區域2941及基板2940反向偏壓。必要時,可在基板之上 而不在基板之中形成圖30及31之單元,且/或以三維來堆疊。 C.佶用導軌堆疊_之三維具體音施例 美國申請案序號〇9/560,626(在2000年4月28曰提出),及其共 同待審的部份接續申請案-美國申請案序號09/814,727 (2001年 3月21曰提出),兩案都讓與本發明受讓人,而題為〈三維記 憶體陣列之製法〉(Three-Dimensional Memory Array Method of Fabrication);其中揭露了 一種製造於基板上且使用導執堆疊 之三維記憶體陣列"可用此專利申請案所說明之技術,依據 本發明本具體實施例來政造三維電荷陷獲或儲存記憶體, 如以下所討論。 在圖32中顯示的,為一記憶體陣列之三個全級,特定地說 ,為級2950、2951及2952。每一級包含複數個平行而間隔分開 的導軌堆疊。圖32之導軌堆疊3及5在一第一方向延伸;導軌 堆疊4及6在一第二方向延伸,其典型係垂直於第一方向。圖 32之每一導軌堆疊在其中心含有一導體或輸入/輸出部份, 且在該導體兩側上都配置有半導體區域。對於圖32之具體實 施例來說,第一交替的導軌堆疊(以實例來說係導軌堆疊3及 5)係從該等導體上配置的η型多晶矽製造起。第二交替的導 一 ___ - 54 - 本紙張尺度通用中國國家操~^(CNS) Α4規格(210X297公釐)The size of the paper is applicable to the Chinese National Standard (CNS) A4 specification (21 × 297 mm) 540086 A7 B7 V. Description of the invention (51) The pole 2946 applies a positive potential and maintains the contact 2948 relative to the contact 2947 as positive. This is done for the programming and reading of the unit. To erase the cell, the contact 2948 is negative with respect to the contact 2947, and the trapped charge is removed from the region 2944. For the specific embodiments of Figs. 30 and 31, in some memory arrays, it may be more necessary to erase one array at a time through the substrate by reverse biasing, for example, reverse biasing the region 2941 and the substrate 2940. When necessary, the cells of FIGS. 30 and 31 may be formed on the substrate without being in the substrate, and / or stacked in three dimensions. C. Three-dimensional specific sound examples of the use of stacked rails _ US Application Serial No. 0 / 560,626 (filed on April 28, 2000), and some of its co-pending applications-US Application Serial No. 09 / 814,727 (proposed on March 21, 2001), both cases assigned the assignee of the present invention, and the title is "Three-Dimensional Memory Array Method of Fabrication"; it discloses a method manufactured in A three-dimensional memory array on a substrate and stacked using a guide " can use the technology described in this patent application to build a three-dimensional charge trapping or storage memory according to the present embodiment of the present invention, as discussed below. Shown in FIG. 32 are three full stages of a memory array, specifically stages 2950, 2951, and 2952. Each stage contains a plurality of parallel and spaced apart rail stacks. The rail stacks 3 and 5 of FIG. 32 extend in a first direction; the rail stacks 4 and 6 extend in a second direction, which is typically perpendicular to the first direction. Each of the guide rails of FIG. 32 includes a conductor or an input / output portion at the center thereof, and semiconductor regions are arranged on both sides of the conductor. For the specific embodiment of FIG. 32, the first alternate rail stack (for example, rail stacks 3 and 5) is fabricated from n-type polycrystalline silicon disposed on these conductors. The second alternate guide ___-54-This paper size is in accordance with Chinese national practice ~ ^ (CNS) Α4 size (210X297 mm)

裝 訂Binding

線 540086 A7 B7 五、發明説明(52 ) 軌堆疊4及6在其導體上則有p型多晶矽。 更特定地說,參見導軌堆疊5,其包含:中心導體或輸入/ 輸出2953,以實例來說為鋁或矽化物導體;n+區2954及2956, 配置在該導體兩側;以及,η-區2955及2957,分別配置在區域 2954及2956上。該η+區可摻雜至〉1019/立方厘米之水準;Ν-區 則至5Χ 1016-1018/立方厘米。再者,導執堆疊4及6包含一導體 或輸入/輸出,諸如導體2960,而該導體兩側上具有ρ+區(在 導軌堆疊之一上示為ρ+區2961及2962)。這些區域及整組堆疊 之製造,經說明於以上所引申請案中;該申請案係以引用的 方式併入本文中。 上引申請案,係在導軌堆疊間使用一抗熔材料毯覆(blanket) 。就本發明來說,每一級導軌堆疊間使用了三道毯覆。特定 地說,係在導軌堆疊5與6之間配置了層2963 ;在導軌堆疊4與 5之間配置了層2964。層2963及2964係對應於(舉例來說)圖30之 層2933、2934及2935。如此,層2964包含··一介電質(如,氧化 物)層2966,厚可1-5奈米,而以2-3奈米較佳;一陷獲層2967, 諸如氮化矽層,厚可2-20奈米,而以3-10奈米較佳;以及,一 介電質(如,氧化物)層2968,其厚度可類似於層2966。上述用 以形成圖30之區域2933、2934及2935之材料,可應用於圖32之 層 2966、2967及 2968。 圖32陣列中的單元,乃生成於導軌堆疊的相交處。對於圖 32具體f施例來說,儲存堆疊係配置於二極體的p區與η區域 之間。此即,儲存堆疊係嵌在引導元件之中。例如,導體 2960經由ρ區2961而使一個單元得以存取。層2963配置於ρ區 _;_-55-__ 本紙張尺度適用中固國家標準(CNS) Α4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 5. Description of the Invention (52) The rail stacks 4 and 6 have p-type polycrystalline silicon on their conductors. More specifically, see rail stack 5, which includes: a center conductor or input / output 2953, for example an aluminum or silicide conductor; n + regions 2954 and 2956, arranged on both sides of the conductor; and, η-region 2955 and 2957 are located in areas 2954 and 2956, respectively. The n + region can be doped to a level of> 1019 / cm3; the N- region can be doped to 5 × 1016-1018 / cm3. Furthermore, the guide stacks 4 and 6 include a conductor or input / output, such as conductor 2960, with ρ + regions on both sides of the conductor (shown as ρ + regions 2961 and 2962 on one of the rail stacks). The manufacture of these areas and the entire stack is described in the application cited above; this application is incorporated herein by reference. The application cited above uses a blanket of antifuse material in the stack of guide rails. For the purposes of the present invention, three blankets are used between each stage of the rail stack. Specifically, a layer 2963 is arranged between the rail stacks 5 and 6; a layer 2964 is arranged between the rail stacks 4 and 5. Layers 2963 and 2964 correspond to, for example, layers 2933, 2934, and 2935 of FIG. Thus, the layer 2964 includes a dielectric (eg, oxide) layer 2966, which may be 1-5 nanometers thick, and preferably 2-3 nanometers; a trapping layer 2967, such as a silicon nitride layer, The thickness may be 2-20 nm, and preferably 3-10 nm; and, a dielectric (eg, oxide) layer 2968 may be similar in thickness to layer 2966. The materials used to form the regions 2933, 2934, and 2935 of FIG. 30 can be applied to the layers 2966, 2967, and 2968 of FIG. 32. The cells in the array of Figure 32 are generated at the intersection of the rail stacks. For the specific embodiment of FIG. 32, the storage stack is disposed between the p region and the n region of the diode. That is, the storage stack is embedded in the guide element. For example, the conductor 2960 makes a cell accessible via the p-region 2961. The layer 2963 is arranged in the ρ area _; _-55 -__ This paper size is applicable to the China National Standard (CNS) A4 specification (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(53 ) 2961與η-區2955之間。此二端子單元的另一接點,則經區域 2954而至導體2953上。 圖32之單元係以同於上述圖30單元之方式,來程式化、讀 出及抹除。 以圖32之組態,記憶體陣列之相鄰兩對級間的二極體乃 「指」向一共用的導體。更特定地說,參見圖32所闡示,在 記憶體陣列之級2950的單元,其陰極係連接導體2953。闡示 於記憶體級2951中的單元,其陰極也連接導體2953。既然導 體2953供用於兩組單元,製造、程式化、讀出及抹除乃因此 而簡化β 在上引申請案中,有幾個具相異導柱組態的具體實施例, 三維陣列而使用本發明之較佳儲存堆疊者,可應用這些具 體實施例來製造。 D.使用導柱二極體結構之三維具體實施例 美國專利6,034,882揭露了 一種三維記憶體陣列,其使用到 複數個級,而每一級有平行的間隔分開的導體。該等交替級 上的導體係互相垂直。導柱結構乃形成於相鄰級導體的相 交處。如該專利所述,該結構係藉導體對準而形成。記憶體 陣列所用的單元若含本具體實施例之電荷儲存或陷獲區域 ,則該陣列可應用此專利所說明的製造技術來製造。 參見圖33,所闡示的是三維記憶體之單一級,在該陣列之 一級上,一導體或輸入/輸出2981,次一級上則有一導體2980 。一導柱結構對準2980及2981而形成。依據本發明,此導柱結 構形成一單元。特定地說,參見圖33,該單元包含一引導元 -56-Line 540086 A7 B7 V. Invention Description (53) 2961 and η-zone 2955. The other contact of the two terminal unit passes through area 2954 to conductor 2953. The cell of Figure 32 is programmed, read, and erased in the same way as the cell of Figure 30 above. With the configuration of Fig. 32, the diodes between two adjacent pairs of memory arrays "point" to a common conductor. More specifically, as illustrated in FIG. 32, at the level of the memory array 2950, the cathode is connected to the conductor 2953. The cell illustrated in memory level 2951 has a cathode connected to a conductor 2953 as well. Since the conductor 2953 is used for two sets of units, manufacturing, stylization, reading and erasing are simplified because of this. In the application cited above, there are several specific embodiments with different configuration of the guide pillars. The three-dimensional array is used The preferred storage stacker of the present invention can be manufactured using these specific embodiments. D. Three-Dimensional Specific Embodiment Using a Guided-Pole Diode Structure U.S. Patent No. 6,034,882 discloses a three-dimensional memory array using a plurality of stages, each stage having parallel spaced apart conductors. The guide systems on these alternating levels are perpendicular to each other. The pillar structure is formed at the intersection of adjacent-level conductors. As described in the patent, the structure is formed by alignment of conductors. If the cells used in the memory array contain the charge storage or trapping area of this embodiment, the array can be manufactured using the manufacturing technology described in this patent. Referring to FIG. 33, illustrated is a single stage of three-dimensional memory. At one level of the array, there is a conductor or input / output 2981, and at the next level, there is a conductor 2980. A guide post structure is formed by aligning 2980 and 2981. According to the present invention, the guide post structure forms a unit. In particular, referring to Figure 33, this unit contains a guide element -56-

裝 訂Binding

線 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 540086 A7 B7 五、發明説明(54 ) 件,而該引導元件含一接面二極體,該接面二極體則含p+區 2982、η-區2983及儲存堆疊。如圖33所示,該儲存堆疊包含一 穿隧氧化物區域2984、一陷獲2986區域及一阻塞氧化物2985。 如上述專利所說明,圖33所示的單一個單元,與其上及之 下所配置的單元分享導體2980及2981。 圖34頭不另一具體貫施例’此處又有間隔分開的平行導體 或輸入/輸出(諸如導體2991)在一級上,以及平行的間隔分開 的導體在次一級上(諸如導體2990)。再如上引專利所授,在 導體2990與2991間製造一導柱結構。然而,圖33與34結構之間 的差異在於:包含阻塞氧化物2993、陷獲區域2994及穿隧氧 化物2995之儲存堆疊,係配置於二極體的ρ區與η區之間。特 定地說,該二極體的ρ+區2992係接觸阻塞氧化物2993,而η-區 2996係接觸穿隧氧化物2995。 圖33及34所示的各種不同區域的厚度,以及對多晶矽二極 體之摻雜,可類似於此申請案中先前所討論的具體實施例。 圖33及34結構之程式化、讀出及抹除,也如同以上其他具體 實施例之說明。對於圖32、33及34之具體實施例來說,單元 陣列係配置在内有週邊電路形成之基板之上。 II.自對準EEPROM TFT陣歹 另有一種與導柱組態不同的單元組態,乃是自對準TFT。 本發明人切實了解:為使不同層上的特徵確能完全重疊而 納入失翠容差,會擴大記憶及邏ΐί單元的面積。明乎此,本 發明人乃發展出一種不需失準容差即完全對準的記憶或邏 輯單元結構。因而,如此的單元結構每位元(即,每單元)有 __-57-_ 本纸張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 裝 訂The size of the paper is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) 540086 A7 B7 5. The invention description (54) pieces, and the guide element contains a junction diode, and the junction diode Contains p + region 2982, n-region 2983, and storage stack. As shown in Figure 33, the storage stack includes a tunneling oxide region 2984, a trapping 2986 region, and a blocking oxide 2985. As explained in the above patent, the single unit shown in Fig. 33 shares conductors 2980 and 2981 with the units arranged above and below. Fig. 34 shows another embodiment. Here there are spaced apart parallel conductors or input / output (such as conductor 2991) on one level, and parallel spaced apart conductors on the next level (such as conductor 2990). As described in the above cited patent, a guide post structure is manufactured between the conductors 2990 and 2991. However, the difference between the structures of Figs. 33 and 34 is that the storage stack including blocking oxide 2993, trapping region 2994, and tunneling oxide 2995 is disposed between the p region and the n region of the diode. Specifically, the p + region 2992 of the diode is in contact with the blocking oxide 2993, and the n-region 2996 is in contact with the tunneling oxide 2995. The thicknesses of the various regions shown in Figures 33 and 34, and the doping of polycrystalline silicon diodes, may be similar to the specific embodiments previously discussed in this application. The programming, reading and erasing of the structure of Figs. 33 and 34 are also the same as those described in the other embodiments. For the specific embodiments of Figs. 32, 33, and 34, the cell array is disposed on a substrate with peripheral circuits formed therein. II. Self-aligned EEPROM TFT Array Another unit configuration that is different from the guide post configuration is self-aligned TFT. The present inventors truly understand that the inclusion of the loss of emerald in order to allow the features on different layers to completely overlap will increase the area of the memory and logic unit. With this in mind, the inventors have developed a memory or logic cell structure that is perfectly aligned without misalignment tolerances. Therefore, such a unit structure has __- 57-_ per bit (ie, per unit). This paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm). Binding

線 540086 A7 ___B7 五、發明説明(55 ~ 較小的面積,且用到較少的遮罩步驟。該完全對準的單元結 構提高了陣列密度,並降低晶片粒尺寸及成本。而且,視情 況可在Z方向垂直地堆疊單元,以進一步提高陣列密度,且 進一步降低晶片粒尺寸及成本。 如本發明之較佳具體實施例所說明,有若干不同的方式 可獲致完全對準或自對準的記憶或邏輯單元。關於含 EEPROM之記憶或邏輯單元,可藉字線與控制閘極之自對準 ,而獲完全對準。較佳的是,字線大致平行於EEPROM的源 極一通道一汲極方向而延伸,且位元線大致直交於EEPROM 的源極一通道一沒極方向而延伸。在此組態中,因位元線可 直接在EEPROMs的源極及/或汲極區域上對準EEPROM的閘極 (一或更多個)而形成,故不需要位元線接觸墊(即,源極和汲 極電極)及位元線接觸通孔。而且,EEPROMs既為完全自對準 ,則位元線及字線可有大致為平面之上表面;此則改良了裝 置的可靠性。 較佳的是,EEPROMs為安排在三維虛擬接地陣列(VGA)非 揮發性快閃記憶體之中的TFTs,而每一垂直分離之級係藉一 層間絕緣層與一鄰級分離。然而,也可在單級的陣列中或在 一大塊(bulk)半導體基板中,形成EEPROMs。本具體實施例之 較佳方面,也可應用於VGA以外的非揮發性快閃記憶體架構 ,如NOR(反或)型記憶體及雙串NOR (DuSNOR)記憶體。而且, 本發明並不限於TFT EEPROM快閃記憶體陣列;在本發明範 圍之内,也涵蓋有其他半導體裝置。舉例來說,自對準電晶 體可以是在大塊基板中的MOSFETs,或是形1於絕緣基板上 _______158J__一_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 540086 A7 B7 五、發明説明(56 ) 面的非EEPROM TFTs。這些自對準電晶體可用為非快閃 EEPROMs(即·,所含的電晶體皆係分離地抹除)、UV(紫外光)可 抹除式PROMs、遮罩ROMs、動態隨機存取記憶體(DRAMs)、欢 晶顯示器(LCDs)、場可程式化閘陣列(FPGA),及微處理器。 圖37-44所闡示的,是一依據本發明第一較佳具體實施例來 製造TFT EEPROM非揮發性快閃記憶體陣列4001之方法。 首先,提供一有絕緣表面(即,「絕緣體上矽(SOI)」)之基板 ,供記憶體陣列形成用。該基板可含一半導體(即,矽' GaAs 等)晶圓,該晶圓則覆有一絕緣層(諸如氧化矽層或氮化矽層 、玻璃基板、塑膠基板或陶瓷基板)。在該第一具體實施例之 一較佳方面,基板為經過先前處理步驟之單晶狀大塊矽基 板,該等步驟諸如:在基板中形成CMOS(互補金屬氧化物半 導體)電晶體。該等CMOS電晶體可包含週邊或驅動器電路供 記憶體陣列所用。在最佳方面,電路含列及行位址解碼器、 行輸入/輸出(I/O’s)及其他邏輯電路。然而在必要時,驅動器 電路可形成於一絕緣基板上,諸如「絕緣體上矽」基板、玻 璃基板、塑膠基板或陶受基板。該「絕緣體上碎」基板可藉 任何習知的方法來形成,諸如晶圓接合、「以氧佈植分離法 (SIMOX)」,或在矽基板上形成絕緣層。週邊電路完成之後, 在該電路上面共形地沈積圖37所示之層間絕緣層4003。層間 絕緣層4003可包含一或更多任何合適的絕緣層,諸如氧化矽 、氮化i、含氧氮化矽、PSG、BPSG、BSG、旋塗式玻璃(spin-on glass),及/或聚合物介電質層(諸如聚亞胺等)^層間絕緣層 4003宜藉化學機械研磨法(CMP)而平面化;但在其他具體實施 _-59-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 ___B7 V. Description of the invention (55 ~ small area and fewer masking steps. The fully aligned unit structure increases the density of the array and reduces the size and cost of the wafer. Also, as the case may be The cells can be stacked vertically in the Z direction to further increase the density of the array and further reduce the size and cost of the wafer. As described in the preferred embodiment of the present invention, there are several different ways to achieve full alignment or self-alignment. Memory or logic unit. Regarding the memory or logic unit containing EEPROM, it can be fully aligned by the self-alignment of the word line with the control gate. Preferably, the word line is approximately parallel to the source-channel of the EEPROM It extends in the direction of a drain, and the bit line extends approximately orthogonally to the source-channel-polar direction of the EEPROM. In this configuration, the bit line can be directly at the source and / or drain region of the EEPROMs. It is formed by aligning the gate (one or more) of the EEPROM on top, so no bit line contact pads (ie, source and drain electrodes) and bit line contact vias are needed. Moreover, EEPROMs are both completely self-contained. Alignment, then The bit lines and word lines may have a substantially planar upper surface; this improves the reliability of the device. Preferably, the EEPROMs are arranged in a three-dimensional virtual ground array (VGA) non-volatile flash memory. TFTs, and each vertically separated stage is separated from an adjacent stage by an interlayer insulation layer. However, EEPROMs can also be formed in a single-stage array or in a bulk semiconductor substrate. This specific embodiment In a better aspect, it can also be applied to non-volatile flash memory architectures other than VGA, such as NOR (inverted-OR) memory and dual-string NOR (DuSNOR) memory. Moreover, the present invention is not limited to TFT EEPROM memory. Flash memory array; within the scope of the present invention, other semiconductor devices are also covered. For example, self-aligned transistors can be MOSFETs in a large substrate, or shape 1 on an insulating substrate _______158J__ 一_ This paper size applies to the Chinese National Standard (CNS) A4 specification (210X 297 mm) 540086 A7 B7 5. Description of the invention (56) non-EEPROM TFTs. These self-aligned transistors can be used as non-flash EEPROMs (that is, · , The included transistors are all Off-ground erase), UV (ultraviolet light) erasable PROMs, mask ROMs, dynamic random access memories (DRAMs), happy crystal displays (LCDs), field programmable gate arrays (FPGAs), and micro Processor. Figures 37-44 illustrate a method for manufacturing a TFT EEPROM non-volatile flash memory array 4001 according to the first preferred embodiment of the present invention. First, an insulating surface (ie, "Silicon On Insulator (SOI)" substrate for memory array formation. The substrate may include a semiconductor (ie, silicon 'GaAs, etc.) wafer, and the wafer is covered with an insulating layer (such as a silicon oxide layer or a silicon nitride layer, a glass substrate, a plastic substrate, or a ceramic substrate). In a preferred aspect of this first embodiment, the substrate is a monocrystalline bulk silicon substrate that has undergone previous processing steps, such as forming a CMOS (Complementary Metal Oxide Semiconductor) transistor in the substrate. These CMOS transistors can include peripheral or driver circuits for memory arrays. In the best aspect, the circuit includes column and row address decoders, row input / output (I / O's), and other logic circuits. However, when necessary, the driver circuit may be formed on an insulating substrate, such as a "silicon on insulator" substrate, a glass substrate, a plastic substrate, or a ceramic substrate. The "fragmentation on insulator" substrate can be formed by any conventional method, such as wafer bonding, "oxygen implant separation (SIMOX)", or an insulating layer formed on a silicon substrate. After the peripheral circuit is completed, an interlayer insulating layer 4003 shown in FIG. 37 is conformally deposited on the circuit. The interlayer insulating layer 4003 may include one or more of any suitable insulating layers, such as silicon oxide, nitride i, silicon oxynitride, PSG, BPSG, BSG, spin-on glass, and / or Polymer dielectric layer (such as polyimide, etc.) ^ The interlayer insulating layer 4003 should be planarized by chemical mechanical polishing (CMP); but in other specific implementations _-59-_ This paper standard applies to Chinese national standards (CNS ) A4 size (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(57 ) 例中,也能藉回蝕及/或其他手段而平面化。 然後在絕緣層4003上面沈積一半導體活性區面層4005,以 完成SOI基板。該半導體層將供電晶體活性區面所用。層4005 可有任何必要的厚度,諸如20至120奈米,而以70奈米較佳; 所選厚度是為了,電晶體閘極之下的空間電荷(space charge)區 域在耗盡地區中延伸,遍及整層。較佳的是,半導體層4005 包含一摻雜了第一導電率型摻雜劑之非晶系或多晶狀矽層 。例如,層4005可於沈積期間就地摻雜,或於沈積後藉離子 佈植或擴散,而成為P型摻雜。 必要時,可加熱半導體層4005,藉以改良其晶性。換句話 說,可再結晶非晶系矽層,而形成多晶矽;或者可增大多晶 矽層的晶粒尺寸。該加熱做法包括··對層4005做熱或雷射退 火。必要時,可藉催化誘導結晶作用,來改良層4005的晶性 。在此製程中,係施加諸如Ni、Ge、Mo、Co、Pt、Pd、其碎化 物,或其他過渡金屬元素等催化劑元素,與半導體層4005接 觸。然後,對層4005做熱及/或雷射退火。於退火期間,催化 劑元素或係傳播穿過矽層而留下大晶粒殘跡,抑或在矽結 晶作用開始處供用為晶種。在後一情形下,非晶系矽層係藉 由固相結晶作用(SPC),然後從此一晶種橫向地結晶。 應注意,如果係使用單晶體SOI基板,則可省略非晶系矽 或多晶狀矽層4005之沈積。在此情形下,使用SIMOX法,則氧 離子深入佈植於單晶體矽基板内'而於其中形成一埋設的 氧化矽層。在該埋設的氧化矽層之上,則餘留單晶體矽層。 其次,宜清除活性層4005表面之上的雜質;並移除天然的 -60- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 5. In the example of the invention (57), it can also be planarized by etch back and / or other means. A semiconductor active area surface layer 4005 is then deposited on the insulating layer 4003 to complete the SOI substrate. This semiconductor layer will be used to power the active area of the crystal. The layer 4005 can have any necessary thickness, such as 20 to 120 nm, and preferably 70 nm; the thickness is selected so that the space charge region under the transistor gate extends in the depletion region , Throughout the entire floor. Preferably, the semiconductor layer 4005 includes an amorphous or polycrystalline silicon layer doped with a first conductivity type dopant. For example, the layer 4005 may be doped in-situ during deposition, or implanted or diffused by ion after deposition to become P-type doped. If necessary, the semiconductor layer 4005 can be heated to improve its crystallinity. In other words, the amorphous silicon layer can be recrystallized to form polycrystalline silicon; or the crystal grain size of the polycrystalline silicon layer can be increased. This heating procedure includes ... heat or laser annealing of layer 4005. If necessary, the crystallinity of the layer 4005 can be improved by catalysis-induced crystallization. In this process, a catalyst element such as Ni, Ge, Mo, Co, Pt, Pd, its fragments, or other transition metal elements is applied to contact the semiconductor layer 4005. The layer 4005 is then subjected to thermal and / or laser annealing. During annealing, the catalyst elements may propagate through the silicon layer and leave large grain residues, or they may be used as seeds at the beginning of silicon crystallization. In the latter case, the amorphous silicon layer is crystallized laterally from a seed crystal by solid phase crystallization (SPC). It should be noted that if a single-crystal SOI substrate is used, the deposition of amorphous silicon or polycrystalline silicon layer 4005 may be omitted. In this case, using the SIMOX method, oxygen ions are deeply implanted in the single crystal silicon substrate 'to form a buried silicon oxide layer therein. Above the buried silicon oxide layer, a single crystal silicon layer remains. Secondly, the impurities on the surface of the active layer 4005 should be removed; and the natural -60-

線 540086 A7 B7 五、發明説明(58 ) 氧化物。然後在層4005上形成一電荷儲存區域4007。在本發 明之第一較佳具體實施例中,電荷儲存區域4007包含了氧化 物一氮化物一氧化物(ΟΝΟ)介電質三重層。此介電質含一第 一(底部评〇2層(亦稱呼為穿隧氧化物)、一電荷儲存 層(X為0至1),及一第二(頂部闷〇2層(亦呼阻塞氧化物)。該穿 隧氧化物或係藉熱氧化作用,而長出於活性區面層4005上; 抑或藉大氣壓、低壓或電漿加強等化學氣相沈積法(APCVD、 LPCVD或PECVD)或其他手段,而沈積於該活性區面層上面。 該穿隧氧化物厚為1.5奈米至7奈米,而以4.5奈米較佳。該電 荷儲存氮化矽或含氧氮化碎(Si3N4.xOUx)層係沈積在穿隧氧化 物上面;其厚度至少為5奈米,而以5·15奈米較佳,以6奈米 最佳。該阻塞氧化物層則係安排在電荷儲存層表面之上,且 厚為3.5奈米至9.5奈米,而以5.0奈米較佳。電荷儲存及阻塞層 可藉APCVD、LPCVD、PECVD,或其他手段(諸如濺鍍)而沈積 〇 應注意,依需要而定,可使用不同的材料及不同的層厚。 舉例來說,形成電荷儲存層,並不必定是由。例如 ,在第一具體實施例之一替代方面,形成電荷错存層的,可 為複數個電性隔絕的奈米晶體,諸如矽、鎢或鋁奈米晶體, 而分散於氧化矽、氮化矽或含氧氮化矽絕緣層之中。如果使 用奈米晶體電荷儲存層,必要時可省略穿隧及/或阻塞氧化 物層。 形成電荷儲存區域4007(即,ΟΝΟ介電質)之後,在該電荷儲 存區域上面沈積一第一閘極層4009 ^第一閘極層4009可含任 -61 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (58) oxide. A charge storage region 4007 is then formed on the layer 4005. In the first preferred embodiment of the present invention, the charge storage region 4007 includes an oxide-nitride-oxide (ONO) dielectric triple layer. This dielectric contains a first (bottomed 0 2 layer (also known as tunneling oxide), a charge storage layer (X is 0 to 1), and a second (topped 0 2 layer (also called blocking). Oxide). The tunneling oxide may be formed by thermal oxidation and grow on the surface layer 4005 of the active area; or by chemical vapor deposition (APCVD, LPCVD, or PECVD) such as atmospheric pressure, low pressure, or plasma strengthening, or Other means, and deposited on the surface layer of the active region. The thickness of the tunneling oxide is 1.5 nm to 7 nm, and preferably 4.5 nm. The charge storage silicon nitride or oxynitride (Si3N4 .xOUx) layer is deposited on the tunneling oxide; its thickness is at least 5 nm, and preferably 5.15 nm, and most preferably 6 nm. The blocking oxide layer is arranged on the charge storage layer Above the surface, the thickness is 3.5 nm to 9.5 nm, and preferably 5.0 nm. The charge storage and blocking layer can be deposited by APCVD, LPCVD, PECVD, or other means (such as sputtering). It should be noted that Depending on the needs, different materials and different layer thicknesses can be used. For example, the formation of a charge storage layer does not have to be determined For example, in an alternative aspect of the first embodiment, the charge staggered layer is formed, and may be a plurality of electrically isolated nanocrystals, such as silicon, tungsten, or aluminum nanocrystals, dispersed in silicon oxide. , Silicon nitride, or silicon oxynitride insulating layer. If a nanocrystalline charge storage layer is used, tunneling and / or blocking of the oxide layer may be omitted if necessary. Form a charge storage region 4007 (ie, ΝΟΟ dielectric) ) After that, a first gate layer 4009 is deposited on the charge storage area ^ The first gate layer 4009 may contain any -61-This paper size applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) binding

540086 A7 B7 五、發明説明(59 ) 何導電層,諸如n+摻雜的多晶矽。如此的多晶矽層可有任何 適當的厚度,諸如50至200奈米,而以100奈米較佳;且有任何 適當的摻雜劑濃度,諸如1019-1021/立方厘米,而以102G/立方厘 米較佳。 必要時,在第一閘極層4009表面之上,視情況可形成一保 護層4011,諸如保護性的氧化矽層。層4011可有任何適當的 厚度,舉例來說諸如3-10奈米,而以5奈米較佳。必要時,氧 化矽以外的材料也可用於層4011。 然後在保護層4011上面沈積一犧牲阻塞層4013。在第一具 體實施例之一較佳方面,製成該阻塞層的,係可做選擇性蝕 刻(而放過該裝置之其他層)之任何導電或絕緣材料。阻塞層 4013以含氮化矽層較佳。該阻塞層可有任何厚度。較佳的是 ,對於控制閘極全部或控制閘極上部來說,阻塞層4013有必 要之厚。以下將更詳細說明之。例如,層4013厚為100至250奈 米,而以160奈米較佳。圖37顯示此處理階段上的裝置截面。 其次,使用一反向位元線遮罩,將位元線圖型轉移至製程 中的裝置晶圓或基板,如圖38所示。在此遮罩中,透明的區 面界定位元線,不透明的(即,暗的)區面則界定位元線間的 空間。舉例來說,在阻塞層4013上面形成一正抗光蝕層(未示 於圖38中),透過該反向位元線遮罩而曝光,並顯影。當然, 如果使用負抗光蝕劑,則遮罩的透明與不透明區面反轉。 以該疣光蝕層做為遮罩,遮罩特徵乃蝕刻入阻塞氮化物 4013、保護性氧化物4011及第一閘極層4009,而形成複數個閘 極堆疊4015。ΟΝΟ介電質4007則供用為阻擋層。然後,抗光蝕 -62- 本紙張尺度適用中國國家標準(CMS) Α4規格(210 X 297公釐) 裝 訂540086 A7 B7 5. Description of the invention (59) Any conductive layer, such as n + doped polycrystalline silicon. Such a polycrystalline silicon layer may have any suitable thickness, such as 50 to 200 nanometers, preferably 100 nanometers; and any suitable dopant concentration, such as 1019-1021 / cm3, and 102G / cm3 Better. If necessary, a protective layer 4011, such as a protective silicon oxide layer, may be formed on the surface of the first gate layer 4009, as appropriate. The layer 4011 may have any suitable thickness, such as, for example, 3-10 nm, and preferably 5 nm. If necessary, materials other than silicon oxide may be used for the layer 4011. A sacrificial blocking layer 4013 is then deposited on the protective layer 4011. In a preferred aspect of the first specific embodiment, the blocking layer is made of any conductive or insulating material that can be selectively etched (while passing through other layers of the device). The blocking layer 4013 is preferably a silicon nitride-containing layer. The blocking layer can have any thickness. Preferably, the blocking layer 4013 is necessary for controlling all or the upper part of the gate. This will be explained in more detail below. For example, the layer 4013 is 100 to 250 nanometers thick, and more preferably 160 nanometers. Figure 37 shows the device cross section at this processing stage. Second, a reverse bit line mask is used to transfer the bit line pattern to the device wafer or substrate in the process, as shown in Figure 38. In this mask, transparent regions bound the metalines, while opaque (ie, dark) regions bounded the spaces between the metalines. For example, a positive photoresist layer (not shown in FIG. 38) is formed on the blocking layer 4013, exposed through the reverse bit line mask, and developed. Of course, if a negative photoresist is used, the transparent and opaque areas of the mask are reversed. The wart photoetching layer is used as a mask. The mask feature is etched into the blocking nitride 4013, the protective oxide 4011, and the first gate layer 4009 to form a plurality of gate stacks 4015. Onion dielectric 4007 is used as a barrier layer. Then, photoresistance -62- This paper size applies the Chinese National Standard (CMS) A4 specification (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(60 ) 層從圖.型化的閘極堆疊4015剝除。抗光蝕劑可於阻塞氮化物 4013經蝕刻後移除,氮化物在此情形下可用做為硬遮罩,供 第一閘極層4009蝕刻用。閘極堆疊4015包含一圖型化的第一 閘極電極4009、一選用的保護性氧化物4011及一圖型化的阻 塞層4013。必要時,可長出一薄層氮化碎、含氧氮化碎,或 氧化矽,以密封第一閘極電極4009的側壁。 電晶體源極和汲極區域4017,係用閘極堆疊4015為遮罩而 藉自對準離子佈植所形成。抗光蝕層可於此佈植期間留在 該閘極堆疊上,或於佈植之前移除。該離子佈植穿過ΟΝΟ介 電質4007。然而在必要時,ΟΝΟ介電質4007在閘極4009之間的 部份可於離子佈植之前移除。 活性層4005的通道區域4019係位於閘極電極4009之下。區域 4017摻雜一第二導電率型摻雜劑,有異於通道4019的第一導 電率型摻雜劑。因此,如果通道4019為ρ型摻雜,則源極和汲 極區域4017為η型摻雜,反之亦然。圖38顯示此處理階段中的 裝置。 應注意,在記憶體陣列中,「源極」和「汲極」係任意指 定。因此,區域4017可視為「源極」或者「汲極」,端賴電壓 提供於何一位元線而定。而且,在此記憶體陣列中既不以場 氧化物區域(field oxide regions)為尚,則每一區域4017皆位於二 個閘極電極4009之間。因而,對於一個閘極來說,一特定的 區域40 Π「可視為「源極」;對於另二個閘極4009來說,則視為 「汲極」。 其次,在閘極堆疊4015的側壁上形成閘極堆疊側壁間隔物 -63- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (60) The layer is stripped from the gate gate stack 4015 as shown in the figure. The photoresist can be removed after the blocking nitride 4013 is etched. In this case, the nitride can be used as a hard mask for etching the first gate layer 4009. The gate stack 4015 includes a patterned first gate electrode 4009, an optional protective oxide 4011, and a patterned blocking layer 4013. If necessary, a thin layer of nitrided, oxynitrided, or silicon oxide may be grown to seal the sidewall of the first gate electrode 4009. The transistor source and drain regions 4017 are formed by self-aligned ion implantation using a gate stack 4015 as a mask. The photoresist layer can be left on the gate stack during this implantation or removed before implantation. This ion implanted through the ONO dielectric 4007. However, if necessary, the portion of the ONO dielectric 4007 between the gates 4009 can be removed before the ion implantation. The channel region 4019 of the active layer 4005 is located under the gate electrode 4009. The region 4017 is doped with a second conductivity type dopant, which is different from the first conductivity type dopant of the channel 4019. Therefore, if the channel 4019 is p-type doped, the source and drain regions 4017 are n-type doped and vice versa. Figure 38 shows the equipment in this processing stage. It should be noted that in the memory array, "source" and "drain" are arbitrarily specified. Therefore, the region 4017 can be regarded as a "source" or a "drain", and the terminal voltage depends on which bit line is provided. Moreover, in this memory array, field oxide regions are not used, and each region 4017 is located between two gate electrodes 4009. Therefore, for one gate, a certain area 40 can be regarded as "source"; for the other two gates 4009, it can be regarded as "drain". Secondly, a gate stack side wall spacer is formed on the side wall of the gate stack 4015 -63- This paper size applies to China National Standard (CNS) A4 (210X297 mm) binding

線 540086 A7 B7 五、發明説明(61 ) 4021,如圖39所示。較佳的是:如果阻塞層4013包含氮化矽, 則間隔物4021包含氧化矽。然而,該等間隔物也可包含··本 身無可觀蝕刻,而使阻塞層4013得以選擇性蝕刻之任何材料 。舉例來說,如果阻塞層4013包含氧化矽,則間隔物4021也可 包含氮化矽。間隔物4021宜由氧化矽層在堆疊4015上面共形 沈積而形成;其後再做非各同向性的氧化物蝕刻。該間隔物 蝕刻製程以ΟΝΟ介電質蝕刻告終,而曝露了源極和汲極區域 4017。若須要,此時可用閘極堆疊4015及間隔物4021為遮罩, 加做自對準離子佈植,藉而提高源極和汲極區域4017中之摻 雜。若是,則可在間隔物形成之前佈植,以形成輕薄摻雜的 源極/汲極(LDD)延伸部份。 然後使用自對準矽化物製程,在矽源極和汲極區域4017中 ,以自對準方式形成矽化物區域4023。該自對準矽化物製程 包含三個步驟。首先,在曝露的區域4017、側壁間隔物4021及 閘極堆疊4015的阻塞層4013上面,毯覆性沈積一層金屬,諸 如Ti、W、Mo、Ta等,或諸如Co、Ni、Pt或Pd等過渡金屬。裝置 經退火,藉直接冶金反應而實行矽化作用;其中該金屬層在 區域4017與矽反應,乃在區域4017上面形成矽化物區域4023。 餘留在間隔物4021及阻塞層4013上的未反應的金屬,則藉選 擇性蝕刻(諸如,使用皮拉納溶液)而移除。矽化物區域4023 和摻雜的矽區域4017—同包含了位元線4025。圖39顯示此製 造階段:Γ的裝置。 ~ 然後沈積一共及的絕緣層4027,以填充位元線4025之上及 側壁間隔物4021之間的渠溝。絕緣層4027可包含任何絕緣材 -64 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 5. Description of the invention (61) 4021, as shown in Figure 39. Preferably, if the blocking layer 4013 includes silicon nitride, the spacer 4021 includes silicon oxide. However, the spacers may also contain any material that does not have substantial etching itself, allowing the blocking layer 4013 to be selectively etched. For example, if the blocking layer 4013 includes silicon oxide, the spacer 4021 may also include silicon nitride. The spacer 4021 is preferably formed by conformally depositing a silicon oxide layer on the stack 4015; thereafter, a non-isotropic oxide etching is performed. The spacer etch process ends with a 100N dielectric etch, and the source and drain regions 4017 are exposed. If necessary, at this time, the gate stack 4015 and the spacer 4021 can be used as masks, and self-aligned ion implantation can be used to increase the doping in the source and drain regions 4017. If so, they can be implanted before the spacers are formed to form a lightly doped source / drain (LDD) extension. A self-aligned silicide process is then used to form silicide regions 4023 in a self-aligned manner in the silicon source and drain regions 4017. The self-aligned silicide process consists of three steps. First, a layer of metal, such as Ti, W, Mo, Ta, or Co, Ni, Pt, or Pd, is blanket deposited on the exposed area 4017, the sidewall spacer 4021, and the blocking layer 4013 of the gate stack 4015. Transition metal. The device is annealed to perform silicidation by a direct metallurgical reaction; wherein the metal layer reacts with silicon in the region 4017, and a silicide region 4023 is formed on the region 4017. Unreacted metal remaining on the spacer 4021 and the blocking layer 4013 is removed by selective etching (such as using a Pirana solution). The silicide region 4023 and the doped silicon region 4017—both include bit lines 4025. Figure 39 shows the device in this manufacturing stage: Γ. A common insulating layer 4027 is then deposited to fill the trenches above the bit lines 4025 and between the sidewall spacers 4021. Insulating layer 4027 can include any insulating material -64-This paper size is applicable to China National Standard (CNS) A4 specification (210X 297 mm)

線 540086 A7 B7 五、發明説明(62 ) 料,諸如氧化矽、含氧氮化矽、PSG、BPSG、BSG、旋塗式玻 璃、聚合物.介電質層(諸如聚亞胺等),及/或其他必異於阻塞 層4013材料的絕緣材料。然後絕緣層4027藉化學機械研磨法 (CMP)、回蝕及/或任何其他的手段而平面化,以曝露閘極堆 疊4015上的氮化矽阻塞層4013的上表面。圖40顯示該平面化 步驟後的裝置。 .其次,阻塞氮化矽層4013經選擇性蝕刻,而間隔物4021及 絕緣層4027無可觀蝕刻。保護性氧化物層4011倘或存在,也 從堆疊4015中的第一閘極4009的上表面蝕刻而移除。這些蝕 刻步騾在每一個閘極4009之上形成一閘極接觸通孔4029,如 圖41所示。閘極接觸通孔4029的寬度大致同於第一閘極電極 4009的寬度,此係因該等通孔的側壁即為側壁間隔物4021的 内側壁。閘極接觸通孔4029於是受側壁間隔物4021(在閘極 4009之上延伸)所限定,因而對準閘極4009。形成閘極接觸通 孔4029,並不需微影遮罩步驟。 然後在整個裝置上面,沈積一第二閘極電極導電材料4031 ,如圖42所示。較佳的是,材料4031包含一多層的堆疊,其含 一第一 n+摻雜的多晶矽層4033、一矽化物層4035(諸如丁iSi或 WSi等)及一第二n+摻雜的多晶矽層4037。多晶矽層4033及4037 以100-300奈米厚較佳,諸如200奈米厚。矽化物層4035較佳為 50至100奈米厚,例如60奈米厚。或者,該第二閘材料也能由 單一層象化物、金屬所形成;或由-濃厚摻雜的非晶系或多晶 狀矽、矽化物及金屬之任何其他的組合所形成,只要與第一 閘極電極4009做成良好的歐姆接點(ohmic contact)。 -65- 本纸張尺度適用中國國家標準(CMS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (62) materials such as silicon oxide, silicon oxynitride, PSG, BPSG, BSG, spin-on glass, polymer, dielectric layer (such as polyimide, etc.), and / Or other insulating materials which must be different from the material of the blocking layer 4013. The insulating layer 4027 is then planarized by chemical mechanical polishing (CMP), etch back, and / or any other means to expose the upper surface of the silicon nitride blocking layer 4013 on the gate stack 4015. Figure 40 shows the device after this planarization step. Secondly, the blocking silicon nitride layer 4013 is selectively etched, and the spacer 4021 and the insulating layer 4027 are not etched appreciably. The protective oxide layer 4011, if present, is also removed by etching from the upper surface of the first gate 4009 in the stack 4015. These etching steps form a gate contact via 4029 over each gate 4009, as shown in FIG. The width of the gate contact vias 4029 is substantially the same as the width of the first gate electrode 4009, because the sidewalls of these vias are the inner sidewalls of the sidewall spacer 4021. The gate contact through-hole 4029 is then defined by the side wall spacer 4021 (extending above the gate 4009) and is thus aligned with the gate 4009. The formation of the gate contact through-hole 4029 does not require a lithographic masking step. Then, a second gate electrode conductive material 4031 is deposited on the entire device, as shown in FIG. 42. Preferably, the material 4031 includes a multi-layer stack including a first n + doped polycrystalline silicon layer 4033, a silicide layer 4035 (such as butadiene or WSi), and a second n + doped polycrystalline silicon layer. 4037. Polycrystalline silicon layers 4033 and 4037 are preferably 100-300 nanometers thick, such as 200 nanometers thick. The silicide layer 4035 is preferably 50 to 100 nanometers thick, such as 60 nanometers thick. Alternatively, the second gate material can also be formed of a single layer of an imaged compound or a metal; or-a thickly doped amorphous or polycrystalline silicon, silicide, or any other combination of metals, as long as it is combined with the first A gate electrode 4009 makes a good ohmic contact. -65- This paper size is applicable to China National Standard (CMS) A4 (210 X 297 mm) binding

線 540086 A7 ___ _B7 五、發明説明(63 ) 其次’在材料4031上面施一抗光姓層(未示出),並透過字 線遮罩而曝光,並顯影。該抗光蝕層係做為遮罩,用來蝕刻 第二閘極電極材料4031,而形成複數個字線4041。〇N〇堆疊 4007及曝露的活性區面層4005以字線4041為遮罩,再接受蝕刻 。該抗光蝕層可於此蝕刻步驟期間留在字線4041上,或者也 可於此蝕刻步驟之前移除。在活性區面層4〇〇5之下的底部絕 緣層4003,以及在位元線4025上面的完整絕緣層4027則供用為 阻擔層。如此,第二閘極電極材料4031乃圖型化成複數個字 線4041而覆上完整絕緣層4027(如圖43所示);且圖型化成第一 閘極電極上部4043,此處材料4031延伸入通孔4029(如圖44所 示)。圖43係沿圖42中的線A-A之截面圖;圖44係沿圖42中的線 B-B之截面圖。字線4041於是自對準控制閘極4009/4043 ,本不 需微影步驟來對準字線與閘極。 必要時,曝露的活性區面4005及閘極電極4009/4043側壁, 其上視情況可長出一薄層氮化矽或氧化矽(例如以熱氮化或 熱氧化作用),藉而密封。於是完成了記憶體陣列之建構。然 後,在字線4041上面沈積一絕緣層,若須要且平面化之。 字線微影步騾並不要求失準容差,因字線之圖型化本就 與單元中每一 TFT的電荷儲存區域4007及活性層4005(即,通 道區域4019)使用同一遮罩。因而,字線4041不但因沈積在自 對準的通孔4029中而自對準TFT EEPROM的控制閘極4009/4043 _丨丨丨·· · ;而且字線4041也自對準每一單元的電荷错存區域4007及通 道區域4019。使用完全自對準的記憶體單元,減小了昂貴耗 時的微影步驟的數目。而且,既然每一單元皆不要求失準容 __— —_-66-___ 本紙張尺度通用中國國家標準(CNS) Α4規格(210 X 297公釐) 裝 訂Line 540086 A7 ___ _B7 V. Description of the Invention (63) Secondly, a light-resistant surname layer (not shown) is applied on the material 4031, exposed through a word line mask, and developed. The photoresist layer is used as a mask to etch the second gate electrode material 4031 to form a plurality of word lines 4041. The 〇NO stack 4007 and the exposed active area surface layer 4005 are masked by the word line 4041 and then etched. The photoresist layer may be left on the word line 4041 during this etching step, or may be removed before this etching step. A bottom insulating layer 4003 under the active area surface layer 4005 and a complete insulating layer 4027 above the bit line 4025 are used as a barrier layer. In this way, the second gate electrode material 4031 is patterned into a plurality of word lines 4041 and is covered with a complete insulating layer 4027 (as shown in FIG. 43); and the pattern is transformed into a first gate electrode upper portion 4043, where the material 4031 extends Into the through hole 4029 (as shown in Figure 44). Fig. 43 is a cross-sectional view taken along the line A-A in Fig. 42; Fig. 44 is a cross-sectional view taken along the line B-B in Fig. 42. The word line 4041 is then self-aligned to control the gate 4009/4043, and no lithography step is required to align the word line with the gate. If necessary, a thin layer of silicon nitride or silicon oxide (eg, thermal nitridation or thermal oxidation) can be grown on the exposed active area surface 4005 and the gate electrode 4009/4043 sidewalls to seal the exposed area. This completes the construction of the memory array. Then, an insulating layer is deposited on the word line 4041, if necessary, and planarized. The word line lithography step does not require misalignment tolerance, because the pattern of the word line uses the same mask as the charge storage region 4007 and the active layer 4005 (ie, the channel region 4019) of each TFT in the cell. Therefore, the word line 4041 is not only self-aligned with the control gate 4009/4043 of the TFT EEPROM because it is deposited in the self-aligned through-hole 4029; but also the word line 4041 is self-aligned with each cell. The charge staggered region 4007 and the channel region 4019. Using fully self-aligned memory cells reduces the number of expensive and time-consuming lithography steps. Moreover, since each unit is not required to be out of tolerance __— —_- 66 -___ This paper size is common Chinese National Standard (CNS) A4 specification (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(64 ) 差,則單元密度提高。第一具體實施例裝置的另一優點在於 :位元線4025與字線4041之間既有一厚而完整絕緣層4027,則 位元線與字線間的寄生電容及短路機會乃告降低。 圖45及46闡示一依據本發明第二較佳具體實施例來製造 TFT EEPROM非揮發性快閃記憶體陣列的方法。該第二較佳 具體實施例之方法同於圖37-44所闡示之第一具體實施例的, 除了省略了犧牲阻塞層4013之外。 圖45闡示一依據第二較佳具體實施例之製程中的半導體 裝置4100。圖45中所闡示的裝置4100,係與圖40中的裝置4001 處於相同的處理階段。裝置4100包含層間絕緣層4103、活性 層4105、電荷儲存區域4107(如,ΟΝΟ堆疊或隔絕的奈米晶體) 、源極和汲極區域4117、通道區域4119、矽化物區域4123及位 元線4125。 裝置4100的閘極電極4109,製得厚於第一具體實施例中的 閘極電極4009。舉例來說,閘極電極4109可有任何適當的厚 度,諸如160至360奈米,而以260奈米較佳。既省略阻塞層 4013,則形成源極和汲極區域4117之後,在圖型化的閘極電 極4109上形成閘極側壁間隔物4121,而閘極電極4109係甴保護 性氧化矽層(未示出)所覆蓋。側壁間隔物4121延伸至閘極電 極4109的頂部。然後,沈積一金屬層並使該金屬層與源極和 汲極區域4117反應,藉而在源極和汲極區域4117上形成矽化 物區域4123。在閘極電極4109及側壁間隔物4121上,無矽化物 形成;閘極電極4109則係覆蓋著氧化矽保護層。然後,絕緣 層4127沈積於側壁間隔物4121之間,以及閘極電極4109上面。 __- R7 -__ 本紙張尺度適用中國國家標準(CNS) Α4規格(210Χ 297公釐) 裝 訂Line 540086 A7 B7 5. The description of the invention (64) is poor, the cell density increases. Another advantage of the device of the first embodiment is that: there is a thick and complete insulating layer 4027 between the bit line 4025 and the word line 4041, so the parasitic capacitance between the bit line and the word line and the chance of short circuit are reduced. 45 and 46 illustrate a method for manufacturing a TFT EEPROM non-volatile flash memory array according to a second preferred embodiment of the present invention. The method of this second preferred embodiment is the same as that of the first embodiment illustrated in Figs. 37-44, except that the sacrificial blocking layer 4013 is omitted. FIG. 45 illustrates a semiconductor device 4100 in a process according to a second preferred embodiment. The device 4100 illustrated in FIG. 45 is in the same processing stage as the device 4001 in FIG. 40. The device 4100 includes an interlayer insulating layer 4103, an active layer 4105, a charge storage region 4107 (eg, a nano crystal stacked or isolated), a source and drain region 4117, a channel region 4119, a silicide region 4123, and a bit line 4125. . The gate electrode 4109 of the device 4100 is made thicker than the gate electrode 4009 in the first embodiment. For example, the gate electrode 4109 may have any suitable thickness, such as 160 to 360 nm, and more preferably 260 nm. When the blocking layer 4013 is omitted, a gate sidewall spacer 4121 is formed on the patterned gate electrode 4109 after the source and drain regions 4117 are formed. The gate electrode 4109 is a protective silicon oxide layer (not shown). Out). The sidewall spacer 4121 extends to the top of the gate electrode 4109. Then, a metal layer is deposited and reacted with the source and drain regions 4117, thereby forming a silicide region 4123 on the source and drain regions 4117. On the gate electrode 4109 and the sidewall spacer 4121, no silicide is formed; the gate electrode 4109 is covered with a silicon oxide protective layer. Then, an insulating layer 4127 is deposited between the side wall spacers 4121 and above the gate electrode 4109. __- R7 -__ This paper size applies to China National Standard (CNS) Α4 size (210 × 297 mm) binding

線 540086 A7 B7 五、發明説明(65 ) 層4127以氧化矽較佳;但如同第一具體實施例,層4127也可 包含任何其他的絕緣材料。然後,層4127經平面化,以曝露 閘極電極4109的上表面。絕緣層4127宜藉CMP而平面化,但也 能藉回蝕及/或其他手段而平面化。在平面化期間,保護性 氧化矽層也遭移除,以曝露閘極電極4109的上表面,如圖45 所示。 氮化物阻塞層4013之選擇性蝕刻步騾既然在第二具體實施 例中無所施行,則間隔物4121可由氮化矽,而不以氧化矽來 組合。氮化矽間隔物的優點在於,其與底下的拓樸之共形勝 過氧化物間隔物。間隔物4121及閘極4109於層4127之平面化期 間,可做為研磨或蝕刻阻擋層。 正如第一較佳具體實施例中的陣列,第二較佳具體實施 例之記憶體陣列在閘極電極4109曝露之後告成。如同第一具 體實施例,在側壁間隔物4121以及曝露的閘極電極4109上面 ,直接沈積一或更多個導電層。舉例來說,該(等)導電層可 包含多晶矽層4133與4137,而其間有矽化物4135。如圖46所示 ,該(等)導電層再經圖型化,而形成複數個字線4141 ;字線 4141接觸曝露的閘極電極4109。在同一圖型化步驟期間,電 荷儲存區域4107及活性層4105也做圖型化,如同第一具體實 施例。字線4141於是自對準控制閘極電極4109,本不需微影 步驟來對準字線與閘極。 必要,,曝露的活性區面4105及閘極電極4109側壁,其上 視情況可長出一薄層氮化矽或氧化矽(例如以熱氮化或熱氧 化作用),藉而密封。於是完成了記憶體陣列之建構。然後, -68- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)Line 540086 A7 B7 V. Description of the Invention (65) The layer 4127 is preferably silicon oxide; but like the first embodiment, the layer 4127 may also include any other insulating material. Then, the layer 4127 is planarized to expose the upper surface of the gate electrode 4109. The insulating layer 4127 should be planarized by CMP, but can also be planarized by etch back and / or other means. During the planarization, the protective silicon oxide layer is also removed to expose the upper surface of the gate electrode 4109, as shown in Figure 45. The selective etching step of the nitride blocking layer 4013 is not performed in the second embodiment, and the spacer 4121 may be composed of silicon nitride instead of silicon oxide. The advantage of a silicon nitride spacer is that it conforms to the topography below the oxide spacer. During the planarization of the layer 4127, the spacer 4121 and the gate electrode 4109 can be used as a polishing or etching barrier layer. As with the array in the first preferred embodiment, the memory array in the second preferred embodiment is completed after the gate electrode 4109 is exposed. As in the first specific embodiment, one or more conductive layers are directly deposited on the sidewall spacer 4121 and the exposed gate electrode 4109. For example, the conductive layer (s) may include polycrystalline silicon layers 4133 and 4137 with a silicide 4135 in between. As shown in FIG. 46, the conductive layer is patterned to form a plurality of word lines 4141; the word line 4141 contacts the exposed gate electrode 4109. During the same patterning step, the charge storage area 4107 and the active layer 4105 are also patterned, as in the first embodiment. The word line 4141 is then self-aligned to control the gate electrode 4109. There is no need for a lithography step to align the word line with the gate. If necessary, a thin layer of silicon nitride or silicon oxide (for example, by thermal nitridation or thermal oxidation) can be grown on the exposed active area surface 4105 and the side wall of the gate electrode 4109, thereby sealing. This completes the construction of the memory array. Then, -68- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)

Order

540086 A7 B7五、發明説明ς6 ) 在字線4141上面沈積一絕緣層,若須要且平面化之。 字線微影步驟並不要求失準容差,因字線之圖型化本就 與單元中每一 TFT的電荷儲存區域4107及活性層4105使用同一 遮罩。因而,字線4141不但因直接沈積在閘極4109及間隔物 4121曝露的上表面上面而自對準TFT EEPROM的控制閘極4109 :而且字線4141也自對準每一單元的電荷儲存區域4107及通 道區域4119。使用完全自對準的記憶體單元,減小了昂貴耗 時的微影步驟的數目。既然不要求失準容差,則單元密度提 高。而且,刪去第一具體實施例的阻塞氮化物沈積及選擇性 蝕刻步騾,減少了三道步驟;此則簡化了製程流程。 圖47闡示一依據本發明第三較佳具體實施例之TFT EEPROM非揮發性快閃記憶體陣列4200。該第三較佳具體實 施例的裝置及方法同於圖37-46所闡示之第一及第二具體實 施例的,除了電荷儲存區域係包含一電性隔絕的浮動閘極, 而非如第一及第二較佳具體實施例係包含ΟΝΟ堆疊或隔絕的 奈米晶體之外。 如圖47所示,非揮性電晶體(即,該TFT EEPROM)係建構為 一浮動閘極場效應電晶體。在此情形下,係以一穿隧介電質 (諸如穿隧氧化矽層4206),來取代ΟΝΟ堆疊或氧化物層(含電 性隔絕的奈米晶體)所組成的介電質三重層。穿隧氧化物4206 厚5至10奈米,而以7奈米較佳。穿_随氧化物層4206形成於活 性層4205上面,如同第一及第二具體實施例。 第一閘極電極4209係在穿隧氧化物層4206上形成及圖型化 ,如同第一及第二具體實施例。然而,在第三具體實施例中 ___-fiQ- _ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 540086 A7 B7 五、發明説明(67 ) ,第一閘極電極4209係包含一浮動閘極,而非控制閘極。浮 動閘極4209·自對準電晶體通道4219,如同第一及第二具體實 施例。 圖47所闡示的裝置,與圖42中的裝置處於相同的處理階段 。該裝置包含基板4203、源極和汲極區域4217、通道區域4219 、在浮動閘極4209側壁鄰近的側壁間隔物4221、矽化物區域 4223、位元線4225及絕緣層4227。 與第一及第二具體實施例之另一差別,係在浮動閘極4209 上面有一控制閘極介電質4212形成,如圖47所示。該控制閘 極介電質可有任何適當的厚度,諸如8至20奈米,而以12奈米 較佳。控制閘極介電質4212可藉熱氧化作用而長出於控制閘 極上,或可藉CVD或其他手段而沈積。該控制閘極介電質可 包含氧化矽、氮化矽、含氧氮化矽,或ΟΝΟ堆疊。然後,控 制閘極4243及字線4241沈積且圖型化於控制閘極介電質4212 上面(如同第一及第二具體實施例),而完成圖47所示的裝置 。控制閘極介電質4212及控制閘極4243係位於側壁間隔物4221 内部。 圖48A-C及49A-C闡示二種在圖47所示裝置4200中製造一個 TFT(即,一個單元)之替代較佳方法。依據該第一較佳方法, 係在穿隧介電質4206上面形成一閘極堆疊4215,其包含一浮 動閘極4209、一保護層4211及一選既的犧牲阻塞層4213。以閘 極堆疊石15為遮罩,將源極和汲極區域4217佈植進活性層4205 内,以至於穿隧介電質4206之下形成通道區域4219。然後,側 壁間隔物4221形成於閘極堆疊4215上面。在該間隔物鄰近, ___-70-_ 本紙張尺度適用中國國家標準(CNS〉A4規格(210 X 297公釐) 540086 A7 B7 五、發明説明(68 ) 形成一絕緣層4227,並平面化而曝露阻塞層4213,如圖48A所 示。 然後如圖48B所示,保護層4211及阻塞層4213經蝕刻而移除 。於是形成了閘極接觸通孔4229。通孔4229的側壁乃是側壁 間隔物4221於浮動閘極4209以上之延伸。 藉熱化作用(舉例來說),再於圖48C所示的通孔4229内部曝 露的浮動閘極4209上,形成一控制閘極介電質4212。然後,在 閘極接觸通孔4229及絕緣層4227上面,沈積一或更多個導電 層。這(些)層經圖型化,而在通孔4229中形成一控制閘極4243 ,且在層4227之上形成一字線4241。控制閘極介電質4212使控 制閘極4243與浮動閘極4209分離。 依據該第二較佳方法,係在穿隧介電質4206上面形成一閘 極堆疊4215,其包含一浮動閘極4209、控制閘極介電質4212及 一犧牲阻塞層4213。以閘極堆疊4215為遮罩,將源極和汲極 區域4217佈植進活性層4205内,以至於穿隧介電質4206之下形 成通道區域4219。然後,側壁間隔物4221形成於閘極堆疊4215 上面。在該間隔物鄰近,形成一絕緣層4227,並平面化而曝 露阻塞層4213,如圖49A所示。 然後如圖49B所示,阻塞層4213經蝕刻移除,而曝露了控制 閘極介電質4212。於是形成了閘極接觸通孔4229。通孔4229側 壁乃是側壁間隔物4221於浮動閘極4209及介電質4212以上之 延伸。卩Γ塞層4213可由一濃厚摻‘的多晶矽組成,若是,則 必要時可留於通孔4229之中。 如圖49C所示,在閘極接觸通孔4229及絕緣層4227上面,沈 -71 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂540086 A7 B7 V. Description of the invention 6) An insulating layer is deposited on the word line 4141, if necessary and planarized. The word line lithography step does not require misalignment tolerance, because the patterning of the word line uses the same mask as the charge storage area 4107 and active layer 4105 of each TFT in the cell. Therefore, the word line 4141 not only self-aligns the control gate 4109 of the TFT EEPROM because it is directly deposited on the exposed upper surface of the gate 4109 and the spacer 4121: the word line 4141 also self-aligns the charge storage area 4107 of each cell And passage area 4119. Using fully self-aligned memory cells reduces the number of expensive and time-consuming lithography steps. Since no tolerance for misalignment is required, the element density is increased. In addition, the blocking nitride deposition and selective etching steps of the first embodiment are deleted, thereby reducing three steps; this simplifies the process flow. FIG. 47 illustrates a TFT EEPROM non-volatile flash memory array 4200 according to a third preferred embodiment of the present invention. The apparatus and method of the third preferred embodiment are the same as those of the first and second embodiments illustrated in FIGS. 37-46, except that the charge storage area includes an electrically isolated floating gate instead of The first and second preferred embodiments are in addition to nano-stacked or isolated nanocrystals. As shown in FIG. 47, the nonvolatile transistor (i.e., the TFT EEPROM) is constructed as a floating gate field effect transistor. In this case, a tunneling dielectric (such as a tunneling silicon oxide layer 4206) is used to replace the triple layer of dielectric consisting of an ONO stack or an oxide layer (including electrically isolated nanocrystals). The tunneling oxide 4206 is 5 to 10 nanometers thick, and preferably 7 nanometers. The through-oxide layer 4206 is formed on the active layer 4205, as in the first and second embodiments. The first gate electrode 4209 is formed and patterned on the tunneling oxide layer 4206, as in the first and second embodiments. However, in the third specific embodiment ___- fiQ- _ This paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) 540086 A7 B7 5. Description of the invention (67), the first gate electrode 4209 series Contains a floating gate instead of a control gate. Floating gate 4209. Self-aligned transistor channel 4219, as in the first and second embodiments. The device illustrated in FIG. 47 is in the same processing stage as the device in FIG. 42. The device includes a substrate 4203, a source and drain region 4217, a channel region 4219, a sidewall spacer 4221, a silicide region 4223, a bit line 4225, and an insulating layer 4227 adjacent to the sidewall of the floating gate 4209. Another difference from the first and second embodiments is that a control gate dielectric 4212 is formed on the floating gate 4209, as shown in FIG. 47. The control gate dielectric may have any suitable thickness, such as 8 to 20 nm, and preferably 12 nm. The control gate dielectric 4212 may be grown on the control gate by thermal oxidation, or may be deposited by CVD or other means. The control gate dielectric may include silicon oxide, silicon nitride, silicon oxynitride, or an ONO stack. Then, the control gate 4243 and the word line 4241 are deposited and patterned on the control gate dielectric 4212 (as in the first and second embodiments), and the device shown in FIG. 47 is completed. The control gate dielectric 4212 and the control gate 4243 are located inside the sidewall spacer 4221. 48A-C and 49A-C illustrate two alternative and preferred methods for manufacturing a TFT (ie, a cell) in the device 4200 shown in FIG. 47. According to the first preferred method, a gate stack 4215 is formed on the tunneling dielectric 4206, which includes a floating gate 4209, a protective layer 4211, and an optional sacrificial blocking layer 4213. Using the gate stacking stone 15 as a mask, the source and drain regions 4217 are planted into the active layer 4205 so that a channel region 4219 is formed under the tunneling dielectric 4206. Then, a side wall spacer 4221 is formed on the gate stack 4215. Adjacent to the spacer, ___- 70-_ This paper size applies to Chinese national standards (CNS> A4 specification (210 X 297 mm) 540086 A7 B7 V. Description of the invention (68) An insulating layer 4227 is formed and planarized. The blocking layer 4213 is exposed, as shown in FIG. 48A. Then, as shown in FIG. 48B, the protective layer 4211 and the blocking layer 4213 are removed by etching. Thus, a gate contact via 4229 is formed. The sidewall of the via 4229 is a sidewall spacer. The object 4221 extends above the floating gate 4209. By controlling the heat (for example), the floating gate 4209 exposed inside the through hole 4229 shown in FIG. 48C forms a control gate dielectric 4212. Then, one or more conductive layers are deposited on the gate contact through-hole 4229 and the insulating layer 4227. The layer (s) are patterned, and a control gate 4243 is formed in the through-hole 4229, and the layer A word line 4241 is formed on 4227. The control gate dielectric 4212 separates the control gate 4243 from the floating gate 4209. According to this second preferred method, a gate stack is formed on the tunneling dielectric 4206. 4215, which includes a floating gate 4209 and a control gate dielectric 42 12 and a sacrificial blocking layer 4213. Using the gate stack 4215 as a mask, the source and drain regions 4217 are implanted into the active layer 4205, so that a tunnel region 4219 is formed under the tunnel dielectric 4206. Then, A sidewall spacer 4221 is formed above the gate stack 4215. An insulating layer 4227 is formed adjacent to the spacer, and planarized to expose the blocking layer 4213, as shown in FIG. 49A. Then, as shown in FIG. 49B, the blocking layer 4213 is Etching is removed, and the control gate dielectric 4212 is exposed. Thus, a gate contact via 4229 is formed. The side wall of the via 4229 is an extension of the sidewall spacer 4221 above the floating gate 4209 and the dielectric 4212. 卩The Γ plug layer 4213 may be composed of a thickly doped polycrystalline silicon, and if necessary, may be left in the through hole 4229. As shown in FIG. 49C, above the gate contact through hole 4229 and the insulating layer 4227, Shen-71- This paper size applies to China National Standard (CNS) A4 (210X 297mm) binding

線 540086 A7 B7 五、發明説明(69 ) 積一或更多個導電層。這(些)層經圖型化,而在通孔4229中 形成一控制閘極4243,且在層4227之上形成一字線4241。控制 閘極介電質4212使控制閘極4243與浮動閘極4209分離。 在圖48A-C及49A-C之方法中,字線4241自對準控制閘極4243 、控制閘極介電質4212及浮動閘極4209。 圖50闡示一依據本發明第四較佳具體實施例第一較佳方 面之TFT EEPROM非揮發性快閃記憶體陣列4300。該第四較佳 具體實施例的裝置及方法同於圖47所闡示之第三較佳具體 實施例的,除了控制閘極介電質係位於側壁間隔物之上之 外。再者,阻塞層4213被略者。如圖50所示,側壁間隔物4221 延伸至浮動閘極4209頂部,類似於第二較佳具體實施例之裝 置。控制閘極介電質4212沈積在浮動閘極4209、側壁間隔物 4221及絕緣層4227上面。然後,字線4241沈積且圖型化於控制 閘極介電質4212上面,如同第一及第二具體實施例。在圖50 之裝置中,字線4241既做為字線,也做為控制閘極。如此, 可省略分離的控制閘極。字線4241係自對準浮動閘極4209。 字線4241可包含一或更多層,諸如多晶矽層4233及4237,而其 間有矽化物層4235。 圖51闡示一依據本發明第四較佳具體實施例第二較佳方 面之TFT EEPROM非揮發性快閃記憶體陣列4300。此較佳方面 的裝置及方法同於圖50所闡示的,除了浮動閘極上部係在側 壁間隔砑之上延伸之外《圖51所籣示的裝置,與圖47及50中 的裝置處於相同的處理階段。如圖51所示,該裝置包含層間 絕緣層4303、穿隧介電質4306、源極和汲極區域4317、通道區 -72- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂Line 540086 A7 B7 V. Description of Invention (69) One or more conductive layers are stacked. These layers (s) are patterned, and a control gate 4243 is formed in the through hole 4229, and a word line 4241 is formed above the layer 4227. The control gate dielectric 4212 separates the control gate 4243 from the floating gate 4209. In the method of FIGS. 48A-C and 49A-C, the word line 4241 is self-aligned to the control gate 4243, the control gate dielectric 4212, and the floating gate 4209. FIG. 50 illustrates a TFT EEPROM non-volatile flash memory array 4300 according to the first preferred aspect of the fourth preferred embodiment of the present invention. The device and method of the fourth preferred embodiment are the same as those of the third preferred embodiment illustrated in Fig. 47, except that the gate dielectric is controlled to be located on the side wall spacer. Furthermore, the blocking layer 4213 is omitted. As shown in FIG. 50, the side wall spacer 4221 extends to the top of the floating gate 4209, similar to the device of the second preferred embodiment. The control gate dielectric 4212 is deposited on the floating gate 4209, the sidewall spacer 4221, and the insulating layer 4227. Then, the word line 4241 is deposited and patterned on the control gate dielectric 4212, as in the first and second embodiments. In the device of FIG. 50, the word line 4241 functions as both a word line and a control gate. In this way, a separate control gate can be omitted. The word line 4241 is a self-aligned floating gate 4209. The word line 4241 may include one or more layers, such as polycrystalline silicon layers 4233 and 4237, with a silicide layer 4235 therebetween. FIG. 51 illustrates a TFT EEPROM non-volatile flash memory array 4300 according to a second preferred aspect of the fourth preferred embodiment of the present invention. The device and method in this preferred aspect are the same as those shown in FIG. 50, except that the upper part of the floating gate extends above the side wall spacer 《The device shown in FIG. The same processing stages. As shown in Figure 51, the device includes an interlayer insulation layer 4303, a tunneling dielectric 4306, a source and drain region 4317, and a channel region -72. This paper size applies to China National Standard (CNS) A4 (210X297 mm ) Binding

線 540086 A7 B7 五、發明説明(70 ) 域4319、矽化物區域4323、位元線4325及絕緣層4327。 圖51所闡示之裝置,涵括上述圖48A-B所闡示的處理步驟。 如此,浮動閘極下部4309曝露於側壁間隔物之間的閘極接觸 通孔4329中,而側壁間隔物4321係於浮動閘極下部之上延伸 :此類似於圖48B。然而,在通孔4329中並非形成控制閘極介 電質4312,而係沈積浮動閘極上部4310。該浮動閘極上部4310 係由一導電層(諸如摻雜的多晶矽層)沈積在通孔4329、間隔 物4321及絕緣層4327上面而形成,以至於接觸到通孔4329中曝 露的浮動閘極下部4309。該導電層藉微影法,而圖型化成浮 動閘極上部4310,以至於在側壁間隔物4321之上垂直地延伸 。較佳的是,該導電層也在側壁間隔物4321之上水平地延伸 。如此,閘極上部4310呈「T」字形。然後,控制閘極介電質 4312藉熱長晶(thermal growth)、CVD及/或其他沈積技術(諸如錢 鍍等),而形成於浮動閘極上部4310的曝露的上表面。然後在 控制閘極介電質4312上面,沈積一或更多個導電層4333、4335 、4337,並圖型化成字線4341。該等導電層舉例來說,可為一 矽化物層4335而夾在摻雜的多晶層4333、4337之間,如同第一 較佳具體實施例。在第四較佳具體實施例中,字線4341係供 用為丁FTs的控制閘極。既然第四具體實施例的浮動閘極 4309/4310頂表面大於第三具體實施例中的,則第四具體實施 例之TFT中的浮動閘極與控制閘極/字線間的面積較之於第三 具體實羅:例中的,乃有所增大^ €浮動閘極與控制閘極/字 線間的面積增大是有利的,因其增大了浮動閘極與控制閘 極/字線間的電容耦合。 -73- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (70) domain 4319, silicide region 4323, bit line 4325, and insulating layer 4327. The apparatus illustrated in Fig. 51 includes the processing steps illustrated in Figs. 48A-B described above. In this way, the lower portion of the floating gate 4309 is exposed in the gate contact through hole 4329 between the side wall spacers, and the side wall spacer 4321 extends above the lower portion of the floating gate: this is similar to FIG. 48B. However, instead of forming the control gate dielectric 4312 in the through hole 4329, a floating gate upper portion 4310 is deposited. The upper part of the floating gate 4310 is formed by depositing a conductive layer (such as a doped polycrystalline silicon layer) on the through hole 4329, the spacer 4321, and the insulating layer 4327 so as to contact the exposed lower part of the floating gate in the through hole 4329. 4309. The conductive layer is patterned into a floating gate upper portion 4310 by lithography so as to extend vertically above the sidewall spacer 4321. Preferably, the conductive layer also extends horizontally above the sidewall spacer 4321. In this way, the gate upper part 4310 has a "T" shape. Then, the gate dielectric 4312 is controlled to be formed on the exposed upper surface of the upper portion of the floating gate 4310 by thermal growth, CVD, and / or other deposition techniques (such as gold plating). Then on the control gate dielectric 4312, one or more conductive layers 4333, 4335, 4337 are deposited and patterned into word lines 4341. For example, the conductive layers may be a silicide layer 4335 and sandwiched between the doped polycrystalline layers 4333 and 4337, as in the first preferred embodiment. In the fourth preferred embodiment, the word line 4341 is used as the control gate of the DFTs. Since the top surface of the floating gate 4309/4310 of the fourth embodiment is larger than that of the third embodiment, the area between the floating gate and the control gate / word line in the TFT in the fourth embodiment is larger than The third concrete example: In the example, it is increased ^ € The area between the floating gate and the control gate / word line is advantageous because it increases the floating gate and the control gate / word Capacitive coupling between lines. -73- This paper size applies to China National Standard (CNS) A4 (210X 297mm) binding

線 540086 A7 _______B7 五、發明説明(71 ) 在第四具體實施例之一較佳方面,浮動閘極上部431〇的頂 表面經粗質化(textured)或粗化,進一步增大了浮動閘極與控 制閘極/字線間的電容耦合。舉例來說,至少浮動閘極上部 4310可由半球狀碎晶粒(HSG)所製,或者該浮動閘極上表面可 藉蝕刻或粗磨而粗化。換句話說,浮動閘極上部之質地糙化 或粗化,可類似於DRAM電容器底部導電板做質地糙化或粗 化所用之方法。 儘管第一以至第四較佳具體實施例係說明闡示XFT EEPROM 非揮發性快閃記憶體陣列,本發明卻不應視受此限。例如, 依據本發明之較佳具體實施例,在TFT EEPROM陣列中不見 得是字線自對準,任何閘極線均可自對準一 MOSFET(即,金 屬氧化物半導體場效應電晶體)閘極。而且,該EEPROM陣列 可形成於大塊矽基板之中,而不在層間絕緣層上面。 第一以至第四較佳具體實施例,說明闡示一水平級上的 字線與位元線的交點陣列,及其製造方法β每一記憶體單元 皆由單一個可程式化場效應電晶體(即,丁FT)所組成,而該電 晶體的源極和汲極係分別連接第j位元線和第(j+ 1)位元線, 且控制閘極或係連接抑或包含第k字線。此記憶體安排識為 「反或虛擬接地(NVG)陣列」(係指時亦指VGA”必要時,該 記憶體陣列也可安排在VGA以外的非揮發性快閃記憶體架構 中,諸如反或型記憶體或雙串反或(DuSNOR)記憶體(舉例來 說)。在DliSNOR架構之中,兩相鄰~單元串分享一共用的源極 線,但使用不同的沒極線:其經說明於K.S.吉姆(K.S.Kim)等人 IEDM-95 (1995年)第263頁,而係以引用的方式併入本文中。製 -74- 本紙張尺度適用中國國家操準(CMS) A4規格(21〇x 297公釐) ' 540086 A7 B7 五、發明説明(72 ) 造DuSNOR記憶體,可用同於VGA記憶體之製程,除了其係加 用一遮罩步驟來圖型化活性區面層,以分離相鄰單元的汲 極區域之外。本發明第一以至第三較佳具體實施例的製程 序列,僅需要二個微影遮罩步驟。一個遮罩步驟係供閘極圖 型化/自對準的位元線形成之用。另一個遮罩步驟則供字線 圖型化之用。本發明較佳具體實施例之方法係利用自對準 來減小遮罩之間的對準容差。由前述製程所獲致的記憶體 單元面積約為4F2,此處F為最小特徵尺寸(即,在0.18微米 (microns)半導體製程中為0.18微米)。「約(about)」一辭,容許不 均勻的製程條件所致的小偏差(10%或更小),及其他對必要 的製程參數之偏差。如果電晶體中所用的電荷儲存媒體不 導電-如,由氮化物或含氧氮化物(即,使用0N0電荷儲存媒 體)或電性隔絕的奈米晶體所形成-則可利用電荷儲存的局 域化本質,而每單元儲存二個位元。在此情形下,每位元的 有效單元面積約等於2F2。 第一以至第四較佳具體實施例之NVG陣列非常適合於水 平平面的NVG陣列之垂直堆疊。圖52闡示一依據本發明第五 較佳具體實施例之三維記憶體陣列4400。該三維記憶體陣列 包括一依據第一、第二、第三或第四較佳具體實施例所製的 三維TFT EEPROMs陣列。每一 TFT EEPROM皆包含一通道4419 、源極和汲極區域4417、一控制閘極4443、控制閘極側壁間隔 物(為清戈起見,未示於圖52),及二電荷儲存區域4407(在該 通道與控制閘極4409之間)。該電荷儲存區域可包含一 ΟΝΟ介 電質、隔絕的奈米晶體或一浮動閘極。 -75- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 裝 訂Line 540086 A7 _______B7 V. Description of the invention (71) In a preferred aspect of the fourth embodiment, the top surface of the upper part of the floating gate 4310 is roughened or roughened, which further increases the floating gate. Capacitive coupling with control gate / word line. For example, at least the upper part of the floating gate 4310 may be made of hemispherical broken grains (HSG), or the upper surface of the floating gate may be roughened by etching or rough grinding. In other words, the roughening or roughening of the upper part of the floating gate can be similar to the roughening or roughening of the conductive plate at the bottom of the DRAM capacitor. Although the first to fourth preferred embodiments are illustrative of XFT EEPROM non-volatile flash memory arrays, the present invention should not be construed as being limited thereto. For example, according to a preferred embodiment of the present invention, word lines are not necessarily self-aligned in a TFT EEPROM array, and any gate line can be self-aligned to a MOSFET (ie, metal oxide semiconductor field effect transistor) gate. pole. Moreover, the EEPROM array can be formed in a bulk silicon substrate without being over the interlayer insulating layer. The first to fourth preferred embodiments illustrate the intersection point array of word lines and bit lines on a horizontal level, and a method for manufacturing the same. Each memory cell is composed of a single programmable field effect transistor. (Ie, Ding FT), and the source and the drain of the transistor are respectively connected to the j-th bit line and the (j + 1) -th bit line, and the control gate is connected to or includes the k-th word line . This memory arrangement is identified as an "inverse or virtual ground (NVG) array" (referred to as VGA when referred to). If necessary, the memory array can also be arranged in a non-volatile flash memory architecture other than VGA. OR type memory or dual string inverse OR (DuSNOR) memory (for example). In the DliSNOR architecture, two adjacent ~ cell strings share a common source line, but use different non-polar lines: its warp It is described in KSDM, et al., IEDM-95 (1995), p. 263, and is incorporated herein by reference. System -74- This paper standard applies to China National Standards (CMS) A4 specification ( 21〇x 297 mm) '540086 A7 B7 V. Description of the invention (72) The DuSNOR memory can be manufactured using the same process as the VGA memory, except that it uses a masking step to pattern the active area surface layer. In order to separate the drain regions of adjacent cells, the first to third preferred embodiments of the present invention require only two lithographic masking steps. One masking step is used for gate patterning / Self-aligned bit line formation. Another masking step is used for word line patterning. The method of the preferred embodiment of the present invention uses self-alignment to reduce the alignment tolerance between the masks. The area of the memory cell obtained by the aforementioned process is about 4F2, where F is the minimum feature size (Ie, 0.18 microns in a 0.18 microns semiconductor process). The term "about" allows small deviations (10% or less) due to uneven process conditions, and other necessary Deviations in process parameters. If the charge storage medium used in the transistor is non-conductive-for example, formed from a nitride or oxynitride (ie, using a 0N0 charge storage medium) or an electrically isolated nanocrystal-it can be used The localized nature of charge storage, and each cell stores two bits. In this case, the effective cell area per bit is approximately equal to 2F2. The NVG arrays of the first to fourth preferred embodiments are very suitable for horizontal A vertical stack of planar NVG arrays. Figure 52 illustrates a three-dimensional memory array 4400 according to a fifth preferred embodiment of the present invention. The three-dimensional memory array includes a first, second, third, or fourth comparison. Jiaju The three-dimensional TFT EEPROMs array made in the embodiment. Each TFT EEPROM includes a channel 4419, a source and a drain region 4417, a control gate 4443, and a control gate sidewall spacer (not shown for the sake of clarity). Figure 52), and a second charge storage area 4407 (between the channel and the control gate 4409). The charge storage area may include a 100N dielectric, an isolated nanocrystal, or a floating gate. Paper size applies to China National Standard (CNS) Α4 (210X 297 mm) binding

線 540086 A7 B7__ 五、發明説明(73 ) 該記憶體陣列也包含複數個位元線行4425,而每一位元線 係接觸複數個TFT EEPROMs的源極或汲極區域4417。該幾行 位元線4425大致直交於TFT EEPROMs的源極一通道一汲極方 向而延伸(即,「大致直交」一辭包括從直叉方向的小偏離在 内)。應注意,該幾行位元線4425可貫穿整個陣列4400或僅在 陣列4400的一部份,大致直交於TFT EEPROMs的源極一通道 一沒極方向而延仲。每^一裝置級中的位元線形狀為導軌而 係在完整絕緣層之下延伸。該等位元線包含埋設的擴散區 域,其係形成於源極和汲極摻雜步驟期間;且包含上覆的矽 化物層。源極和汲極區域係形成於位元線中字線相交於(即 ,上覆)位元線處;該等摻雜的區域則位於EEPR0M通道區域 鄰近。 該記憶體陣列也包含複數個字線列444卜每一字線係接觸 複數個TFT EEPROMs 4400的控制閘極4443(或字線包含控制閘 極)。該幾列字線大致平行於TFT EEPROMs的源極一通道一汲 極方向而延伸(即,「大致平行」一辭包括從平行方向的小偏 離在内)。應注意,該幾列字線4441可貫穿整個陣列4400或僅 在陣列4400的一部份,大致平行於TFT EEPROMs的源極一通 道一汲極方向而延伸《該複數個字線4441自對準TFT EEPROMs 陣列的控制閘極4443(或者,字線本身包含控制閘極"如果 陣列所包含的是浮動閘極而非控制閘極,則字線係自對準 浮動閘極及控制閘極介電質❶ 一 該陣列的每一裝置’級4445皆藉一層間絕緣層4403,而於垂 直方向分離解耦。在每一裝置級4445中個別字線4441之下的 _ -76- 本紙张尺度適用中國國家操準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7__ V. Description of the Invention (73) The memory array also includes a plurality of bit line rows 4425, and each bit line contacts a source or drain region 4417 of a plurality of TFT EEPROMs. The rows of bit lines 4425 extend approximately orthogonally to the source-channel-drain direction of the TFT EEPROMs (ie, the term "substantially orthogonal" includes a small deviation from the straight cross direction). It should be noted that the rows of bit lines 4425 can run through the entire array 4400 or only a part of the array 4400, and are approximately orthogonal to the source-channel-to-polarity direction of the TFT EEPROMs. The bit line shape in each device level is a guide rail and extends under the complete insulation layer. The bit lines include buried diffusion regions that are formed during the source and drain doping steps; and include overlying silicide layers. The source and drain regions are formed where the word lines intersect (ie, overwrite) the bit lines in the bit lines; the doped regions are located adjacent to the EEPROM channel region. The memory array also includes a plurality of word line columns 444 and each word line is in contact with a control gate 4443 (or the word line includes a control gate) of a plurality of TFT EEPROMs 4400. The columns of word lines extend approximately parallel to the source-channel-drain direction of the TFT EEPROMs (that is, the term "substantially parallel" includes a small deviation from the parallel direction). It should be noted that the columns of word lines 4441 can run through the entire array 4400 or only a part of the array 4400, and extend substantially parallel to the source-channel-drain direction of the TFT EEPROMs. TFT EEPROMs array's control gate 4443 (or, the word line itself contains the control gate " If the array contains floating gates instead of control gates, the word lines are self-aligned to the floating gate and control gate Electrical quality: Each device 'level 4445 of the array is separated and decoupled in the vertical direction by an interlayer insulating layer 4403. In each device level 4445, the individual word lines 4441 are below _ -76- This paper size Applicable to China National Standard (CNS) A4 (210 X 297 mm) binding

線 A7 B7 五、發明説明(74 ) 活性區面4405,其與相鄰罕線4441相鄰的部份也將為層間絕 緣^侧所隔絕。所生成的三維記憶體陣列中,每位元的有 放單元面積約為2F2/N ,此處N為裝置級數目(即,對於二維陣 列,N=l;對於三維陣列,N>1)。非揮發性記憶裝置陣列 〇包括單石三維記憶裝置陣列。「單石」一辭,意謂陣列 每一級之層係直接沈積在每一底下級之層上。二維陣列則 迥兴,其可分離地形成再一同組裝,而形成非單石的記憶裝 置。 " 該記憶體陣列之-級4445中的每-單元,皆能僅以二個微 影遮罩步驟而形成;然而,或需附加的遮罩步驟,來形成與 位π線4425的接點^在本發明之第六較佳具體實施例中,記 憶裝置陣列上面係形成一導電層。然後圖型化該導電層,而 开^/成I數個孚線或子線接觸層,以及至少一個位元線接觸 層(與複數個位元線至少其一接觸)。如此,既以同一導電層 圖型化而形成字線/字線接點及位元線接點,自可迴避分離 的位元線接點沈積及圖型化步驟。當然,必要時可由不同的 材料來製造字線/字線接點及位元線接點,且/或用不同的遮 罩來圖型化之。 圖53闡示一依據第六較佳具體實施例一較佳方面之位元 線接點4447。在圖53中,閘極間絕緣層4427上面形成一第一摻 雜的多晶矽層4433。然後在絕緣層4427中形成一位元線接觸 通孔4445Γ,而位元線4425的頂部在其内曝露。然後,沈積一矽 化物層4435及一摻雜的多晶矽層4437,以至於矽化物層斜乃經 該通孔而接觸位元線4425。層4433、4435及4437再用同一遮罩 -77· 本紙張尺度通用中画國家標準(CNS) A4規格(210 X 297公爱) 540086 A7 B7 五、發明説明(75 ) 而微影圖型化,既形成複數個字線4441,也形成複數個位元 線接點4447。然後在字線4441及位元線接點4447上面,形成一 上部層間絕緣層4403。字線接觸通孔4451及位元線接觸層接 觸通孔4453形成於絕緣層4403之中,供進一步的接點形成之 用。應注意,字線4441及位元線接觸層4447並不限為所述材 料。層4441及4447可包含一或更多個多晶矽、矽化物或金屬 層。而且,儘管閘極線4441及接點4447係位於該裝置之同一 級中,必要時接點4447卻可延伸進陣列一較下之級,以接觸 該較下級中之一位元線或字線。 圖54闡示一依據第六較佳具體實施例另一較佳方面之位 元線接點4547。在本具體實施例中,有至少一個位元線接觸 通孔4549延伸穿過該陣列不同級間之至少一個層間絕緣層 4503。在圖54中,字線4541首先圖型化;其上沈積一層間絕緣 層4503。字線接觸通孔4551及位元線接觸通孔4549形成於絕緣 層4503之中。位元線接觸通孔4549延伸穿過完整絕緣層4527, 而至位元線4525 ;位元線4525包含摻雜的區域4517及矽化物區 域 4523。 然後在層間絕緣層4503之上以及通孔4551及4549之中,沈積 一或更多個導電層,諸如矽化物層4555及摻雜的多晶矽層 4557。該一或更多個導電層4555、4557再用同一遮罩而微影圖 型化,既形成字線接點4559、位元線接點4547,也在所示的記 憶體層之二L之記憶體層中形成複數個字線。 該等字線接點及位元線接點能下伸至較下之級(如,每一 較下之級,或同時幾個較下之級”如此,圖54中的位元線接 -78- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 540086 A7 B7 五、發明説明(76 ) 點4547及字線接點4559,係在陣列之第N+ 1級中形成,並延伸 至陣列之第N級中的字線4541及位元線4525。該等字線接點及 位元線接點連接了伴有週邊電路的字線及位元線,該週邊 電路係位於陣列之第一裝置級之下的半導體基板之中(或位 於陣列中之他處,諸如陣列之上或之内,但宜至少局部垂直 地整合或對準陣列)。在第N+ 1級導體中製造了定位墊,供次 一級接點之用。 圖55以至61所闡示的,是一依據本發明第七較佳具體實施 例來製造TFT EEPROM非揮發性快閃記憶體陣列之方法。該 第七較佳具體實施例之方法,其開始係同於圖37-51所闡示的 第一、第二、第三或第四具體實施例之方式,除了其在製程 中用到一犧牲虛設塊以取代控制閘極之外。以此法所形成 的電晶體稱呼為取代閘極電晶體。第七具體實施例所製造 的陣列可形成為圖52所示的三維陣列,而每位元有約2F2/N之 有效單元面積。 如同前述具體實施例,該製程始於一半導體活性區面之 沈積,諸如:在一層間絕緣層4603上面,沈積非晶系矽或多 晶狀矽層4605,如圖55所示。然後,在活性層4605上面形成複 數個犧牲虛設塊4604,如圖56所示。犧牲虛設塊4604可包含一 或更多個材料,至少其一可做選擇性蝕刻而放過其後將形 成的完整絕緣層4627之材料。舉例來說,如果完整絕緣層 4627包含·氧化矽,則該等虛設塊可_包含氧化矽、含氧氮化矽 、多晶矽或其他可放過氧化矽而做選擇性蝕刻之材料。 較佳的是,活性層4605包含非晶系矽,且虛設塊4604材枓 -79- 本紙張尺度適用中國國家標準(CMS) A4規格(210X 297公釐) 裝 訂Line A7 B7 V. Description of the Invention (74) The active area surface 4405, the portion adjacent to the adjacent rare line 4441 will also be isolated by the interlayer insulation. In the generated three-dimensional memory array, the area of the unit of each bit is about 2F2 / N, where N is the number of device levels (that is, for a two-dimensional array, N = 1; for a three-dimensional array, N > 1) . Non-volatile memory device array 〇 Including a single stone three-dimensional memory device array. The term "monolithic" means that the layers of each level of the array are deposited directly on the layers of each bottom level. Two-dimensional arrays are flourishing. They can be formed separately and assembled together to form non-monolithic memory devices. " Each of the cells in the -level 4445 of the memory array can be formed with only two lithographic masking steps; however, additional masking steps may be required to form a contact with the bit π line 4425 ^ In a sixth preferred embodiment of the present invention, a conductive layer is formed on the memory device array. The conductive layer is then patterned to form a plurality of contact lines or sub-line contact layers and at least one bit line contact layer (in contact with at least one of the plurality of bit lines). In this way, both the word line / word line contact and the bit line contact are formed by patterning the same conductive layer, and the separate bit line contact deposition and patterning steps can be avoided. Of course, if necessary, the word line / word line contacts and bit line contacts can be made from different materials and / or patterned with different masks. FIG. 53 illustrates a bit line contact 4447 according to a preferred aspect of the sixth preferred embodiment. In FIG. 53, a first doped polycrystalline silicon layer 4433 is formed on the inter-gate insulating layer 4427. A bit line contact via 4445Γ is then formed in the insulating layer 4427, and the top of the bit line 4425 is exposed therein. Then, a silicide layer 4435 and a doped polycrystalline silicon layer 4437 are deposited, so that the silicide layer obliquely contacts the bit line 4425 through the through hole. Layers 4433, 4435, and 4437 use the same mask again. -77 · This paper has a common Chinese painting standard (CNS) A4 specification (210 X 297 public love) 540086 A7 B7 5. Description of the invention (75) and lithography , Both a plurality of word lines 4441 and a plurality of bit line contacts 4447 are formed. Then, an upper interlayer insulating layer 4403 is formed on the word line 4441 and the bit line contact 4447. Word line contact vias 4451 and bit line contact vias 4453 are formed in the insulating layer 4403 for further contact formation. It should be noted that the word line 4441 and the bit line contact layer 4447 are not limited to the material. Layers 4441 and 4447 may include one or more polycrystalline silicon, silicide, or metal layers. Moreover, although the gate line 4441 and the contact 4447 are located in the same stage of the device, the contact 4447 can be extended into a lower stage of the array when necessary to contact a bit line or word line in the lower stage. . Figure 54 illustrates a bit line contact 4547 according to another preferred aspect of the sixth preferred embodiment. In this embodiment, at least one bit line contact via 4549 extends through at least one interlayer insulating layer 4503 between different levels of the array. In FIG. 54, the word line 4541 is first patterned; an interlayer insulating layer 4503 is deposited thereon. A word line contact via 4551 and a bit line contact via 4549 are formed in the insulating layer 4503. The bit line contact via 4549 extends through the complete insulating layer 4527 to the bit line 4525; the bit line 4525 includes a doped region 4517 and a silicide region 4523. Then, one or more conductive layers, such as a silicide layer 4555 and a doped polycrystalline silicon layer 4557, are deposited over the interlayer insulating layer 4503 and in the through holes 4551 and 4549. The one or more conductive layers 4555, 4557 are then lithographically patterned with the same mask, forming not only word line contacts 4559, bit line contacts 4547, but also the memory of the two L layers of memory shown. A plurality of word lines are formed in the body layer. These word line contacts and bit line contacts can be extended to lower levels (for example, each lower level, or several lower levels at the same time). The bit line connections in Figure 54 are- 78- This paper size applies Chinese National Standard (CNS) A4 specification (210X297 mm) 540086 A7 B7 V. Description of the invention (76) The point 4547 and the word line contact 4559 are formed in the N + 1 level of the array, and Word lines 4541 and bit lines 4525 in the Nth stage of the array are connected. These word line contacts and bit line contacts are connected to word lines and bit lines with peripheral circuits, which are located in the array. In the semiconductor substrate below the first device level (or located elsewhere in the array, such as above or within the array, but it should be integrated or aligned vertically at least partially vertically). Manufactured in N + 1 level conductors A positioning pad is provided for the next-level contacts. Figures 55 to 61 illustrate a method for manufacturing a TFT EEPROM non-volatile flash memory array according to a seventh preferred embodiment of the present invention. The method of the seven preferred embodiments starts with the first, second, and The method of the third or fourth embodiment, except that it uses a sacrificial dummy block to replace the control gate in the manufacturing process. The transistor formed in this way is called a replacement gate transistor. The seventh embodiment The fabricated array can be formed into a three-dimensional array as shown in Fig. 52, and each bit has an effective cell area of about 2F2 / N. As in the previous embodiment, the process starts with the deposition of a semiconductor active area, such as: On the interlayer insulating layer 4603, an amorphous silicon or polycrystalline silicon layer 4605 is deposited, as shown in Fig. 55. Then, a plurality of sacrificial dummy blocks 4604 are formed on the active layer 4605, as shown in Fig. 56. The sacrificial dummy blocks 4604 can include one or more materials, at least one of which can be selectively etched to pass through the material of the complete insulating layer 4627 that will be formed later. For example, if the complete insulating layer 4627 contains silicon oxide, then these The dummy block may include silicon oxide, silicon oxynitride, polycrystalline silicon, or other materials that can be selectively etched with silicon oxide. Preferably, the active layer 4605 includes amorphous silicon, and the dummy block 4604 is made of a material. -79- paper Zhang scale is applicable to Chinese National Standard (CMS) A4 (210X 297mm) binding

線 540086 A7 B7 五、發明説明(77 ) 在溫度600°C以下沈積,以避免非晶系矽層4605再結晶成具有 小晶粒尺寸之多晶碎層。舉例來說,可在活性層4605上面沈 積一低溫PECVD氮化矽層,並將該氮化矽層以微影法圖型化 成複數個虚設塊4604。 在第七具體實施例之一較佳方面,虛設塊4604包含複數層 ,其含一犧牲通道介電質層4667、一犧牲閘極層4669及一保 護性氧化物層4671,如圖55所示。層4669及4671用一反向位元 線遮罩而圖型化(類似第一較佳具體實施例於圖38中所闡示 的),形成虛設塊4604,如圖56所示。既然該活性層之上之層 4667、4669、4671都屬犧牲性,則這些層可使用品質較低之材 料。例如,對於通道介電質層4667,可使用低溫氧化矽(LTO) 或PECVD氧化矽。如此,層4667可於低溫(即,600°C以下)沈積 ,以避免非晶系矽活性層4605再結晶成具有小晶粒尺寸之多 晶矽層。必要時,虛設塊4604所有之層可都於溫度600 °C以 下沈積。在此情形下,層4605保持為非晶態,直到源極和汲 極區域4617上有後續自對準矽化物形成。源極和汲極區域 4617上的矽化物4623可做為催化劑,供源極和汲極區域4617中 的非晶系碎之橫向結晶作用,以形成具有大晶粒尺寸之多 晶狀矽活性層4605。 接著,用該等虛設塊為遮罩,將TFT源極和汲極區域4617佈 植進活性層4605内。通道層4619係位於區域4617之間的層4605 中,且[虛設塊4604之下。如果虛15:塊4604含一多晶矽層, 則宜有側壁間隔物4621形成於虛設塊4604側壁上,使矽化物 與源極/汲極接面分離,以預防虛設塊上有後續的矽化物形 -80- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (77) Deposition at a temperature below 600 ° C to prevent the amorphous silicon layer 4605 from recrystallizing into a polycrystalline broken layer with a small grain size. For example, a low-temperature PECVD silicon nitride layer can be deposited on the active layer 4605, and the silicon nitride layer can be patterned into a plurality of dummy blocks 4604 by lithography. In a preferred aspect of the seventh embodiment, the dummy block 4604 includes a plurality of layers including a sacrificial channel dielectric layer 4667, a sacrificial gate layer 4669, and a protective oxide layer 4671, as shown in FIG. 55. . Layers 4669 and 4671 are patterned with a reverse bit line mask (similar to the first preferred embodiment illustrated in Figure 38) to form dummy blocks 4604, as shown in Figure 56. Since the layers 4667, 4669, 4671 above the active layer are sacrificial, lower quality materials can be used for these layers. For example, for the channel dielectric layer 4667, low temperature silicon oxide (LTO) or PECVD silicon oxide can be used. In this way, the layer 4667 can be deposited at a low temperature (ie, below 600 ° C) to prevent the amorphous silicon active layer 4605 from recrystallizing into a polycrystalline silicon layer having a small grain size. When necessary, all layers of the dummy block 4604 can be deposited at a temperature below 600 ° C. In this case, the layer 4605 remains amorphous until subsequent self-aligned silicide is formed on the source and drain regions 4617. The silicide 4623 on the source and drain regions 4617 can be used as a catalyst for the lateral crystallization of the amorphous system in the source and drain regions 4617 to form a polycrystalline silicon active layer with a large grain size. 4605. Next, using the dummy blocks as a mask, the TFT source and drain regions 4617 are implanted into the active layer 4605. The channel layer 4619 is located in the layer 4605 between the regions 4617, and [under the dummy block 4604. If the dummy 15: block 4604 contains a polycrystalline silicon layer, a sidewall spacer 4621 should be formed on the sidewall of the dummy block 4604 to separate the silicide from the source / drain junction to prevent subsequent silicide formation on the dummy block. -80- This paper size is applicable to Chinese National Standard (CNS) A4 (21〇x 297mm) binding

線 540086 A7 B7 五、發明説明(78 ) 成,並提高源極/汲極工程上的適應彈性。間隔物4621可由氧 化矽或氮化矽,或不同的兩層來組合,如圖57所示。必要時 ,可用虛設塊4604及間隔物4621為遮罩,對源極和汲極區域 4617内加行佈植。如果虛設塊4604不含多晶矽(即,由氮化矽 所組合),則間隔物4621可省略。 在曝露的區域4617及虚設塊4604上面,毯覆性沈積一金屬 層,諸如ΊΠ、W、Mo、Ta等,或諸如Co、Ni、Pt或Pd等過渡金屬 。裝置經退火,藉直接冶金反應而實行矽化作用;其中該金 屬層在區域4617與矽反應,而在區域4617上面形成矽化物區 域4623,如圖58所示。餘留在虚設塊4604上的未反應的金屬, 則藉選擇性蝕刻(諸如,使用皮拉納溶液)而移除。然後,用 矽化物區域4623為催化劑,活性層4605藉雷射或熱退火而再 結晶。必要時,另一選擇為:可同時性地再結晶活性層4605 與形成矽化物4623,或於虛設塊4604形成之前藉雷射或熱退 火而再結晶。 ‘包含源極和汲極區域4617及矽化物4623之埋設的位元線 4625形成之後,在虛設塊4604之間及之上沈積一共形的完整 絕緣層4627。較佳的是,層4627包含氧化矽(HDP氧化物),如 同其他較佳具體實施例。層4627再藉CMP及/或回蝕而平面化 ,以曝露虛設塊4604的頂部。舉例來說,如果虛設塊4604含一 氧化矽保護層4671及氧化矽間隔物4621,則這些層可於平面 化期間孬層4627頂部一同移除。在_此情形下,犧牲閘極4669 的頂部於平面化之後曝露,如圖58所示。 其次,虛設塊4604經選擇性蝕刻(即,移除),而完整絕緣層 -81 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (78) and improve the flexibility of adaptation in source / drain engineering. The spacer 4621 may be composed of silicon oxide or silicon nitride, or two different layers, as shown in FIG. 57. If necessary, the dummy block 4604 and the spacer 4621 can be used as a mask to line the source and drain regions 4617. If the dummy block 4604 does not contain polycrystalline silicon (that is, combined by silicon nitride), the spacer 4621 may be omitted. On the exposed area 4617 and the dummy block 4604, a metal layer such as ΊΠ, W, Mo, Ta, or a transition metal such as Co, Ni, Pt, or Pd is blanket deposited. The device is annealed to perform silicidation by a direct metallurgical reaction; the metal layer reacts with silicon in region 4617, and a silicide region 4623 is formed on region 4617, as shown in FIG. The unreacted metal remaining on the dummy block 4604 is removed by selective etching (such as using a Pirana solution). Then, using the silicide region 4623 as a catalyst, the active layer 4605 is recrystallized by laser or thermal annealing. If necessary, another option is to recrystallize the active layer 4605 and the silicide 4623 simultaneously, or recrystallize by laser or thermal annealing before the dummy block 4604 is formed. ‘After the buried bit lines 4625 including the source and drain regions 4617 and the silicide 4623 are formed, a conformal complete insulating layer 4627 is deposited between and on the dummy blocks 4604. Preferably, layer 4627 comprises silicon oxide (HDP oxide), as in other preferred embodiments. The layer 4627 is then planarized by CMP and / or etch-back to expose the top of the dummy block 4604. For example, if dummy block 4604 contains a silicon oxide protective layer 4671 and silicon oxide spacers 4621, these layers can be removed together during the planarization of the top layer 4627. In this case, the top of the sacrificial gate 4669 is exposed after planarization, as shown in FIG. 58. Secondly, the dummy block 4604 is selectively etched (ie, removed), and the complete insulation layer is -81-This paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm).

線 540086 A7 B7 五、發明説明(79Line 540086 A7 B7 V. Description of the invention (79

4627無可觀蝕刻。舉例來說,如果虛設塊4604包含犧牲多晶 石夕閘極4669.,則這些犧牲閘極4669受選擇性蝕刻,而間隔物 4621及完整絕緣層4627無可觀蝕刻。如果虛設塊包含一犧今生 閘極介電質層4667,則此層4667可藉電漿回蝕或溼式蝕刻方 法而移除。如圖59所示,在虛設塊4604先前所在的位置,步 成了複數個通孔4629。 通道區域4619之上之活性層4605表面因虛設塊材料移除而 曝露之後,立即在該曝露的區域上長出及/或沈積「真實的」 或永久的閘極介電質材料。較佳的是,此介電質包含一電荷 儲存區域4607,其係選自〇NO三重層或複數個電性隔絕的奈 米晶體,如圖60所示。或者,如果該TFT EEPROM含一浮動閘 極4609(如圖61所示),則此介電質包含一穿隧介電質4606。電 荷儲存層4607係位於通道區域4619之上的通孔4629的底部上。 電荷儲存層4607也包含垂直部份,位在完整絕緣層4627的側 壁上(倘有間隔物4621,則位在該間隔物的側壁上);以及水 平部份’位在完整絕緣層4627之上;如圖60所示。 接著’在完整絕緣層4627及電荷儲存區域4607上面,沈積 一導電材料。該導電材料可包含多晶矽,或多晶矽4633、4637 和麥化物4635等層之組合,如同其他具體實施例。該導電材 料填充了通孔4629,且覆上電荷儲存區域4607 ^該導電材料 再經圖型化而形成複數個字線4641,如同其他具體實施例。 然後,活1 生層4605及電荷儲存區域4607用字線4641為遮罩而圖 型化,如同其他具體實施例。字線4641位於通孔4629中的部 丫刀包含4 TFT EEPROMs的控制閘極4609,如圖60所示。如果 -82- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)4627 No appreciable etching. For example, if dummy block 4604 contains sacrificial polysilicon gates 4669., these sacrificial gates 4669 are selectively etched, while spacers 4621 and complete insulation layer 4627 are not etched appreciably. If the dummy block contains a sacrificial gate dielectric layer 4667, this layer 4667 can be removed by plasma etchback or wet etching. As shown in FIG. 59, in the previous position of the dummy block 4604, a plurality of through holes 4629 are formed. Immediately after the active layer 4605 surface of the channel region 4619 is exposed due to the removal of the dummy block material, a "real" or permanent gate dielectric material is grown and / or deposited on the exposed region. Preferably, the dielectric includes a charge storage region 4607, which is selected from an ono triple layer or a plurality of electrically isolated nanocrystals, as shown in FIG. 60. Alternatively, if the TFT EEPROM includes a floating gate 4609 (as shown in FIG. 61), the dielectric includes a tunneling dielectric 4606. The charge storage layer 4607 is located on the bottom of the through hole 4629 above the channel area 4619. The charge storage layer 4607 also includes a vertical portion on the side wall of the complete insulating layer 4627 (if there is a spacer 4621, it is on the side wall of the spacer); and a horizontal portion is located on the complete insulating layer 4627. ; As shown in Figure 60. Next, on top of the complete insulating layer 4627 and the charge storage region 4607, a conductive material is deposited. The conductive material may include polycrystalline silicon, or a combination of layers such as polycrystalline silicon 4633, 4637, and wheat compound 4635, as in other specific embodiments. The conductive material fills the through hole 4629 and covers the charge storage area 4607. The conductive material is patterned to form a plurality of word lines 4641, as in other specific embodiments. Then, the active layer 4605 and the charge storage region 4607 are patterned with the word line 4641 as a mask, as in other embodiments. The word line 4641 is located in the through-hole 4629. The blade contains the control gate 4609 of 4 TFT EEPROMs, as shown in Figure 60. If -82- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)

裝 訂Binding

線 540086 A7 B7 五、發明説明(8〇 ) 有必要做浮動閘極TFT EEPROM,則可於控制閘極/字線4641 形成之前,.先在通孔4629中形成一浮動閘極4609及一控制閘 極介電質4612,如圖61所示。 在本發明之第八較佳具體實施例中,圖52之三維陣列複數 個級中的TFTs同時經歷再結晶作用及/或摻雜劑活化步騾; 此則降低了裝置裝造時間及成本。而且,如果該陣列的每一 級接受分離的結晶化作用及/或摻雜劑活化退火,則較下之 級比起較上之級將經歷較多的退火步驟。於是可能導致裝 置非均勻性,原因在於:較下之級的活性區面中的晶粒尺寸 可能大於較上之級的,且/或較下之級中的摻雜劑分布可能 不同於較上之級。 如此,在第八具體實施例之一較佳方面,係同時再結晶複 數個級中的TFTs的非晶系矽或多晶矽活性區面。較佳的是, 同時再結晶所有之級中的TFTs。該再結晶作用可能受爐中熱 退火,或RTA系統中快速熱退火(RTA)影響。該熱退火,可在 550至800°C下實行6-10小時之久,而以650至725eC下實行7-8小 時之久較佳。 而且,矽化物層4423既接觸源極和汲極區域4417,則該矽 化物可做為結晶作用催化劑,特別是當使用矽化鎳、矽化鈷 或矽化鉬。.金屬原子擴散穿過TFTs的活性區面,留下大的多 晶矽晶粒。如此,在沈積而做位元線金屬化之後,非晶系矽 或多晶孕活性層之再結晶獲致較大晶粒,容許用到較低的 再結晶溫度(諸如550至650°C )。而且,金屬誘導再結晶作用不 須做分離的金屬沈積及圖型化。如此,該陣列之每一級,可 -83- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (80) It is necessary to make a floating gate TFT EEPROM. Before the control gate / word line 4641 is formed, a floating gate 4609 and a control are formed in the through hole 4629. The gate dielectric 4612 is shown in FIG. 61. In the eighth preferred embodiment of the present invention, the TFTs in the plurality of stages of the three-dimensional array of FIG. 52 simultaneously undergo recrystallization and / or dopant activation steps; this reduces the device fabrication time and cost. Furthermore, if each stage of the array undergoes separate crystallization and / or dopant activation annealing, the lower stage will undergo more annealing steps than the upper stage. The non-uniformity of the device may then be caused because the grain size in the lower-stage active area plane may be larger than the upper-stage and / or the dopant distribution in the lower-stage may be different from the upper-stage Level. Thus, in a preferred aspect of the eighth embodiment, the amorphous silicon or polycrystalline silicon active region surfaces of the TFTs in a plurality of stages are simultaneously recrystallized. Preferably, TFTs in all levels are recrystallized at the same time. This recrystallization may be affected by thermal annealing in a furnace or rapid thermal annealing (RTA) in an RTA system. The thermal annealing can be performed at 550 to 800 ° C for 6-10 hours, and preferably at 650 to 725eC for 7-8 hours. Moreover, the silicide layer 4423 contacts both the source and drain regions 4417, and the silicide can be used as a catalyst for crystallization, especially when nickel silicide, cobalt silicide, or molybdenum silicide are used. Metal atoms diffuse through the active area of the TFTs, leaving large polycrystalline silicon grains. In this way, after the deposition and metallization of the bit line, the recrystallization of the amorphous silicon or polycrystalline active layer results in larger grains, allowing lower recrystallization temperatures (such as 550 to 650 ° C). Moreover, metal-induced recrystallization does not require separate metal deposition and patterning. In this way, each grade of the array can be bound to the paper size of China National Standard (CNS) A4 (210 X 297 mm).

線 540086 A7 B7 五、發明説明(81 ) 於位元線金屬化形成後接受再結晶退火。或者,可於陣列每 一級已形成位元線金屬化之後,陣列所有之級才接受再結 晶退火。而且,在第八具體實施例之一替代方面,矽化·物形 成步驟及再結晶步驟可於陣列每一級之同一退火步騾期間 施行。 在第八具體實施例之第二較佳方面,係同時活化複數個 級中的摻雜的區域。較佳的是,同時活化所有之級中的摻雜 的區域。該等摻雜的區域在包含該三維陣列中所形成的任 何其他摻雜的區域之外,同樣也包含了 TFT源極和汲極區域 。較佳的是,該等摻雜的區域因陣列接受RTA處置而活化。 然而,必要時可在約700至約850°C熱退火20至60分鐘之久,來 實行活化作用。該活化作用可於結晶退火之前或之後實行。 在第八具體實施例之第三較佳方面,係在陣列之複數個 級或所有之級的同一退火步驟中,實行再結晶作用及摻雜 劑活化作用。該退火步驟應不引起源極和汲極區域摻雜劑 擴散進TFTs的通道區域,而於充份高的溫度之下進行充份之 久的時間,以活化摻雜劑並再結晶TFT活性區面。較佳的是 ,該組合了再結晶作用和摻雜劑活化作用之退火步驟包含 一 RTA處置。 在第八具體實施例之第四較佳方面,係提供一額外的微 影遮罩步驟,來形成結晶作用催化劑材料沈積所用的結晶 窗口。例Γ如圖62所示,側壁間隔物4721之形成所用的材料4722 使用一分離的微影遮罩而圖型化,形成了結晶窗口 4701。如 此’在圖55-61所不的取代閘極電晶體方法之中,結晶窗口 -84- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (81) After the bit line is metallized, it undergoes recrystallization annealing. Alternatively, after the bit line metallization has been formed at each stage of the array, all stages of the array may only undergo recrystallization annealing. Moreover, in an alternative aspect of the eighth embodiment, the silicidation / material formation step and the recrystallization step may be performed during the same annealing step of each stage of the array. In a second preferred aspect of the eighth embodiment, the doped regions in a plurality of stages are simultaneously activated. Preferably, the doped regions in all levels are activated simultaneously. The doped regions include the TFT source and drain regions in addition to any other doped regions formed in the three-dimensional array. Preferably, the doped regions are activated as the array undergoes RTA treatment. However, if necessary, thermal activation can be performed at about 700 to about 850 ° C for 20 to 60 minutes. This activation can be performed before or after the crystallization annealing. In a third preferred aspect of the eighth embodiment, the recrystallization and dopant activation are performed in the same annealing step of a plurality of stages or all stages of the array. This annealing step should not cause the source and drain region dopants to diffuse into the channel region of the TFTs, but be performed at a sufficiently high temperature for a sufficient time to activate the dopants and recrystallize the TFT active region surface. Preferably, the annealing step combining recrystallization and dopant activation includes an RTA treatment. In a fourth preferred aspect of the eighth embodiment, an additional lithographic masking step is provided to form a crystalline window for crystallization catalyst material deposition. Example Γ As shown in FIG. 62, the material 4722 used to form the sidewall spacer 4721 is patterned using a separate lithographic mask to form a crystal window 4701. In this way, in the method of replacing the gate transistor shown in Fig. 55-61, the crystallization window -84- This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) binding

線 540086 A7 B7 五、發明説明(82 ) 4701係在反向位元線圖型蝕刻入保護性氧化物4771及犧牲閘 極4769之後,形成於製造側壁間隔物所用的低溫氧化物(LTO) 層中。結晶遮罩特徵經蝕刻入氧化物層4722,而清除活性層 4705的表面。同時性地,側壁間隔物4721在犧牲閘極4769上形 成。然後,抗光蝕劑(未示出)遭剝除。圖63及64分別闡示圖62 中沿線A-A及B-B之截面。若須要,第一以至第四具體實施例 之製程也可加做該等結晶窗口。在那些具體實施例中,如此 的窗口將於側壁間隔物形成期間形成。 其次,沈積一催化劑,諸如Ni、Ge、Fe、Mo、Co、Pt、Pd、Rh 、Ru、〇s、Ir、Cu、Au、其石夕化物,或其他的過渡金屬元素(或 其矽化物)。該催化劑僅在開放窗口 4701中接觸非晶系矽活性 層4705。催化劑材料係以固體層或催化劑溶液沈積。或者, 該催化劑可離子佈植或擴散入矽活性層4705。然後,該裝置 在溫度600°C以下退火數小時之久;較佳的是550°C。為最小 化非晶系石夕中的自發核晶作用(spontaneous nucleation),此低退 火溫度乃是較佳的。本具體實施例中的多晶矽晶粒,係從窗 口 4701中的晶種區域開始長出,且係橫向地生長。退火完成 時,晶粒邊界(grain boundary) 4702如圖65所示地對準。然後, 催化劑遭移除。固體催化劑層可藉選擇性蝕刻而移除;而再 結晶的多晶石夕中的催化劑原子可藉吸雜(gettering)而移除,諸 如:在含氣氣體中將裝置退火。再藉選擇性蝕刻而移除LTO 氧化物層4722 ; LTO氧化物層4722包含了結晶窗口 4701的邊界 。如同其他具體實施例,該裝置乃告完成。應注意,字線(圖 62及65中之WL)將接而形成於結晶窗口 4701過往形成所在的 _-85-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 R7 五、發明説明(83 ) 區域上面。結晶作用既始於窗口 4701,然則,在字線間的活 性層4705區域中,與字線平行之晶粒邊界4702係位離窗口區 域。這些在字線間的活性層4705區域,於字線形成後遭移除 。於是,因TFTs的通道區域係位於字線之下,這些TFT通道區 域乃包含較少的晶粒邊界,且大致不含平行於字線的晶粒 邊界。 III.導軌堆疊丁FTs 以下較佳具體實施例提供一具有電荷儲存區域(諸如 EEPROM TFTs)而以導柱組態安排之TFTs陣列。本文中所說明 的具體實施例論及一種非揮發性可重新程式化半導體記憶 體,及其製造和利用方法。普通熟習此項技藝者將切實了解 :以下對本發明具體實施例之詳細說明僅為闡示,並不希望 有任何設限。如此的熟習人士若獲益於本揭露内容,將立即 舉出本發明之其他具體實施例。現在詳細參考附圖中所闡 示的本發明實作。在該等圖式及以下詳細說明中,所引用的 相同或類似的部件將一貫使用相同的參考標號。 為清楚起見,本文中所說明的實作的常規特徵,並非全有 顯示及說明。應了解,在開發任何如此的實際施作時,理當 須做出許多由實作所指定的決策,以便達成開發者的特定 目標,諸如:依照應用及業務相關的約束;及了解,這些特 定目標將隨實作及開發者而異動。並且應了解,如此的開發 工作可冤是複雜而耗時的,但對_獲益於本揭露内容之普通 熟習此項技藝者來說,卻是常規的工程任務。 本具體實施例係指一二維或(較佳的)三維可多次程式化 -86- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)Line 540086 A7 B7 V. Description of the invention (82) 4701 is formed by etching the protective bit 4771 and sacrificial gate 4769 in the reverse bit line pattern, and then forming a low temperature oxide (LTO) layer for the manufacture of sidewall spacers. in. The crystalline mask features are etched into the oxide layer 4722 and the surface of the active layer 4705 is removed. Simultaneously, a sidewall spacer 4721 is formed on the sacrificial gate 4769. Then, the photoresist (not shown) is stripped. 63 and 64 illustrate cross sections along lines A-A and B-B in FIG. 62, respectively. If necessary, the processes of the first to fourth embodiments can also be added as the crystallization windows. In those embodiments, such windows will be formed during sidewall spacer formation. Second, a catalyst is deposited, such as Ni, Ge, Fe, Mo, Co, Pt, Pd, Rh, Ru, Os, Ir, Cu, Au, its petrified compounds, or other transition metal elements (or its silicides) ). This catalyst contacts the amorphous silicon active layer 4705 only in the open window 4701. The catalyst material is deposited as a solid layer or a catalyst solution. Alternatively, the catalyst may be implanted or diffused into the silicon active layer 4705. The device is then annealed for several hours at a temperature below 600 ° C; preferably 550 ° C. In order to minimize the spontaneous nucleation in the amorphous stone, this low annealing temperature is preferred. The polycrystalline silicon crystal grains in this embodiment grow from the seed region in the window 4701 and grow laterally. When the annealing is completed, the grain boundary 4702 is aligned as shown in FIG. 65. The catalyst was then removed. The solid catalyst layer can be removed by selective etching; and the catalyst atoms in the recrystallized polycrystalline stone can be removed by gettering, such as annealing the device in a gas containing gas. Then, the LTO oxide layer 4722 is removed by selective etching; the LTO oxide layer 4722 includes the boundary of the crystalline window 4701. As with other embodiments, the device is completed. It should be noted that the word line (WL in Figures 62 and 65) will be formed next to the crystal window 4701 where it was formed in the past _-85-_ This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 540086 A7 R7 5. Description of the invention (83) Above the area. The crystallization starts from the window 4701. However, in the region 4705 of the active layer between the word lines, a grain boundary 4702 parallel to the word line is located away from the window region. These 4705 regions of the active layer between the word lines were removed after the word lines were formed. Therefore, since the channel regions of the TFTs are located below the word line, these TFT channel regions contain fewer grain boundaries and contain substantially no grain boundaries parallel to the word line. III. Guide Rail Stacks and FTs The following preferred embodiments provide an array of TFTs with charge storage regions (such as EEPROM TFTs) arranged in a guide post configuration. The specific embodiments described herein address a non-volatile reprogrammable semiconductor memory, and methods of making and utilizing the same. Those skilled in the art will truly understand that the following detailed description of the specific embodiments of the present invention is for illustration only and is not intended to have any limitation. If such a person skilled in the art would benefit from this disclosure, he would immediately cite other specific embodiments of the present invention. Reference will now be made in detail to the implementation of the invention illustrated in the accompanying drawings. In the drawings and the following detailed description, the same or similar parts cited will always use the same reference numerals. For purposes of clarity, not all of the routine features of the implementations described herein are shown and described. It should be understood that in developing any such actual implementation, it is reasonable to make many decisions specified by the implementation in order to achieve the developer's specific goals, such as: in accordance with application and business-related constraints; and understand that these specific goals Will change with implementations and developers. It should also be understood that such development work can be complicated and time-consuming, but it is a regular engineering task for ordinary skillful persons skilled in the art who have benefited from this disclosure. This specific embodiment refers to a two-dimensional or (preferably) three-dimensional programmable multiple times. -86- The paper size applies to China National Standard (CNS) A4 (210X297 mm).

Order

線 540086 A7 B7 五、發明説明k ) (MTP)非揮發性記憶體。該記憶體提供2F2/N之位元單元尺寸 ,此處F為最小特徵尺寸(如,在0.18微米半導體製程中為0.18 微米;在0.25微米半導體製程中為0.25微米),而N為第三個(即 ,垂直的)維度上的裝置之層數。如此,對於垂直堆疊了 8個 裝置之0.18微米製程來說,投影在基板上的有效位元之胞尺 寸僅約.0081平方微米。結果,在0.18微米技術中以及8層記憶 裝置之下,一 50平方毫米晶片若具有50%之陣列效率,則其 所有的大約3.1十億個記憶體單元,在每單元儲存二個位元 時有大約386百萬元組之容量,而在每單元儲存一個位元時 則有193百萬位元組。該記憶體之三維版本係使用單晶狀矽 記憶裝置常用之「虛擬接地陣列」的三維延伸。該較佳記憶 體製程架構在一交點陣列中使用N+摻雜的多晶矽導軌,直 交於P-摻雜的多晶矽/電荷陷獲層/N+多晶矽導軌堆疊,而該 交點陣列形成了 NMOS電晶體記憶裝置,其具有一可垂直地 複製之SONOS電荷陷獲層。同樣地,當然能製造PMOS記憶體 〇 相鄰的N+多晶矽導軌對,與一 P-摻雜的多晶矽/電荷陷獲 層/N+摻雜的多晶矽導軌堆疊,界定了獨一的NMOS記憶裝置 的個別源:極、汲極和閘極。程式化及抹除,會改變此NMOS 的閾值電壓。以熱電子注入而程式化,每NMOS能儲存二個 位元;而或係以熱電洞注入,抑或以佛勒一諾漢穿隧(Fowler-Nordheim tunneling),則能施行抹除。 現在見圖80,將說明一依據本發明一特定具體實施例來整 合記憶裝置成多級的儲存單元陣列之方法。製造之始,係提 -87- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 R7 五、發明説明(δ5 ) 供一基板5180,其上將要形成多級的儲存裝置陣列。基板 5180典型地含一淡薄摻雜的單晶狀矽基板5182,其中形成電 晶體,諸如金屬氧化物半導體(MOS)。這些電晶體能用為(舉 例來說)存取電晶體,或者能一同耦合成電路而形成(舉例來 說)電荷泵或感測放大器,供所製的記憶裝置用。基板5180典 型地也含多級互連線路及層間介電質5184,其係用來將基板 5182中的電晶體一同耦合成功能性電路◎基板5180的頂表面 5186典型地含一絕緣層或鈍化層,以保護底下的電晶體及互 連線路免於污染。頂表面5186典型地包含電接觸墊,而本發 明之多級記憶裝置陣列能與其電耦合,以便與矽基板5182中 的電晶體做電接觸。在本發明之一具體實施例中,記憶裝置 係藉多級互連線路及介電質5184而與該單晶狀基板物理性地 隔絕和分離。鈍化或絕緣層5186的頂表面典型地經平面化, 使本發明之多級記憶裝置能均勻而可靠地製造。依據本發 明,記憶裝置係與單晶狀矽基板5182物理性地分離。在本發 明之一替代具體實施例中,記憶裝置能在一玻璃基板5180(諸 如平板顯示器中所用者)上製造。 依據本發明之一具體實施例,在基板之上形成多級的薄 膜電晶If (TFT)記憶裝置陣列之製程,開始係在基板5180的表 面5186上面毯覆性沈積一第一導體層5188。導體5188可為任何 合適的導體,諸如(但不限於)碎化鈦、摻雜的多晶矽,或者 諸如鋁ΐ鎢等金屬及其合金(由合適的技術所形成)。導體 5188要用做為(舉例來說)一位元線或一字線,一同耦合記憶 裝置的一列或一行。其次,在導體層5188上面沈積或長出一 -88- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 5. Invention Description k) (MTP) non-volatile memory. The memory provides a 2F2 / N bit cell size, where F is the smallest feature size (eg, 0.18 microns in a 0.18 micron semiconductor process; 0.25 microns in a 0.25 micron semiconductor process), and N is the third The number of layers of the device in the (ie, vertical) dimension. Thus, for a 0.18 micron process in which eight devices are vertically stacked, the cell size of the effective bits projected on the substrate is only about .0081 square micrometers. As a result, in a 0.18 micron technology and under 8 layers of memory devices, if a 50 mm2 chip has an array efficiency of 50%, it will have approximately 3.1 billion memory cells, and when each cell stores two bits, It has a capacity of about 386 million bytes and 193 million bytes when storing one bit per cell. The three-dimensional version of the memory is a three-dimensional extension of the "virtual ground array" commonly used in monocrystalline silicon memory devices. The preferred memory architecture uses N + doped polysilicon rails in an intersection array, orthogonal to the P-doped polysilicon / charge trap layer / N + polysilicon rail stack, and the intersection array forms an NMOS transistor memory device. It has a SONOS charge trapping layer that can be replicated vertically. Similarly, it is of course possible to manufacture PMOS memory. Adjacent pairs of N + polycrystalline silicon rails are stacked with a P-doped polycrystalline silicon / charge trapping layer / N + doped polycrystalline silicon guide rail, defining the individual NMOS memory device. Source: pole, drain, and gate. Programming and erasing will change the threshold voltage of this NMOS. Programmable by thermo-electron injection, two bits per NMOS can be stored; either by thermo-hole injection or by Fowler-Nordheim tunneling, erasing can be performed. Referring now to FIG. 80, a method for integrating a memory device into a multi-level memory cell array according to a specific embodiment of the present invention will be described. At the beginning of manufacture, the system is mentioned -87- This paper size is applicable to Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 R7 V. Description of the invention (δ5) A substrate 5180 is to be formed on it. Storage device array. The substrate 5180 typically contains a thinly doped single crystal silicon substrate 5182 in which transistors such as metal oxide semiconductors (MOS) are formed. These transistors can be used, for example, to access transistors, or they can be coupled together into a circuit to form (for example) a charge pump or a sense amplifier for use in a manufactured memory device. The substrate 5180 typically also contains multilevel interconnects and interlayer dielectrics 5184, which are used to couple the transistors in the substrate 5182 together into a functional circuit. The top surface 5186 of the substrate 5180 typically contains an insulating layer or passivation. Layer to protect the underlying transistors and interconnects from contamination. The top surface 5186 typically contains electrical contact pads, and the multi-level memory device array of the present invention can be electrically coupled thereto for electrical contact with transistors in a silicon substrate 5182. In a specific embodiment of the present invention, the memory device is physically isolated and separated from the single crystal substrate by a multilevel interconnection and a dielectric 5184. The top surface of the passivation or insulation layer 5186 is typically planarized so that the multi-level memory device of the present invention can be manufactured uniformly and reliably. According to the present invention, the memory device is physically separated from the single crystal silicon substrate 5182. In an alternative embodiment of the invention, the memory device can be fabricated on a glass substrate 5180 (such as used in a flat panel display). According to a specific embodiment of the present invention, the process of forming a multi-level thin film transistor If (TFT) memory device array on a substrate begins by blanketly depositing a first conductor layer 5188 on the surface 5186 of the substrate 5180. The conductor 5188 may be any suitable conductor, such as (but not limited to) shattered titanium, doped polycrystalline silicon, or a metal such as aluminum thorium tungsten and its alloy (formed by suitable technology). The conductor 5188 is to be used as, for example, a bit line or a word line to couple a column or row of a memory device together. Secondly, a layer is deposited or grown on the conductor layer 5188. -88- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 R7 五、發明説明Q ) 絕緣層(諸如氧化矽),以填充位元線間的間隔。習知的化學 機械研磨(CMP)步驟則完成平面化,而曝露位元線。 現在見圖66,其係以前透視圖闡示本發明一特定具體實施 例。在此具體實施例中,一 2維記憶體陣列5040包含第一複數 個間隔分開的導體(諸如N+摻雜的多晶矽位元線5042 ' 5044、 5046、5048),其係在一第一方向配置於基板(未示出)上面(不 做接觸)一第一高度處。第二複數個間隔分開的「導軌堆疊」 5050、5052在一異於(宜正交)第一方向之第二方向配置於基板 之上一第二高度處,而得以處於位元線5042、5044、5046及 5048之上,並在相交點 5054、5056、5058、5060、5062、5064、 5066、5068接觸之。此具體實施例中之導軌堆疊5050、5052皆 包含至少一層P-摻雜的多晶矽5070,其可由(舉例來說)一非晶 系矽膜做化學氣相沈積(CVD)而形成,並以P型雜質(如,硼) 就地摻雜為約1 X 1010至約1 X 1018個原子/立方厘米之摻雜劑密 度。該非晶系矽膜能再經由一後續的退火步驟而轉化成多 晶狀矽。或者不做就地摻雜,也能先行長出或沈積未摻雜的 矽,再以摻雜劑做佈植或擴散。在層5070上面,係沈積一電 荷陷獲層5072,其含如下所討論的電荷陷獲媒體;以及,配 置一導€字線5074,其可含配置於電荷陷獲層5072上面的N+ 摻雜(或P+摻雜)的多晶矽。在相鄰的位元線與導軌堆疊之間 及之上的間隔中,可沈積經平面化的氧化物材料(未示於圖 66)。習知的化學機械研磨(CMP)製程可用來完成平面化。 圖66之記憶體陣列結構如今能輕易地外推至三維。為此, 係使用字線5050、5052上面經平面化的氧化物層。該平面化 -89- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 _ R7 五、發明説明(87 ) 的隔絕層(或層間絕緣層)預防一組字線與次一組位元線短路 。然後,在該隔絕層上面建構另一層位元線5042、5044、5046 、5048,接而行氧化物沈積及CMP步驟,再接而則沈積另一 組字線。必要時,此製程能重複若干次。依據本發明之一特 定具體實施例,記憶體陣列係一層在另一層上堆疊了八層 (或更多),而提供8倍於非三維版本的位元密度。 現在見圖67,其闡示本發明之另一特定具體實施例。在此 具體實施例中,一 2維陣列5076包含一隔絕層5078,其使陣列 5076與基板(未示出)電分離。該隔絕層可為任何習知的隔絕/ 絕緣層,諸如氧化矽。在隔絕層5078上面,係配置複數個間 隔分開的位元線5080、5082、5084、5086。位元線5080、5082、 5084、5086宜由N+摻雜的多晶矽所形成,但也能使用p+摻雜 的多晶矽及任何合適的電導體。藉一沈積步驟,以填料來填 充相鄰位元線5080、5082、5084、5086之間的區域5088、5090、 5092。該填料必須為電絕緣體。同樣地,氧化矽雖是便利的 ,但其他材料也能使用。再以一 CMP步驟來做平面化,以曝 露位元線。然後,一層5094半導體材料(諸如Ρ-摻雜的多晶矽) 在位元線5080、5082、5084、5086上面接觸而沈積。一 ΟΝΟ層 5096配置於半導體層5094上面;一導電字線5098配置於ΟΝΟ層 5096上面。依據一目前較佳具體實施例,位元線5080、5082、 5084、5086及字線5098係由Ν+摻雜的多晶矽所形成。在熱處 理時,Ν+外擴散區域5100、5102、5104、5106形成於Ρ-摻雜的 半導體層5094之中。相鄰的Ν+外擴散區域之間的通道5108、 5110、5112成為NMOS電晶體的通道,其閾值電壓係受控於 -90 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 540086 A7 B7 五、發明説明(88 ) ΟΝΟ介電質堆疊5096的氮化物層中是否存在陷獲的電荷。 普通熟習此項技藝者將切實了解:相反導電率型之半導 體也是可使用的。若有字線及位元線使用摻雜的多晶矽以 外的導體,則在該處將須藉外擴散以外的方式來形成半導 體層5094中的摻雜的區域。 圖68為圖67之記憶體陣列的平面頂視圖。如圖68所示,字 線5098在位元線5080上面安排為一交點陣列。儘管在圖68中 字線與位元線係安排為彼此直交(即,成90度角),字線與位 元線間的角度卻可異於90度。而且,在該記憶體陣列的邊界 之外,字線與位元線可改變角度,甚至彼此平行。而且·, 「導軌堆疊」或「導軌」一辭,引用時宜指直線安排的導體 。然而,必要時導軌堆疊或導軌可彎曲、扭曲或轉彎。 現在見圖69,圖67之記憶體陣列經外推而為單石三維陣列 。「單石」一辭,意謂陣列每一級之層係直接沈積在每一底 下級之層上。二維陣列則迥異,其可分離地形成再一同組裝 ,而形成非單石的記憶裝置。每一裝置級5076宜等同於圖67 所示;且有一隔絕層(即’·層間絕緣層)5078來分離每一級。 圖69中的虛線廓畫了單一個單元(即,一丁FT EEPROM) 5099。 單元5099係位於裝置級“j”中,而在字線(n,j)與位元線(m,j)及 (m+ l,j)的相交處。 現在見圖70,其闡示本發明之另一特定具體實施例。在此 具體實森Γ例中,係形成一底部閘;f^ TFTs陣列。配置一二維記 憶體陣列5114於一基板之上。配置一隔絕層5116,使記憶體 陣列5114與該基板(未示出)或記憶體陣列之另一級(未示出)分 -91 - 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐) 裝 訂Line 540086 A7 R7 V. Description of the invention Q) An insulating layer (such as silicon oxide) to fill the spaces between the bit lines. The conventional chemical mechanical polishing (CMP) step completes the planarization and exposes the bit lines. Referring now to Figure 66, a previous perspective view illustrates a particular embodiment of the present invention. In this embodiment, a 2-dimensional memory array 5040 includes a first plurality of spaced apart conductors (such as N + doped polycrystalline silicon bit lines 5042 '5044, 5046, 5048), which are arranged in a first direction. On a substrate (not shown) at a first height (without contact). A second plurality of spaced-apart "rail stacks" 5050, 5052 are arranged at a second height above the substrate in a second direction different from the (preferably orthogonal) first direction, so that they are at bit lines 5042, 5044 , 5046, and 5048, and touch them at intersections 5054, 5056, 5058, 5060, 5062, 5064, 5066, 5068. The rail stacks 5050 and 5052 in this embodiment each include at least one layer of P-doped polycrystalline silicon 5070, which can be formed by, for example, an amorphous silicon film by chemical vapor deposition (CVD), and P Type impurities (eg, boron) are doped in-situ at a dopant density of about 1 X 1010 to about 1 X 1018 atoms / cm3. The amorphous silicon film can be converted into polycrystalline silicon through a subsequent annealing step. Alternatively, without in-situ doping, you can grow or deposit un-doped silicon first, and then use dopants for implantation or diffusion. On layer 5070, a charge-trapping layer 5072 is deposited, which contains the charge-trapping medium as discussed below; and a conductive word line 5074, which may include N + doping disposed on the charge-trapping layer 5072, is provided. (Or P + doped) polycrystalline silicon. In the spaces between and above adjacent bit lines and the rail stack, a planarized oxide material can be deposited (not shown in Figure 66). Conventional chemical mechanical polishing (CMP) processes can be used to complete the planarization. The memory array structure of Figure 66 can now be easily extrapolated to three dimensions. For this purpose, a planarized oxide layer is used on the word lines 5050 and 5052. The flattening-89- This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A7 _ R7 V. The insulation layer (or interlayer insulation layer) of the invention description (87) prevents a group of words The line is shorted to the next set of bit lines. Then, another layer of bit lines 5042, 5044, 5046, 5048 is constructed on the insulation layer, followed by oxide deposition and CMP steps, and then another set of word lines is deposited. This process can be repeated several times if necessary. According to a specific embodiment of the present invention, the memory array is one layer stacked with eight layers (or more) on the other layer, and provides a bit density that is 8 times that of the non-three-dimensional version. Reference is now made to Fig. 67, which illustrates another particular embodiment of the present invention. In this embodiment, a 2-dimensional array 5076 includes an isolation layer 5078, which electrically isolates the array 5076 from a substrate (not shown). The insulation layer may be any conventional insulation / insulation layer, such as silicon oxide. Above the isolation layer 5078, a plurality of spaced-apart bit lines 5080, 5082, 5084, and 5086 are arranged. The bit lines 5080, 5082, 5084, and 5086 are preferably formed of N + doped polycrystalline silicon, but p + doped polycrystalline silicon and any suitable electrical conductor can also be used. A deposition step is used to fill the areas 5088, 5090, 5092 between adjacent bit lines 5080, 5082, 5084, and 5086 with a filler. The filler must be an electrical insulator. Similarly, although silicon oxide is convenient, other materials can also be used. Then a CMP step is used to planarize to expose the bit lines. Then, a layer of 5094 semiconductor material (such as P-doped polycrystalline silicon) is deposited on the bit lines 5080, 5082, 5084, 5086 by contact. An ONO layer 5096 is disposed on the semiconductor layer 5094; a conductive word line 5098 is disposed on the ONO layer 5096. According to a presently preferred embodiment, the bit lines 5080, 5082, 5084, 5086 and word line 5098 are formed of N + doped polycrystalline silicon. During thermal processing, N + outer diffusion regions 5100, 5102, 5104, and 5106 are formed in the P-doped semiconductor layer 5094. The channels 5108, 5110, and 5112 between adjacent N + outer diffusion regions become the channels of NMOS transistors, and the threshold voltage is controlled by -90.-This paper size applies the Chinese National Standard (CNS) Α4 specification (210X297 mm) 540086 A7 B7 V. Description of the invention (88) Whether there is trapped charge in the nitride layer of the dielectric stack 5096. Those skilled in the art will understand that semiconductors of the opposite conductivity type are also available. If there are conductors other than the doped polycrystalline silicon for the word lines and bit lines, the doped regions in the semiconductor layer 5094 must be formed there by means other than external diffusion. FIG. 68 is a top plan view of the memory array of FIG. 67. FIG. As shown in FIG. 68, the word lines 5098 are arranged as an intersection array above the bit lines 5080. Although the word line and the bit line are arranged at right angles to each other (i.e., at an angle of 90 degrees) in Fig. 68, the angle between the word line and the bit line may be different from 90 degrees. Moreover, outside the boundaries of the memory array, the word lines and bit lines can change angles and even be parallel to each other. Moreover, the terms "rail stacking" or "rail" should be used to refer to conductors arranged in a straight line. However, the rail stack or rails can be bent, twisted, or turned as necessary. Now see Figure 69. The memory array of Figure 67 is extrapolated to a single-stone three-dimensional array. The term "monolithic" means that the layers of each level of the array are deposited directly on the layers of each lower level. Two-dimensional arrays are very different. They can be formed separately and assembled together to form a non-monolithic memory device. Each device stage 5076 should be equivalent to that shown in Figure 67; and an isolation layer (ie, an interlayer insulation layer) 5078 is used to separate each stage. The dotted line in FIG. 69 outlines a single cell (ie, a small FT EEPROM) 5099. Cell 5099 is located at the device level "j", where the word lines (n, j) intersect the bit lines (m, j) and (m + l, j). Referring now to FIG. 70, another specific embodiment of the present invention is illustrated. In this concrete example, a bottom gate; f ^ TFTs array is formed. A two-dimensional memory array 5114 is disposed on a substrate. An isolation layer 5116 is configured so that the memory array 5114 is separated from the substrate (not shown) or another level (not shown) of the memory array -91-This paper standard is applicable to the Chinese National Standard (CNS) A4 specification (21〇 x 297 mm) binding

線 五、發明説明(89 ) 離。配置複數個間隔分開的字線5118於隔絕層5116上面。在 字線5118上面,係配置一電荷陷獲媒體膜5120,諸如ΟΝΟ介電 質堆疊。在電荷陷獲媒體5120上面,係配置複數個間隔分開 的位元線 5122、5124、5126、5128。在位元線 5122、5124、5126、 5128之間的間隔5130、5132、5134中,係配置一半導體材料膜 5136。此膜可沈積進間隔5130、5132、5134 ;或可在電荷陷獲 媒體5120上面沈積或長出,再經遮罩而蝕刻,此係為了在其 形成後位元線5122、5124、5126、5128得以形成。此記憶體陣 列版本约略是將圖69上側之設計做向下翻轉。以此,該等位 元線乃是將為Ν+摻雜的多晶矽所填充之渠溝。在填充之前 ’先實行η-型佈植,以形成MOS裝置的源極和汲極。此外,在 該等渠溝的底部可以不使用摻雜劑,而替之以一耐熔的金 屬,來形成源極和汲極。 現在見圖71,圖70之記憶體陣列經外推而為單石三維陣列 。每一級5114宜等同於圖70所示;且有一隔絕層5116來分離每 一級。 現在見圖72,其闡示本發明之另一特定具體實施例,此處 每一位元線係做為二個裝置級中的TFTs所用之位元線。在此 具體實施例中,一記憶體陣列5140包含一下部字線5142及一 上部字線5144。位元線5146、5148、5150、5152配置於上部字線 5144與下部字線5142之間。以類似於圖67及圖69之方式,一上 部半導體膜5154配置於位元線5146、5148、5150、5152與上部字 線5144之間。下部半導體膜5156配置於位元線5146、5148、5150 、5152與下部字線5142之間。在上部半導體膜5154及下部半導 _ -92- 本紙悵尺度適用中國國家榡準(CNS) A4規格(210X297公釐) 540086 A7 B7 五、發明説明(90 ) 體膜5156之中,外擴散區域形成於位元線5146、5148、5150、 5152鄰近。一下部電荷儲存媒體膜5158配置於下部字線5142與 下部半導體膜5156之間。一上部電荷儲存媒體膜5160配置於 上部字線5144與上述部半導體膜5154之間。注意,此具體實 施例中之層係以鏡像方式複製。 現在見圖73,圖72之記憶體陣列經外推而為單石三維陣列 。可設想每一裝置級5140皆含有二個字線及二個丁FT活性區 域,及配置在該等活性區域間的複數個位元線。或者,可設 想每一裝置級5140皆為單一個字線5142,配置在二個TFT活性 區域之間。如此,每一裝置級或係包含一個字線級及二個位 元線級,抑或包含一個位元線級及二個字線級。每一 TFT活 性區域皆與不同水平平上面所配置的另一 TFT活性區域分享 一位元線以及一字線。 圖81A-81H中闡示一底部閘極TFT替代具體實施例。圖81A-81H之途徑多少類似於圖70的。層5116為一諸如氧化物之隔絕 層,將記憶體陣列結構5114與其他記憶體陣列之級或與基板 分離。層5118為一導電字線層。層5120為一 0-N-0介電質堆疊 。層5136為一半導膜材料膜(字線及位元線為N+多晶碎時, 為P型)。 在圖81B中,係沈積或長出一氧化物層5190。在圖81C中, 氧化物層5190為一遮罩5192(即,一抗光蝕遮罩)所遮罩。在圖 81D中,ΐ化物層5190未經遮罩的部份則以習知方式而蝕刻。 在圖81Ε中,遮罩5192遭移除,且半導體層5136經η-型離子 佈植,而於氧化物層5190中的蝕刻開口處形成一 Ν+佈植區域 -93- 本纸張尺度適用中國國家標準(CNS) Α4規格(210Χ 297公釐) 裝 訂Line V. Description of the Invention (89) Off. A plurality of spaced-apart word lines 5118 are arranged on the insulation layer 5116. Above the word line 5118, a charge trapping medium film 5120 is arranged, such as an ONO dielectric stack. Above the charge trapping medium 5120, a plurality of spaced-apart bit lines 5122, 5124, 5126, and 5128 are arranged. A semiconductor material film 5136 is arranged in the spaces 5130, 5132, 5134 between the bit lines 5122, 5124, 5126, and 5128. This film can be deposited into the spaces 5130, 5132, 5134; or it can be deposited or grown on the charge trapping medium 5120, and then etched through a mask. This is to form bit lines 5122, 5124, 5126, 5128 after it is formed Was formed. This memory array version roughly reverses the design on the upper side of Figure 69. Therefore, the bit lines are trenches to be filled with N + doped polycrystalline silicon. Prior to filling, η-type implantation is performed to form the source and drain of the MOS device. In addition, no dopants may be used at the bottom of the trenches, and instead a refractory metal may be used to form the source and drain electrodes. Now see Figure 71. The memory array of Figure 70 is extrapolated to a single-stone three-dimensional array. Each stage 5114 should be equivalent to that shown in Figure 70; and an isolation layer 5116 is provided to separate each stage. Referring now to Fig. 72, which illustrates another specific embodiment of the present invention, each bit line is used as a bit line for TFTs in two device levels. In this embodiment, a memory array 5140 includes a lower word line 5142 and an upper word line 5144. The bit lines 5146, 5148, 5150, and 5152 are arranged between the upper word line 5144 and the lower word line 5142. In a manner similar to FIG. 67 and FIG. 69, an upper semiconductor film 5154 is disposed between the bit lines 5146, 5148, 5150, and 5152 and the upper word line 5144. The lower semiconductor film 5156 is disposed between the bit lines 5146, 5148, 5150, and 5152 and the lower word line 5142. In the upper semiconductor film 5154 and the lower semiconductor _ -92-, the paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 540086 A7 B7 V. Description of the invention (90) In the body film 5156, the outer diffusion area The bit lines 5146, 5148, 5150, and 5152 are formed adjacent to each other. A lower charge storage medium film 5158 is disposed between the lower word line 5142 and the lower semiconductor film 5156. An upper charge storage medium film 5160 is disposed between the upper word line 5144 and the semiconductor film 5154. Note that the layers in this embodiment are mirrored. Now see Figure 73. The memory array of Figure 72 is extrapolated to a monolithic three-dimensional array. It is envisaged that each device level 5140 contains two word lines and two T-FT active regions, and a plurality of bit lines arranged between the active regions. Alternatively, it is conceivable that each device level 5140 is a single word line 5142 and is disposed between two TFT active regions. Thus, each device level may include one word line level and two bit line levels, or may include one bit line level and two word line levels. Each TFT active area shares a bit line and a word line with another TFT active area configured on a different level. An alternative embodiment of a bottom gate TFT is illustrated in FIGS. 81A-81H. The pathways of Figures 81A-81H are somewhat similar to those of Figure 70. The layer 5116 is an insulating layer such as an oxide, and separates the memory array structure 5114 from other memory array levels or from the substrate. The layer 5118 is a conductive word line layer. Layer 5120 is a 0-N-0 dielectric stack. The layer 5136 is a semi-conductive film material (the word line and the bit line are P-type when the N + polycrystalline is broken). In FIG. 81B, an oxide layer 5190 is deposited or grown. In FIG. 81C, the oxide layer 5190 is masked by a mask 5192 (ie, a photoresist mask). In FIG. 81D, the unmasked portion of the halide layer 5190 is etched in a conventional manner. In FIG. 81E, the mask 5192 is removed, and the semiconductor layer 5136 is implanted with η-type ions, and an N + implanted area is formed at the etching opening in the oxide layer 5190. -93- This paper size applies China National Standard (CNS) Α4 specification (210 × 297 mm) binding

線 540086 A7 B7 五、發明説明(91 ) 5194,如圖81F所闡示。在圖81G中,一 N+層5196沈積而填充該 氧化物中的溝隙,且接觸N+佈植區域5194而形成N+材料位 元線5198,以提供與0-N-0層5120之接點。在圖81H中,N+層 5196如所示係經CMP平面化而形成位元線5198,而NMOS TFT 陣列乃告完成。當然,也可逆化此等層及摻雜劑的導電率型 ,來建構PMOS TFT陣列。而形成更加多的裝置級並以隔絕層 來分離之,藉此則能建構圖81A-81H記憶體陣列之多層的版 本0 圖82A-82I中另闡示一頂部閘極TFT陣列替代具體實施例。 在圖82A中,係於一基板上面(未示出)配置氧化物或隔絕層 5200。在圖82B中,一層第一導電率型5202半導體材料配置於 氧化物層5200上面。該半導體材料可為P-摻雜的非晶系矽。 在圖82C中此層上面,係沈積一硬氮化物CMP阻擋層5204,以 阻止CMP製程研磨入於層5202。 在圖82D中,該建構中的記憶陣列為遮罩5206(為抗光蝕遮 罩)所遮罩。圖82E中正實行蝕刻,以形成圖82F所示的孔徑或 渠溝5208。在圖82G中,係沈積一導電層5210,諸如摻雜的 多晶矽。在圖82H中,此層5210經CMP向下研磨,留下N+位元 線5212,而其間為P-摻雜的區域5214。經熱處理後,外擴散區 域5216形成,如圖821所示。而且,非晶系矽層5202再結晶成 多晶矽層。 在圖821中,一局域電荷儲存膜5218配置於位元線5212上面 :一導電膜5220配置於局域電荷儲存膜5218上面。導電膜5220 經圖型化而形成一字線;電荷儲存膜5218也經圖型化。於是 -94- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Invention Description (91) 5194, as illustrated in Figure 81F. In FIG. 81G, an N + layer 5196 is deposited to fill the gap in the oxide, and contacts the N + implanted region 5194 to form an N + material bit line 5198 to provide a contact with the 0-N-0 layer 5120. In FIG. 81H, the N + layer 5196 is planarized to form bit lines 5198 as shown, and the NMOS TFT array is completed. Of course, the conductivity type of these layers and dopants can also be reversed to construct a PMOS TFT array. Forming more device levels and separating them with isolation layers can build a multi-layered version of the memory array of Figures 81A-81H. Figure 82A-82I illustrates a top gate TFT array instead of a specific embodiment . In FIG. 82A, an oxide or insulating layer 5200 is disposed on a substrate (not shown). In FIG. 82B, a layer of a first conductivity type 5202 semiconductor material is disposed on the oxide layer 5200. The semiconductor material may be P-doped amorphous silicon. On this layer in FIG. 82C, a hard nitride CMP barrier layer 5204 is deposited to prevent the CMP process from being ground into the layer 5202. In FIG. 82D, the memory array in this construction is masked by a mask 5206 (which is a photoresist mask). Etching is being performed in Fig. 82E to form the aperture or trench 5208 shown in Fig. 82F. In Fig. 82G, a conductive layer 5210, such as doped polycrystalline silicon, is deposited. In FIG. 82H, this layer 5210 is ground down by CMP, leaving N + bit lines 5212 with a P-doped region 5214 in between. After heat treatment, an outer diffusion region 5216 is formed, as shown in FIG. 821. The amorphous silicon layer 5202 is recrystallized into a polycrystalline silicon layer. In FIG. 821, a localized charge storage film 5218 is disposed on the bit line 5212: a conductive film 5220 is disposed on the localized charge storage film 5218. The conductive film 5220 is patterned to form a word line; the charge storage film 5218 is also patterned. So -94- This paper size applies Chinese National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(92 ) 形成了包含該字線及該電荷儲存膜之導軌堆疊。 本文中所用的電荷儲存媒體膜(在本文中引用時也指「局 域電荷儲存膜」)須能保留局域化的電子,亦即,必須無橫向 導電。在一具體實施例中,可於一介電質堆疊5160中(如圖77 所示)形成一電荷陷獲層。舉例來說,該電荷儲存媒體可為 一介電質堆疊5160,其包含:鄰近一多晶矽膜5164之第一氧 化物層5162、一鄰近第一氧化物層5162之氮化物層5166,及一 鄰近氮化物層5166且鄰近一多晶矽控制閘極5170之第二氧化 物層5168。如此的介電質堆疊5160經引用時有時係指〇N〇. 疊(氧化物一氮化物一氧化物堆叠)。必要時,也能使用其他 合適的電荷陷獲介電質膜,諸如以矽佈植或含矽豐富之氧 化物。 該電荷儲存媒體,或者也可由複數個電性隔絕的奈米晶 體5172所形成,如圖78所示。奈米晶體為互相電性隔絕的導 電材料小集團或晶體。使用奈米晶體供電荷儲存媒體所用 有一好處:因為其並不形成連續膜,故為自隔絕。奈米晶體 5172使多個自隔絕電荷儲存得以形成。 奈米晶體5172可由諸如矽、鎢或鋁等導電材料所形成。為 了要自隔絕,奈米晶體須有材料集團尺寸小於單元間距之 半,以隔絕垂直或水平相鄰的單元的浮動閘極。此即,奈米 晶體或材料集團5172必須夠小,為了使單一個奈米晶體5172 無法橋接垂直或水平相鄰的單元。將矽沈積而使矽在相對 於其附著係數下有極高的表面擴散率,可藉而形成矽奈米 晶體。舉例來說,矽奈米晶體可藉化學氣相沈積法(CVD)而 _-95-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 5. Description of the Invention (92) A rail stack including the word line and the charge storage film is formed. The charge storage medium film (also referred to herein as a "local charge storage film" as used herein) must be able to retain localized electrons, that is, it must be free of lateral conduction. In a specific embodiment, a charge trapping layer can be formed in a dielectric stack 5160 (as shown in FIG. 77). For example, the charge storage medium may be a dielectric stack 5160, which includes a first oxide layer 5162 adjacent to a polycrystalline silicon film 5164, a nitride layer 5166 adjacent to the first oxide layer 5162, and an adjacent The nitride layer 5166 is adjacent to the second oxide layer 5168 of a polycrystalline silicon control gate 5170. Such a dielectric stack 5160 is sometimes referred to as an ONO stack (oxide-nitride-oxide stack) when referenced. If necessary, other suitable charge trapping dielectric films can also be used, such as silicon implants or silicon-rich oxides. The charge storage medium may be formed of a plurality of electrically isolated nanocrystals 5172, as shown in FIG. 78. Nanocrystals are small groups or crystals of electrically conductive material that are electrically isolated from each other. The use of nanocrystals for charge storage media has an advantage: because they do not form a continuous film, they are self-isolating. Nanocrystalline 5172 enables multiple self-isolated charge storages to form. The nanocrystal 5172 may be formed of a conductive material such as silicon, tungsten, or aluminum. For self-isolation, nanometer crystals must have a material group size smaller than half the cell spacing to isolate the floating gates of vertically or horizontally adjacent cells. That is, the nanocrystal or material group 5172 must be small enough so that a single nanocrystal 5172 cannot bridge vertically or horizontally adjacent cells. By depositing silicon, the silicon has a very high surface diffusion rate relative to its adhesion coefficient, thereby forming silicon nanocrystals. For example, silicon nanocrystals can be chemically vapor deposited (CVD).

線 五、發明説明(93 ) 形成,此係在極低,壓力(在i毫托至200毫托範圍内)下分解梦 ⑽H4),而溫度在2細。c範園内。在如此的製程I極薄 的沈積(50_250埃)將形成切島。在沈積期間如果Η射㈣ 入’則錢用的恩力而仍獲得奈米晶體。在本發明之一 替^具體實施例中,可形成金屬奈米晶體(諸如时米晶體) 二其係從-金屬標㈣鍍形成,温相在該金屬㈣化溫度 右’以使金屬燒結而形成奈米晶體。可形成鶴奈米晶體, 其係在極低壓力下藉化學氣相沈料而制H原氣體 (誇如wf6及錯則GeH4])之反應氣體;昆合物。在本發明之另外 :具體實施例中,可沈積—連續的浮動閘極材科膜,再使其 沉澱(藉加熱),而在該膜中形成矽鳥。 ’、 應了解,以奈米晶體供浮動閘極用較佳,乃因奈米晶體有 自隔絕品質之故,雖然如此,仍可用連續膜來形成浮動問極 ,諸如用(但不限於)金屬(諸如旬,或财膜(諸如轉成必 要的導私率型之多晶狀或非晶系碎,以N+碎為典型)。如果 使用連_膜為局域電荷儲存膜,此時將對該膜非各向同向 地蝕刻,而移除其部份,此係為了電性隔絕該膜之條。 類似地,小塊浮動閘極材料(諸如濃厚摻雜的多晶矽)嵌在 諸如氧化物層之絕緣體中,也刊成局域電荷儲存介質。 在多層的裝置中行使讲外擴散,有一重點:各不同之級 將曝党丕同的熱處理。此即,底層將曝受每一熱處理步騾, 而頂層僅曝受最後幾個的熱處理步驟。既然M0S記憶體之電 晶體的性能特性不宜隨陣列之層而展現有實質差異,且不 且任由橫向擴散浸沒,則須當心源極/汲極區域形成時所用 本紙張尺度適用t a a ^(CNS) -96- 公釐) 540086 A7 B7 五、發明説明(94 ) 的熱預算(thermal budget)及機制。位元線做N+摻雜以及半導體 膜做P-摻雜的所在,可能不以磷為摻雜劑,而替之以銻,因 銻展現較磷.為小的擴散率。也可能設計位元線多晶矽中的 摻雜劑設定,以容許不同的外擴散。此點係以概示法示於圖 76中。對於多晶矽沈積之各種不同的熱預算,在理出其多晶 矽摻雜劑擴散作用的特徵之後,有關N+就地摻雜的材料應 離P-摻雜的主體區域多遠,即能以陣列内記憶體級的函數而 輕易地決定。必要時,鋒也能用於此處,且能做直接佈植。 在圖76中,表示為⑻之位元線比表示為(b)之位元線較近於記 憶體陣列的頂級。換句話說,位元線⑷在陣列中係位於位元 線(b)之上。在熱處理期間,位元線中的捧雜劑將向上擴散, 遍及整個位元線,並外擴散進P-多晶矽層而形成源極和汲極 區域。如此,在複數個級中的源極和汲極區域將會對等地摻 現在見圖69,要程式化圖69所選取的單元中的第一位元, 係將WL(n,j)高脈衝(9-13伏特,高阻抗),而BL(m,j)接地,且 BL(m+ l,j)高脈衝(3麵8伏特,低阻抗)〇第j級上的BL(m,j)左方所 有的BL’ s保持接地,且第j級上的BL(m+ l,j)右方所有的BL’ s保 持在同於BL(m+ l,j)之電壓。第j級上所有其他的WL’s保持接 地以確保BL(m,j)與BL(m+ l,j)間所有其他的MOS裝置為關著(off) 。所有其他層上的所有其他BL’s及WL’s,則任其浮動。此意 謂,所選取的單元M0S裝置乃是唯一開著(on)且通電的,而 最適化了熱載子之生成作用及近於汲極的電荷陷獲介電質 (由BL(m+ l,j)所界定)之程式化。 _-97-__ 本紙張尺度逋用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line V. The description of the invention (93) is formed. This system decomposes the dream at a very low pressure (in the range of i mTorr to 200 mTorr) (H4), and the temperature is 2 micron. c Fan Park. In such a process I a very thin deposit (50-250 angstroms) will form a cut island. During the accumulation, if you shoot into it, you will get the power of money and still get nano crystals. In an alternative embodiment of the present invention, metal nanocrystals (such as crystals) can be formed. Second, they are formed from -metal standard plating, and the warm phase is at the temperature of the metal sulfidation temperature to sinter the metal. Nano crystals are formed. It can form crane nano crystals, which are reaction gases for making H source gas (such as wf6 and GeH4) by chemical vapor deposition under extremely low pressure; kun compound. In another embodiment of the present invention, a continuous floating gate material film can be deposited and then precipitated (by heating) to form a silicon bird in the film. '. It should be understood that nanometer crystals are better for floating gates because of the self-isolating quality of nanocrystals. Nevertheless, continuous films can still be used to form floating interrogators, such as (but not limited to) metal (Such as Xun, or financial film (such as polycrystalline or amorphous fragments converted to the necessary conductivity type, N + fragments are typical). If you use a connected film as a local charge storage film, you will The film is etched non-isotropically and its portion is removed in order to electrically isolate the strip of the film. Similarly, small floating gate materials (such as thickly doped polycrystalline silicon) are embedded in, for example, oxides. The layer of insulator is also published as a local charge storage medium. When using external diffusion in a multilayer device, there is one important point: different levels will be exposed to different heat treatments. That is, the bottom layer will be exposed to each heat treatment step. The top layer is only exposed to the last few heat treatment steps. Since the performance characteristics of the transistor of the MOS memory should not show substantial differences with the layer of the array, and not allowed to be immersed by lateral diffusion, the source / Used when the drain region is formed t 540086 A7 B7 five described (94) of the invention, the thermal budget (thermal budget) and mechanism of a a ^ (CNS) -96- mm) paper suitable scale. Where the bit line is doped with N + and the semiconductor film is doped with P-, the phosphorus may not be used as a dopant, but antimony may be used instead, because antimony exhibits a lower diffusivity than phosphorus. It is also possible to design the dopant settings in the bit line polycrystalline silicon to allow different out-diffusion. This point is shown schematically in FIG. 76. For the different thermal budgets of polycrystalline silicon deposition, after clarifying the characteristics of the polycrystalline silicon dopant diffusion effect, how far away from the N + doped material from the P-doped body region can be used to memorize in the array Body functions are easily determined. If necessary, the front can also be used here, and can be directly planted. In FIG. 76, the bit line indicated as ⑻ is closer to the top of the memory array than the bit line indicated as (b). In other words, bit line ⑷ is above bit line (b) in the array. During the heat treatment, the dopant in the bit line will diffuse upwards throughout the bit line and diffuse out into the P-polycrystalline silicon layer to form source and drain regions. In this way, the source and drain regions in the multiple stages will be mixed equally as shown in Figure 69. To program the first bit in the cell selected in Figure 69, WL (n, j) is high. Pulse (9-13 volts, high impedance), while BL (m, j) is grounded, and BL (m + l, j) is high pulse (three-sided 8 volts, low impedance). BL (m, j at level j) ) All BL's on the left remain grounded, and BL (m + 1, j) on the jth stage all BL's on the right remain at the same voltage as BL (m + 1, j). All other WL's on the jth stage remain grounded to ensure that all other MOS devices between BL (m, j) and BL (m + 1, j) are off. All other BL's and WL's on all other layers are allowed to float. This means that the selected unit MOS device is the only one that is on and energized, and optimizes the generation of hot carriers and the charge trapping dielectric near the drain (by BL (m + l , As defined in j)). _-97 -__ This paper size uses Chinese National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 B7 五、發明説明(95 ) 要讀出第一位元,則係以BL(m+ l,j)為源極,而BL(mj)為汲 極。將前者接地,後者提升至一讀出電壓(〜50毫伏特至3伏特 ,以1-3伏特較佳),而WL(m,j)脈衝至一讀出電壓(〜1-5伏特)。 同樣地,BL(m,j)左方所有的BL’ s保持在同於BL(m,j)之電位, 且BL(m+ l,j)右方所有的BL’s接地。同一級上所有其他的WL’ s 接地,而關掉了該二BL’s間所有其他的MOS裝置。所有其他 級上的所有其他的BL’s及WL’s,則任其浮動。 要程式化及讀出同一單元中的第二位元,則係比對以上 做法而反轉BL(m,j)與BL(m+ l,j)上的電壓。 注意,該MOS記憶體電晶體的主體區域係浮動的,且能製 成薄區域(由沈積工具所定義,如,較佳者為幾百埃)。將此 區域製薄,能避免裝置急速返回,也能避免程式化電流迅速 升高。 記憶體之抹除能發生於記憶體區塊中,且可組合使用緩 慢佛勒一諾漢穿隧與熱電洞注射。MOS主體既為浮動而引起 極小的能帶至能帶穿隧及突崩崩潰,則抹除電流將是小的。 抹除發生時,字線或係接地抑或保持為負C* -5伏特)且所有 的位元線保持在某一正電壓。抹除程序為時將逾100毫秒, 且能從事於每一記憶體級,甚至一次從事於整個記憶體。 有共用字線之非選取位元,應能承受該字線上的程式化 電壓達最壞情況之久的一段時期。圖74顯示了該矩陣之一級 中有關此點的概要細節。 如果每一位元(即,半個單元)皆需時間t來程式化,且每一 WL上有N個單元,則在最壞情況下一程式化的位元將經歷 -98- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the Invention (95) To read the first bit, BL (m + 1, j) is the source and BL (mj) is the drain. The former is grounded, the latter is raised to a readout voltage (~ 50 millivolts to 3 volts, preferably 1-3 volts), and WL (m, j) is pulsed to a readout voltage (~ 1-5 volts). Similarly, all BL's to the left of BL (m, j) remain at the same potential as BL (m, j), and all BL's to the right of BL (m + 1) j are grounded. All other WL's on the same level are grounded, and all other MOS devices between the two BL's are turned off. All other BL's and WL's at all other levels are allowed to float. To program and read the second bit in the same cell, the voltages on BL (m, j) and BL (m + 1, j) are reversed by comparing the above. Note that the main area of the MOS memory transistor is floating and can be made into a thin area (defined by the deposition tool, for example, several hundred angstroms is preferred). Making this area thin can prevent the device from returning quickly, and it can also prevent the programmed current from rising rapidly. Memory erasure can occur in the memory block, and slow Freud-Norhan tunneling and thermo-hole injection can be used in combination. Since the MOS body is floating and causes very small band-to-band tunneling and burst collapse, the erase current will be small. When erasing occurs, the word line is either grounded or held at a negative C * -5 volts) and all bit lines are held at a certain positive voltage. The erasing process will take more than 100 milliseconds, and can be performed at each memory level, or even the entire memory at one time. Non-selected bits with a shared word line should be able to withstand the worst-case period of the stylized voltage on that word line. Figure 74 shows a summary of this point in one level of the matrix. If each bit (ie, half a unit) takes time t to be programmed, and there are N units on each WL, the worst-case stylized bit will go through -98- this paper scale Applicable to China National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 ____ B7 五、發明説明(96 ) ~ (2N-l)t之時間(此處程式化電壓將施於肌)。對於任何程式化 的單元,如.果其Vt未移位一「最小」量,則閘極應力程式化 擾動情开;^不惡。既用熱電子來獲致程式化,則時間及電壓比 起穿隧出電荷陷阱所需的時間及電壓,分別來說是短及小 的。此外,在選取的單元程式化期間,浮動未選取的位元線 ,可藉而有效地減小任何一個位元上的總應力。以此,僅有 該接地的選取的位元線將會經受到十足的跨越介電質之程 式化電壓。 與選取的位元共用一位元線之非選取的位元,應能承受 汲極上的程式化電塵達最壞情況之久的一段時期。圖75顯示 了有關此點的概要細節,其中有沿一位元線示出的截面。 同樣地’如果任何一個位元線上有Μ個單元,且任何一個 位元皆需時間t來程式化,則在一程式化的位元上的最壞情 况;及極應力將為時(M-l)t。所以,在經受如此的應力之後,程 式化的位元中的Vt移位應是最小。 如果單元在一讀出期間所生成的熱載子充足,而終於(逾 10年壽命)程式化先前所抹除(未寫入)的位元,則發生讀出擾 動或「軟寫入(soft write)」。在此通常係加速測試,以確保所 煞的項出電壓不使中性單元(neutra丨ceU)的閾值電壓移位逾一 最小量。 在上$裝置之中’ N+或p+摻雜的多晶矽應接雜為約1 X 1〇i9 至約IX 1021個原子/立方厘米之摻雜劑密度,且宜有厚度在约 500埃至約1〇〇〇埃範圍内。;^或N-摻雜的半導體膜應摻雜為約} X 10!6至約1 X 1〇18個原子/立方厘米之掺雜劑密度。 •99- 本紙張尺度適用中國國家標準(CNS) A4規格(2l〇x 297公釐)Line 540086 A7 ____ B7 V. Description of the invention (96) ~ (2N-l) t time (here the stylized voltage will be applied to the muscle). For any stylized unit, if Vt is not shifted by a "minimum" amount, the gate stress is programmed to disturb the situation; ^ Not evil. With the use of hot electrons to achieve programming, the time and voltage are shorter and smaller than the time and voltage required to tunnel out of the charge trap, respectively. In addition, during the stylization of selected cells, floating unselected bit lines can effectively reduce the total stress on any one bit. As a result, only the selected bit line of this ground will be subjected to a full programmed voltage across the dielectric. Non-selected bits that share a bit line with selected bits should be able to withstand the worst-case period of stylized electrical dust on the drain. Figure 75 shows a summary detail on this point, with a section shown along a one-bit line. Similarly 'if there are M units on any bit line, and any bit takes time t to be programmed, then the worst case on a stylized bit; and the extreme stress will be last (Ml) t. Therefore, after undergoing such stress, the Vt shift in the programmed bits should be minimal. If a unit generates enough hot carriers during a readout, and finally (over 10 years of life) program the previously erased (unwritten) bits, readout disturbance or "soft write (soft write) ". This is usually an accelerated test to ensure that the output voltage of the brake does not shift the threshold voltage of the neutral unit by more than a minimum amount. In the above device, the N + or p + -doped polycrystalline silicon should be doped with a dopant density of about 1 X 110i9 to about IX 1021 atoms / cm3, and preferably a thickness of about 500 Angstroms to about 1 Å. 〇〇〇angstrom range. ^ Or N-doped semiconductor film should be doped to a dopant density of about} X 10! 6 to about 1 X 1018 atoms / cm 3. • 99- This paper size applies to China National Standard (CNS) A4 (2l0x 297 mm)

裝 訂Binding

線 540086 A7 B7 五、發明説明(97 ) 應了解,逆化每一矽區域的導電率型而維持摻雜劑的濃 度範圍,即能以相反極性來製造所示的每一半導體裝置。以 此,不僅能製造NMOS裝置,若須要也能製造PMOS裝置。此 外,裝置形成所用的矽膜可再結晶成單晶矽或多晶狀矽。此 外,該矽膜可為矽合金膜(諸如矽鍺膜),而摻雜以η-型或p-型 導電率之離子至必要的濃度。 若有多晶碎字線及位元線’其橫向導電率有提南之必要’ 則在該字線或位元線中可沈積一層導電金屬,如圖79所闡示 。在圖79中,位元線5174係由濃厚Ν+摻雜的多晶矽.5176所形 成,於是有電導性。為進一步降低電阻,可在位元線5174之 内,或在多晶矽5176的一個或更多個表上面配置一層耐熔的 導電金屬(諸如鈦5178”接受正常的矽處理溫度時,該鈦與 該南度橫向導電之多晶碎形成麥化物。 • IV.具導軌堆疊組態之快閃記憶體陣列 在以前的具體實施例中,TFTs係安排在虛擬接地陣列(VGA) 之中。在一以前的具體實施例所闡示的VGA中,每一 EEPROM 係藉熱載子注射而發生程式化。做熱載子注射時,係跨越一 二極體(即,在一 TFT EEPROM的源極與汲極之間)而放上電壓 。從源極穿過TFT EEPROM通道而行進至汲極的熱載子(即, 熱電子及電洞)乃注入通道鄰近所配置的電荷儲存區域。此 程序為二相對高功率之事件。 _ 在低功率之可攜式應用中,程式化/抹除以及讀出功率兩 者都是重要的,以佛勒一諾漢穿隧(「FN穿隧」)運作之快閃 非揮發性記憶體可用於此等應用之程式化以及抹除兩者。 _-100-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the Invention (97) It should be understood that each semiconductor region shown can be manufactured with opposite polarity by inverting the conductivity type of each silicon region while maintaining the dopant concentration range. This makes it possible to manufacture not only NMOS devices, but also PMOS devices if necessary. In addition, the silicon film used for device formation can be recrystallized into single crystal silicon or polycrystalline silicon. In addition, the silicon film may be a silicon alloy film (such as a silicon germanium film), and ions with η-type or p-type conductivity are doped to a necessary concentration. If there is a polycrystalline broken word line and a bit line, whose lateral conductivity is necessary to be south, then a layer of conductive metal may be deposited in the word line or bit line, as illustrated in FIG. 79. In FIG. 79, the bit line 5174 is formed of a thick N + doped polycrystalline silicon .1766, and thus is electrically conductive. To further reduce the resistance, a layer of refractory conductive metal (such as titanium 5178 "can be placed within bit line 5174 or on one or more tables of polycrystalline silicon 5176. The laterally conductive polycrystalline fragment breaks down to form a wheat compound. IV. Flash memory array with rail stack configuration In previous embodiments, TFTs were arranged in a virtual ground array (VGA). In the VGA illustrated by the specific embodiment, each EEPROM is programmed by hot carrier injection. When hot carrier injection is performed, it crosses a diode (that is, the source and sink of a TFT EEPROM). Voltage is applied. The hot carriers (ie, hot electrons and holes) that travel from the source through the TFT EEPROM channel to the drain are injected into the channel near the configured charge storage area. This procedure is two Relatively high power events. _ In low power portable applications, both programming / erasing and readout power are important. The operation is based on Fowler-Norhan tunneling ("FN tunneling"). Flash non-volatile memory can be used for this Stylized and erase both the application. _ _ This paper -100- scale applicable Chinese National Standard (CNS) A4 size (210X 297 mm) stapling

線 540086 A7 B7 五、發明説明(98 ) FN穿隧係因施加跨越介電質的電壓而引起。如此,在一 TFT EEPROM中,係施加電壓於該TFT的控制閘極與源極及/或汲 極區域之間,供丁FT EEPROM寫入及抹除用。此乃迥異於熱 載子注射程式化;在熱載子注射程式化時,電壓係施於源極 與汲極區域之間。 以FN穿隧運作而做程式化及抹除之快閃記憶體陣列,因 其中同時可有數千個位元做程式化,故是有利的。 還有,FN穿隧為一非常有效率的程式化方式,因大多數的 (近於100%)電流用途是要將裝置程式化。此乃迥異於熱載子 注射;在熱載子注射時,僅約1-2%的源極一汲極電流用途是 要將裝置程式化。 如此,在本發明之一較佳具體實施例中,電荷儲存裝置 (諸如TFT EEPROMs)係安排於一快閃記憶體陣列組態之中。 該等TFT EEPROMs可以以前的具體實施例之導柱、自對準 TFT或導軌堆疊組態來安排。較佳的是,該等TFT EEPROMs以 導軌堆疊組態來安排。 VGA並不與FN穿隧相容,因全體的通道多晶矽係沿著受高 脈衝的字線長度而逆化,而會對須做程式化之某個單元以 外的其他單元也做程式化。於是,FN穿隧導軌堆疊(交點)快 閃陣列有異於VGA,原因在於:在FN穿隧陣列中,活性多晶 矽層經里型化成多晶矽島,而容許做FN穿隧程式化。如此, 乃在導軌堆疊陣列之製程中加上一額外的微影遮罩步驟, 於其間每一裝置單元中的多晶矽活性層經蝕刻而形成多晶 矽島。每一單元中的電荷儲存區域能用同一抗光蝕遮罩來 _-101 -_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 R7 五、發明説明(99 ) 界定(即,蝕刻)。 圖83A闡示依據本發明一較佳具體實施例,具導軌堆疊組 態之快閃記憶體陣列。圖83B顯示沿圖83A中之線B-B的截面 圖。 在圖83A中,快閃記憶體陣列5230宜形成於一平面化的層 間絕緣層5231上面,諸如經CMP而平面化的氧化矽層。層5231 如同以前的具體實施例,係在一基板(未示出)上面形成。該 陣列之每一裝置(在圖83A中以虛線5232示出),因係形成於一 絕緣層上面,故為一 TFT。 , 陣列5230包含第一複數個間隔分開的導電位元線5233,其 係在一第一方向配置於該基板之上一第一高度處。該陣列 也包含第二複數個間隔分開的導軌堆疊5235。該等導軌堆疊 在一異於茗一方向之第二方向配置於一第二高度處。較佳 的是,位元線5233與導軌堆疊5235安排為彼此直交。TFT EEPROM 5232形成於導軌堆疊5235與位元線5233的相交處。 每一導軌堆疊5235皆包含複數個半導體島5237 ;半導體島 5237包含TFT EEPROMs 5232的活性區域。島5237的一個表面接 觸位元線5233。每一導軌堆疊5235也包含一導電字線5239,及 一配置在半導體島5237之一第二表面與字線5239之間的電荷 儲存區域5241。 半導體島5237宜包含一第一導電率型(即,P-或N-)多晶矽。 然而,必要時該等半導體島可含非晶系矽。多晶矽島5237包 含一第二導電率型(即,N+或P+)源極和汲極區域5243。源極 和汲極區域5243係位於位元線導體5233與導軌堆疊5235之間 _. 1Π9 -_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the Invention (98) FN tunneling is caused by the application of a voltage across the dielectric. Thus, in a TFT EEPROM, a voltage is applied between the control gate and the source and / or drain regions of the TFT for writing and erasing by the FT EEPROM. This is very different from the hot carrier injection stylization; in the hot carrier injection stylization, the voltage is applied between the source and drain regions. Flash memory arrays that are programmed and erased by FN tunneling operation are advantageous because thousands of bits can be programmed at the same time. Also, FN tunneling is a very efficient programming method because most (nearly 100%) current uses are to program the device. This is very different from hot carrier injection; in hot carrier injection, only about 1-2% of the source-drain current is used to program the device. Thus, in a preferred embodiment of the present invention, charge storage devices (such as TFT EEPROMs) are arranged in a flash memory array configuration. The TFT EEPROMs can be arranged in a guide post, self-aligned TFT, or rail stack configuration of previous embodiments. Preferably, the TFT EEPROMs are arranged in a rail stack configuration. VGA is not compatible with FN tunneling, because the entire channel polycrystalline silicon system is reversed along the length of the word line subject to high pulses, and other units other than one unit to be programmed are also programmed. Therefore, the FN tunneling rail stack (intersection) flash array is different from VGA, because in the FN tunneling array, the active polycrystalline silicon layer is shaped into a polycrystalline silicon island, and FN tunneling is allowed to be programmed. In this way, an additional lithographic masking step is added to the manufacturing process of the rail stack array, and the polycrystalline silicon active layer in each device unit is etched to form a polycrystalline silicon island. The charge storage area in each unit can be covered with the same photoresistance mask. _-101 -_ This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) 540086 A7 R7 V. Description of the invention (99 ) Defined (ie, etched). Fig. 83A illustrates a flash memory array with a rail stack configuration according to a preferred embodiment of the present invention. Fig. 83B shows a sectional view taken along line B-B in Fig. 83A. In FIG. 83A, the flash memory array 5230 is preferably formed on a planarized interlayer insulating layer 5231, such as a silicon oxide layer planarized by CMP. The layer 5231 is formed on a substrate (not shown) like the previous embodiment. Each device of the array (shown by dashed line 5232 in FIG. 83A) is a TFT because it is formed on an insulating layer. The array 5230 includes a first plurality of spaced apart conductive bit lines 5233, which are arranged at a first height above the substrate in a first direction. The array also contains a second plurality of spaced apart rail stacks 5235. The guide rails are stacked at a second height in a second direction different from the first direction. Preferably, the bit lines 5233 and the rail stack 5235 are arranged to be orthogonal to each other. The TFT EEPROM 5232 is formed at the intersection of the rail stack 5235 and the bit line 5233. Each of the rail stacks 5235 includes a plurality of semiconductor islands 5237; the semiconductor islands 5237 contain the active areas of the TFT EEPROMs 5232. One surface of the island 5237 contacts the bit line 5233. Each rail stack 5235 also includes a conductive word line 5239 and a charge storage region 5241 disposed between a second surface of a semiconductor island 5237 and the word line 5239. Semiconductor island 5237 preferably includes a first conductivity type (ie, P- or N-) polycrystalline silicon. However, these semiconductor islands may contain amorphous silicon if necessary. The polycrystalline silicon island 5237 includes a second conductivity type (ie, N + or P +) source and drain regions 5243. The source and drain regions 5243 are located between the bit line conductor 5233 and the rail stack 5235 _. 1Π9 -_ This paper size applies to China National Standard (CNS) A4 (210X 297 mm) binding

線 540086 A7 R7 五、發明説明(100 ) 的接觸相交處。 位元線5233宜包含第二導電率型(即,N+或P+)多晶矽。位 元線5233接觸源極和汲區域5243。較佳的是,該等源極和汲 區域由摻雜劑從位元線外擴散而形成。而且,視情況可配置 一金屬或金屬矽化物層(未示於圖83A),接觸位元線5233,以 提高位元線的導電率。該等間隔分開的位元線導體5233之間 的間隔由平面化的絕緣填料5245(諸如氧化矽)所填充。 電荷儲存區域5241可包含一介電質隔絕的浮動閘極、電性 隔絕的奈米晶體或一 0-N-0介電質堆疊,如同以前的具體實 施例。在圖83A及B中,闡示一具有介電質隔絕浮動閘極之示 範陣列。如此,在圖83 A及B之範例中,電荷儲存區域5241包 含:一多晶矽浮動閘極5247,位在一穿隧介電質5249(諸如氧 化矽層)與一控制閘極介電質5251(也稱為完整的或中聚介電 質介電質,由諸如氧化矽或ΟΝΟ層堆疊之材枓所製)之間。 如圖83Α及Β所示,穿隧介電質5249及浮動閘極5247兩者的 橫側5253,係對準半導體島5237的橫側5255。控制閘極介電質 5251於半導體島5237間延伸,且接觸半導體島5237之間的平面 化了的絕緣材料5245。必要時,浮動閘極5247可由具質地糙 化表面之半球狀晶粒多.晶矽所製,以最大化控制閘極與浮 動閘極耦合。或者,可在浮動閘極中形成角狀物或突伸物或 將浮動Μ極表面粗化,而增大浮動閘極的高度,藉以增大該 搞合。 字線5239包含一第二導電率型(即,Ν+或Ρ+)多晶矽層,及 一接觸該多晶矽層之金屬或金屬矽化物層。字線5239係做為 _-103-_ 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐)Line 540086 A7 R7 V. Intersection of the description of the invention (100). Bit line 5233 preferably includes a second conductivity type (ie, N + or P +) polycrystalline silicon. The bit line 5233 contacts the source and drain regions 5243. Preferably, the source and drain regions are formed by dopant diffusion from outside the bit line. Moreover, a metal or metal silicide layer (not shown in FIG. 83A) may be arranged as appropriate to contact the bit line 5233 to improve the conductivity of the bit line. The spaces between the spaced-apart bit line conductors 5233 are filled with a planarized insulating filler 5245, such as silicon oxide. The charge storage region 5241 may include a dielectric-isolated floating gate, electrically isolated nanocrystals, or a 0-N-0 dielectric stack, as in previous specific embodiments. In FIGS. 83A and B, an exemplary array having a dielectric-isolated floating gate is illustrated. Thus, in the example of FIGS. 83 A and B, the charge storage region 5241 includes: a polycrystalline silicon floating gate 5247, a tunneling dielectric 5249 (such as a silicon oxide layer), and a control gate dielectric 5251 ( Also called complete or medium polymer dielectrics, made of materials such as silicon oxide or ONO stacks. As shown in FIGS. 83A and B, the lateral side 5253 of both the tunneling dielectric 5249 and the floating gate 5247 are aligned with the lateral side 5255 of the semiconductor island 5237. The control gate dielectric 5251 extends between the semiconductor islands 5237 and contacts the planarized insulating material 5245 between the semiconductor islands 5237. When necessary, the floating gate 5247 can be made of hemispherical grains with a roughened surface. Crystal silicon is used to maximize the coupling between the control gate and the floating gate. Alternatively, horns or protrusions may be formed in the floating gate electrode or the surface of the floating M electrode may be roughened to increase the height of the floating gate electrode, thereby increasing the engagement. The word line 5239 includes a second conductivity type (ie, N + or P +) polycrystalline silicon layer, and a metal or metal silicide layer contacting the polycrystalline silicon layer. Word line 5239 is used as _-103-_ This paper size is applicable to China National Standard (CNS) Α4 specification (210 X 297 mm)

Order

線 540086 A7 B7 五、發明説明(⑻ ) TFT EEPROM的控制閘極,而位置蓋上了電荷儲存區域5241。 如此,每一 TFT皆毋需有分離的控制閘極形成。 在此具體實施例之一較佳方面,導軌堆疊5235係配置於位 元線5233之上,如圖83A及B所示。然而,必要時,每一裝置 級中的導軌堆疊5235可配置於位元線5233之下,如同在一以 前的具體實施例中,關於圖70所說明的(即,形成底部閘極 TFT EEPROMs)。 如圖83B所示,字線5239、電荷儲存區域5241及半導體島 5237(即,導軌堆疊5235)在直交於基板且平行於源極至汲極方 向之平面5256上對準。導軌堆疊5235由一第二平面化的絕緣 層5257(諸如氧化矽)所分離。 儘管該快閃記憶體陣列可包括二維陣列,但較佳的是,該 快閃記憶體陣列包括具有複數個裝置級之單石三維陣列。 舉例來說,在圖83A中顯示了三個裝置級。該等裝置級由層 間絕緣層5259(諸如氧化矽層)所分離。必要時,層5257及5259 可包含同一氧化矽層,而該氧化矽層沈積於導執堆疊5235之 上及其間,再經CMP平面化。 要程式化所選取的TFT EEPROM 5232,係或係將其汲極位元 線抑或將其源極位元線5233(或兩者)接地,而對裝置5232(其 為一高阻抗節點)鄰近之選取的字線5239施加正程式化電壓。 同一 1置級上所有其他的字線每地,而同一裝置級上所有 其他的位元線能浮動或放在一稍正的電壓。此意謂,只有選 取的單元5232經受到跨越的程式化電壓。經由電容耦合,浮 動閘極5247被拉高,而源極及/或汲極5243接地。電子從源極 __-104-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the Invention (⑻) The control gate of the TFT EEPROM, and the position is covered with a charge storage area 5241. In this way, each TFT does not need a separate control gate to be formed. In a preferred aspect of this specific embodiment, the rail stack 5235 is disposed on the bit line 5233, as shown in Figs. 83A and 83B. However, if necessary, the rail stack 5235 in each device level may be configured below the bit line 5233, as described in a previous specific embodiment with respect to FIG. 70 (ie, forming the bottom gate TFT EEPROMs) . As shown in FIG. 83B, the word line 5239, the charge storage region 5241, and the semiconductor island 5237 (i.e., the rail stack 5235) are aligned on a plane 5256 that is orthogonal to the substrate and parallel to the source-to-drain direction. The rail stack 5235 is separated by a second planarized insulating layer 5257, such as silicon oxide. Although the flash memory array may include a two-dimensional array, it is preferred that the flash memory array includes a single-stone three-dimensional array having a plurality of device levels. For example, three device levels are shown in FIG. 83A. These device levels are separated by an interlayer insulating layer 5259, such as a silicon oxide layer. When necessary, the layers 5257 and 5259 may include the same silicon oxide layer, and the silicon oxide layer is deposited on and between the guide stack 5235 and then planarized by CMP. To program the selected TFT EEPROM 5232, either ground its drain bit line or its source bit line 5233 (or both), and connect device 5232 (which is a high-impedance node) next to it. The selected word line 5239 is applied with a positive stylized voltage. All other word lines on the same level are grounded, and all other bit lines on the same device level can float or be placed at a slightly positive voltage. This means that only the selected unit 5232 is subjected to a stylized voltage across. Through capacitive coupling, the floating gate 5247 is pulled high and the source and / or drain 5243 are grounded. Electron from source __- 104-_ This paper size applies to China National Standard (CNS) A4 (210X 297 mm) binding

線 540086 A7 B7 五、發明説明(102 ) 及/或汲極5243穿隧至浮動閘極5247,矽通道5237中則形成一 逆化通道·。程式化如此一單元而在大約一毫秒内獲得約5伏 特之閾值電壓移位,所需電流為幾個微微安培(picoamps)。 要抹除單元,則係將同一位元線5233接地,並對選取的字 線5239施一負電壓脈衝。所有其他的字線或係接地,抑或浮 動。所有其他的位元線為浮動,或放在一稍負的電壓。將複 數個字線脈衝至一.高負值而所有的位元線接地,藉此能同 時抹除該陣列中複數個(或所有的)EEPR0M單元。或者,將選 取的字線接地,而選取的單元之位元線正脈衝。所有其他的 字線則浮動或受稍正脈衝,而所有其他的位元線接地。 單以FN穿隧來做程式化及抹除,就得以使用低電流,而在 程式化及抹除中促成大規模平行性(massive parallelism)。於是 能平行地程式化許多單元5232。舉例來說,要獲5伏特之移位 ,則一千個單元需約2奈安培之總電流,且平均來說每單元 係在約1微秒内程式化。在程式化及抹除期間,因並末跨越 多晶矽二極體(即,源極/通道/汲極接面)放上大電壓,所以寄 生洩漏電流是小的。在讀出期間,因源極至汲極電壓是小的 ,所以寄生洩漏電流也是小的。可使用10-20伏特之程式化電 壓,來程式化該等單元。在以上的圖83A及B途徑中,獲致一 小單元尺寸。然而,僅正閾值電壓(對於圖83A及B所示的 NM〇S J[FT EEPROMs)是可企及的」不然就會引起大量的位元 線至位元線寄生洩漏。在該快閃記憶體之一第二較佳方面 (如圖84所示),對每一單元加上一存取電晶體(即,一 TFT EEPR0M),以便在每一單元中得有正的也有負的閾值電壓。 -105- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (102) and / or the drain 5243 tunnel to the floating gate 5247, and an inversion channel is formed in the silicon channel 5237. To program such a unit to obtain a threshold voltage shift of about 5 volts in about one millisecond, the required current is several picoamps. To erase the cell, the same bit line 5233 is grounded and a negative voltage pulse is applied to the selected word line 5239. All other word lines are either grounded or floating. All other bit lines are floating or placed on a slightly negative voltage. The plurality of word lines are pulsed to a high-negative value and all bit lines are grounded, thereby simultaneously erasing the plurality (or all) EEPR0M cells in the array. Alternatively, the selected word line is grounded, and the bit line of the selected cell is positively pulsed. All other word lines are floating or subject to a slight positive pulse, while all other bit lines are grounded. Programming and erasing with FN tunneling alone can use low currents and promote massive parallelism in programming and erasing. Many units 5232 can then be programmed in parallel. For example, to get a 5 volt shift, a thousand cells would require a total current of about 2 nanoamps, and on average each cell is programmed in about 1 microsecond. During programming and erasing, parasitic leakage current is small because large voltages are not applied across the polysilicon diode (ie, source / channel / drain junction). During the readout period, the parasitic leakage current is small because the source-to-drain voltage is small. These units can be programmed using a 10-20 volt programming voltage. In the above Figs. 83A and B, a small cell size is obtained. However, only the positive threshold voltage (which is reachable for NM CMOS J [FT EEPROMs] shown in Figures 83A and B "would cause a large number of bit line-to-bit line parasitic leaks. In a second preferred aspect of the flash memory (as shown in FIG. 84), an access transistor (ie, a TFT EEPR0M) is added to each cell so that a positive There is also a negative threshold voltage. -105- This paper size applies to China National Standard (CNS) A4 (210X297 mm) binding

線 540086 A7 _R7_ 五、發明説明(103 ) 圖84闡示每一單元中之一内建式存取電晶體5261,而其閾 值電壓能設為一稍正之值。使用存取電晶體5261,則實際的 單元電晶體(即,丁FT EEPROM 5232)能有負閾值電.壓,而不致 引起位元線洩漏,且迴避了特殊的「抹除及查核」演算法, 該演算法係為預防過度抹除之用。而且,該存取電晶體也能 減小基於缺陷的TFT能帶至能帶穿隧洩漏,該洩漏可發生於 負閘極電壓且在程式化的單元中會有問題(即,浮動閘極會 遭電子充滿);見S-Η赫爾[S-H Hur]等人,〈具摺疊的浮動閘極 之多晶矽薄膜電晶體電可抹除式可程式化唯讀記憶體單 元〉(A Poly-Si Thin-Film Transistor EEPROM Cell with Folded Floating Gate),《IEEE Trans. Elect· Dev·》第 46卷(1999年 2月),第 436-438頁,其以引用的方式併入本文中。 如圖84所示,半導體島5237包含存取電晶體5261及EEPROM 5232各自而相鄰的通道區域5263、5265,在共用的源極5243A 與汲極5243B區域之間《字線5239形成EEPROMs的控制閘極, 及存取電晶體的閘極電極。一絕緣層5251形成共用的 EEPROM控制閘極介電質及存取電晶體閘極絕緣層。浮動閘 極5247及一穿隧介電質5249位於字線5239與EEPROM 5232的通 道區域5265之間。 要程式化一單元5232/5261的浮動閘極5247,係將其源極位 元線523立A接地,使汲極位元線5233B浮動,並對所選取的單 元的字線施一高的正電壓。於是使電子穿隧至浮動閘極。同 一裝JL級上所有其他的位元線任其浮動或放在一稍正的電 壓,而同一裝置級上所有其他的字線接地。要讀出,係將對 _____-106-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂Line 540086 A7 _R7_ V. Description of the Invention (103) Figure 84 illustrates one of the built-in access transistors 5261 in each cell, and the threshold voltage can be set to a slightly positive value. Using the access transistor 5261, the actual unit transistor (ie, the FT EEPROM 5232) can have a negative threshold voltage, without causing bit line leakage, and avoiding a special "erase and check" algorithm This algorithm is used to prevent excessive erasure. Furthermore, the access transistor can also reduce the band-to-band tunneling leakage of defect-based TFTs, which can occur at negative gate voltages and can be problematic in stylized cells (ie, floating gates can Full of electrons); see S-Hur [SH Hur] et al., "Polycrystalline Silicon Thin Film Transistor with Foldable Floating Gate, Erasable Programmable Read-Only Memory Cell" (A Poly-Si Thin -Film Transistor EEPROM Cell with Folded Floating Gate), IEEE Trans. Elect · Dev · Volume 46 (February 1999), pages 436-438, which are incorporated herein by reference. As shown in FIG. 84, the semiconductor island 5237 includes the channel regions 5263 and 5265 adjacent to the access transistor 5261 and the EEPROM 5232. The word line 5239 controls the formation of EEPROMs between the common source 5243A and the drain 5243B. The gate, and the gate electrode of the access transistor. An insulating layer 5251 forms a common EEPROM control gate dielectric and access transistor gate insulating layer. A floating gate 5247 and a tunneling dielectric 5249 are located between the word line 5239 and the channel area 5265 of the EEPROM 5232. To program the floating gate 5247 of a cell 5232/5261, ground its source bit line 523 A, make the drain bit line 5233B float, and apply a high positive to the word line of the selected cell Voltage. The electrons are then tunneled to the floating gate. All other bit lines on the same package JL level can be left floating or placed at a slightly positive voltage, while all other word lines on the same device level are grounded. To read it out, the paper size of _____- 106-_ shall be bound to the Chinese National Standard (CNS) A4 (210X 297 mm).

線 540086 A7 R7 五、發明説明(104 ) 所選取的單元的字線脈衝至一高於該存取電晶體的閾值電 廢之讀出電壓,而該單元的源極位元線接地,且汲極位元線 設在一低的正電壓,諸如1至3伏特。同一裝置級上所有其他 的位元線任其浮動或接地,而同一裝置級上所有其他的字 線接地。要抹除該單元,則係將其字線脈衝至一高的負電壓 ,而其源極位元線接地。要抹除陣列全體,係將所有的字線 脈衝至一高的負電壓·,而所有的源極位元線接地。 在該快閃記憶體陣列之另一較佳方面,係提供一閘極至 汲極偏移區域5267,以減小TFT能帶至能帶之缺陷相關的汲 極洩漏,如圖85所示。如此,在圖85之範例中,字線5239及電 荷儲存區域5241乃偏移而與汲極區域5243B分開。一厚絕緣層 5269在偏移區域5267之中,位於半導體島5237與字線5239之間 。浮動閘極5247、穿隧介電質5249與控制閘極介電質5251有對 準的橫側5253A及B。僅橫側5253A對準半導體島5237的橫側 5255A。半導體島5237的寬度大於浮動閘極5247、穿隧介電質 5249及控制閘極介電質5251的寬度。 必要時,在圖84及85之具體實施例中,可使用ΟΝΟ或隔絕 的奈米晶體電荷儲存區域,來代替浮動閘極電荷儲存區域。 而且,必要時圖84及85之裝置可以底部閘極組態來(即,位元 線在字線之上)形成。 在圖Α3Α及Β之快閃記憶體陣列中,每位元之單元尺寸皆 約8F2/N至約10F2/N,此處F為最小特徵尺寸,而Ν為陣列中的 裝置級數目。在圖84及85之快閃記憶體陣列中,每位元之單 元尺寸皆約9F2/N至約11F2/N。如此,可獲致每位元之單元尺 _'_-107-_ uΘ办;*田由因圏玄橾準(CNS) A4規格(210X297公嫠)Line 540086 A7 R7 V. Description of the invention (104) The word line pulse of the selected cell reaches a read voltage higher than the threshold value of the electrical waste of the access transistor, and the source bit line of the cell is grounded and drained. The extreme bit lines are set at a low positive voltage, such as 1 to 3 volts. All other bit lines on the same device level can be left floating or grounded, while all other word lines on the same device level are grounded. To erase the cell, the word line is pulsed to a high negative voltage and the source bit line is grounded. To erase the entire array, all word lines are pulsed to a high negative voltage, and all source bit lines are grounded. In another preferred aspect of the flash memory array, a gate-to-drain offset region 5267 is provided to reduce the drain leakage of the TFT from band-to-band defects, as shown in FIG. Thus, in the example of FIG. 85, the word line 5239 and the charge storage region 5241 are offset from the drain region 5243B. A thick insulating layer 5269 is located between the semiconductor island 5237 and the word line 5239 in the offset region 5267. The floating gate 5247, the tunneling dielectric 5249 and the control gate dielectric 5251 have aligned lateral sides 5253A and B. Only the lateral side 5253A is aligned with the lateral side 5255A of the semiconductor island 5237. The width of the semiconductor island 5237 is larger than the width of the floating gate 5247, the tunneling dielectric 5249, and the control gate dielectric 5251. If necessary, in the specific embodiment of Figs. 84 and 85, a non-nano crystal charge storage region may be used instead of the floating gate charge storage region. Moreover, the devices of Figs. 84 and 85 may be formed with a bottom gate configuration (i.e., bit lines above word lines) when necessary. In the flash memory arrays of Figures A3A and B, the cell size of each bit is about 8F2 / N to about 10F2 / N, where F is the minimum feature size and N is the number of device levels in the array. In the flash memory arrays of FIGS. 84 and 85, the cell size of each bit is about 9F2 / N to about 11F2 / N. In this way, you can get the unit size of each bit _'_- 107-_ uΘ to do; * Tian You Yin Yin Xuan Zun Zhuan (CNS) A4 specifications (210X297 Gong)

Order

線 540086 A7 R7 五、發明説明(105 ) 寸約8F2/N至約11F2/N。此單元尺寸較諸市售的快閃記憶體陣 列來得有利;市售的單元尺寸範圍在7.7F2至13.9F2。如果市售 裝置的有效單元尺寸計入存取電晶體及接點,則因冗餘而 有單元尺寸範圍在9.8F2至19.2F2。無論如何,本具體實施例之 快閃記憶體陣列若形成為三維陣列(即,N> 1),則其每位元 之單元尺寸顯小於先前技藝的。舉例來說,N= 2時,單元尺 寸約4F2至5.5F2。N> 2時,單元尺寸甚至更小。 製造圖83-85之快閃記憶體陣列的方法,係闡示於圖86。圖 86A-D闡示一製造該快閃記憶體陣列之方法,其中每一裝置 級中的字線係配置於位元線之上。用一第一抗光蝕遮罩蝕 刻一第一導電層,藉而在基板(未示出)之上一第一高度處形 成複數個間隔分開的位元線導體5233。位元線導體5233A及B 在一第一方向延伸,如圖86A所示。較佳的是,該等位元線 含多晶s夕及金屬或金屬碎化物層。一第一絕緣層5245沈積於 位元線導體5233A、B之上及其間。絕緣層5245經CMP而平面 化,一直到位元線導體5233A、B的頂表面曝露為止。 在曝露的位元線導體5233A、B及平面化的絕緣層5245上, 沈積一堆疊,其含一第一半導體層5237及一電荷儲存膜,如 圖86B所示。層5237可為.非晶系矽或多晶矽層。在圖86B中, 該電荷儲存膜包含一穿隧介電質層5249及一浮動閘極層多晶 矽層5241。或者,該電荷儲存膜可_為ΟΝΟ堆疊或介電性隔絕 的奈米晶體。 在該堆疊上形成一第二抗光蝕層(未示出),並將之微影圖 型化成一遮罩。用此抗光蝕層為遮罩,層5237、5249及5247堆 _-108-_ 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐)Line 540086 A7 R7 V. Description of the invention (105) inch is about 8F2 / N to about 11F2 / N. This unit size is more advantageous than commercially available flash memory arrays; commercially available unit sizes range from 7.7F2 to 13.9F2. If the effective cell size of a commercially available device is included in the access transistor and contacts, there are cell sizes ranging from 9.8F2 to 19.2F2 due to redundancy. In any case, if the flash memory array of this embodiment is formed as a three-dimensional array (i.e., N > 1), the cell size per bit is significantly smaller than that of the prior art. For example, when N = 2, the unit size is about 4F2 to 5.5F2. With N > 2, the cell size is even smaller. The method of manufacturing the flash memory array of FIGS. 83-85 is illustrated in FIG. 86. 86A-D illustrate a method of manufacturing the flash memory array, in which word lines in each device level are arranged on bit lines. A first conductive layer is etched with a first photoresist mask to form a plurality of spaced apart bit line conductors 5233 at a first height above a substrate (not shown). The bit line conductors 5233A and B extend in a first direction, as shown in FIG. 86A. Preferably, the bit lines contain polycrystalline silicon and a metal or metal debris layer. A first insulating layer 5245 is deposited on and between the bit line conductors 5233A, B. The insulating layer 5245 is planarized by CMP until the top surfaces of the bit line conductors 5233A and B are exposed. On the exposed bit line conductors 5233A, B and the planarized insulating layer 5245, a stack is deposited, which contains a first semiconductor layer 5237 and a charge storage film, as shown in FIG. 86B. The layer 5237 may be an amorphous silicon or polycrystalline silicon layer. In FIG. 86B, the charge storage film includes a tunneling dielectric layer 5249 and a floating gate layer polycrystalline silicon layer 5241. Alternatively, the charge storage film may be a nanocrystalline stacked or dielectrically isolated. A second photoresist layer (not shown) is formed on the stack, and the lithographic pattern is patterned into a mask. Use this photoresist layer as a mask, layers 5237, 5249 and 5247 stacks _-108-_ This paper size applies to China National Standard (CNS) Α4 specification (210X297 mm)

Order

線 540086 A7 B7 五、發明説明(H)6 ) 疊經蝕刻而形成複數個第一導軌堆疊5271(為清楚起見,在圖 86C中僅示出一個如此的導軌堆疊)。第一導軌堆疊5271在一 平行於基板之平上面,而在同於或大致同於位元線導體5233 之方向延伸。第一導軌堆疊5271皆含一半導體導軌5237及一 電荷儲存區域導軌5247/5249。第一導軌堆疊5271有至少一個 對準的橫緣5253/5255。在圖86C中,既皆使用同一抗光蝕遮罩 而圖型化,第一導軌堆疊5271乃有二個如此的對準的橫緣; 該遮罩於蝕刻步騾後則遭移除。 如果要形成浮動閘極型EEPROMs,則將控制閘極絕緣層 5251沈積於第一導軌堆疊5271上面,及其間的間隔5273中,如 圖86D所示。如此,層5251乃延伸而超乎第一導軌堆疊5271的 橫緣。如果要形成0N0堆疊或介電性隔絕的奈米晶體型 EEPROMs,貝U系沈積半導體層5237且於沈積後將之圖型化成 第一導軌堆疊5271。然後,在圖型化的第一導軌堆疊5271上 面,沈積含0N0堆疊或介電性隔絕的奈米晶體之層,接而則 沈積一導電層5239做字線用。 在控制閘極絕緣層5251上面沈積一第二導電層5239。較佳 的是,層5239包含多晶碎及金屬碎化物次層(sublayers)。在第 二導電層5239上面形成一第三抗光蝕遮罩(未示出)。第二導 電層5239、控制閘極介電質5251及第一導軌堆疊5271再經蝕刻 ,而形良複數個第二導軌堆疊5235,如圖86D所示。該等第二 導軌堆疊包含該形成字線5239之圖型化的第二導電層、電荷 儲存區域島5247/5249/5251及半導體島5237。 源極5243A及汲極5243B區域之形成,係藉一第二導電率型 _____________ - 109 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 B7 V. Description of the invention (H) 6) The stack is etched to form a plurality of first rail stacks 5271 (for clarity, only one such rail stack is shown in FIG. 86C). The first rail stack 5271 is on a plane parallel to the substrate and extends in the same direction as or substantially the same as the bit line conductor 5233. The first rail stack 5271 includes a semiconductor rail 5237 and a charge storage area rail 5247/5249. The first rail stack 5271 has at least one aligned lateral edge 5253/5255. In FIG. 86C, the same photoresist mask is used for patterning. The first rail stack 5271 has two such aligned lateral edges; the mask is removed after the etching step. If floating gate-type EEPROMs are to be formed, the control gate insulating layer 5251 is deposited on the first rail stack 5271 and the interval 5273 therebetween, as shown in FIG. 86D. Thus, the layer 5251 extends beyond the transverse edge of the first rail stack 5271. If nano-crystalline EEPROMs of 0N0 stack or dielectric isolation are to be formed, the U-series deposits a semiconductor layer 5237 and patterns it into a first rail stack 5271 after deposition. Then, on the patterned first rail stack 5271, a layer containing 0N0 stacks or dielectrically isolated nanocrystals is deposited, and then a conductive layer 5239 is deposited for word lines. A second conductive layer 5239 is deposited on the control gate insulating layer 5251. Preferably, layer 5239 includes polycrystalline debris and metal debris sublayers. A third photoresist mask (not shown) is formed on the second conductive layer 5239. The second conductive layer 5239, the control gate dielectric 5251, and the first rail stack 5271 are etched again, and a plurality of second rail stacks 5235 are formed, as shown in FIG. 86D. The second rail stacks include the patterned second conductive layer forming the word line 5239, a charge storage area island 5247/5249/5251, and a semiconductor island 5237. The formation of the source 5243A and the drain 5243B areas is based on a second conductivity type _____________-109-This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 ____R7_ 五、發明説明(107 ) (即,N+或P+)摻雜劑從第一複數個間隔分開的導體,外擴散 入一第一導電率型(即,P-或N-)之半導體島5237。該等源極和 沒極區域,可形成於半導體層5237在位元線導體5233A、5233B 上沈積之後,製造序列中的任何時間。舉例來說,可於第二 導軌堆疊5235形成之後,將裝置退火而使摻雜劑外擴散入源 極和沒極區域’並使非晶系碎層5237再結晶成多晶石夕層(或使 層5237的晶粒尺寸增大)。該外擴散退火及該再結晶退火可發 生於同一或分離的加熱步驟期間。舉例來說,再結晶退火可 於層5237沈積後,立即發生。 第二導軌堆疊5235的側表面之對準,係在一直交於基板且 平行於丁?丁££?11〇1^ 5232源極5243八至汲極52438延伸方向之平 上面,如圖83B所示。控制閘極介電質5251沈積於字線5239與 第一絕緣層5245之間。控制閘極介電質5251既為第二導軌堆 疊5235的一部份,則控制閘極介電質係在一直交於基板且平 行於源極至汲極方向之平上面,對準半導體島5237、穿隧介 電質5249、浮動閘極5247及控制閘極5239,如圖83B所示。在 第二導軌堆叠5235蚀刻期間,第一導軌堆疊5271轉變成島。 然後在弟一導軌堆受5235上面沈積一第二絕緣層5257 ’並 以CMP平面化至同於第.二導軌堆疊之高度水準,如圖83β所 示。再沈積一層間絕緣層5259於第二絕緣層5257及第二導執 堆疊52巧上面。必要時,可在第―導軌堆疊5235之上及其間 沈積單一個絕緣層,來形成第二絕緣層5257及層間絕緣層 5259 ;該單一層再經CMP而平面化。 必要時’可在層5259之上單石性地形成複數個附加的裝置 ----------110- ____ 本紙張尺度適用中國國家榡準(CNS) Α4規格(21〇 X 297公釐) 裝 訂Line 540086 A7 ____R7_ 5. Description of the invention (107) (ie, N + or P +) The dopant diffuses from the first plurality of spaced apart conductors into a first conductivity type (ie, P- or N-). Semiconductor island 5237. The source and non-electrode regions can be formed at any time in the manufacturing sequence after the semiconductor layer 5237 is deposited on the bit line conductors 5233A, 5233B. For example, after the second rail stack 5235 is formed, the device may be annealed to diffuse the dopant into the source and non-electrode regions' and recrystallize the amorphous fragmentation layer 5237 into a polycrystalline layer (or Increase the grain size of layer 5237). The external diffusion annealing and the recrystallization annealing may occur during the same or separate heating steps. For example, recrystallization annealing can occur immediately after layer 5237 is deposited. The alignment of the side surface of the second rail stack 5235 is on the flat surface that has been intersected with the substrate and is parallel to the length of the extending direction of the source electrode 5243 eight to the drain 52438, as shown in Figure 83B Show. A control gate dielectric 5251 is deposited between the word line 5239 and the first insulating layer 5245. The control gate dielectric 5251 is part of the second rail stack 5235, and the control gate dielectric is aligned on the flat surface that is always across the substrate and parallel to the source to drain direction, and is aligned with the semiconductor island 5237. , Tunneling dielectric 5249, floating gate 5247, and control gate 5239, as shown in Figure 83B. During the second rail stack 5235 etch, the first rail stack 5271 turns into an island. A second insulating layer 5257 'is then deposited on the first rail stack 5235 and planarized by CMP to the same level as the second rail stack, as shown in Figure 83β. An interlayer insulation layer 5259 is further deposited on the second insulation layer 5257 and the second conductor stack 52a. When necessary, a single insulating layer may be deposited on and between the first-rail stack 5235 to form a second insulating layer 5257 and an interlayer insulating layer 5259; the single layer is then planarized by CMP. If necessary, 'a plurality of additional devices can be formed monolithically on layer 5259 ---------- 110- ____ This paper size applies to China National Standard (CNS) Α4 specification (21〇X 297 Mm) Staple

線 540086 A7 R7 五、發明説明(108 ) 級,以形成具有至少三個裝置級之三維單石陣列,如圖83A 所示。每一裝置級之裝置級宜由一層間絕緣層來分離。 在一替代的製造該快閃記憶體陣列之方法中,每一裝置 級中的字線可形成於位元線導體之下(即,形成底部閘極TFT EEPROMs,而非頂部閘極TFT EEPROMs)。在該替代方法中, 係首先形成第二導執堆疊,其包含閘極線5239、電荷儲存區 域5251/5247/5249及半導體島5237,如圖86E所示。然後,第一 絕緣層5245形成於第二導軌堆疊5235的半導體島上。必要時 第一絕緣層5245也可形成於第二導軌堆疊之間。或者,於第 一絕緣層5245形成之前,先在第二導軌堆疊之間形成另一絕 緣層,並以CMP平面化之。 然後在第一絕緣層5245中形成渠溝。源極和汲極區域5243 係藉摻雜劑離子佈植(或擴散)穿過該等渠溝,而形成於半導 體島5237之中。該等渠溝蝕刻期間所使用的抗光蝕層(未示出) 可於該離子佈植前或後移除。在渠溝之中及第一絕緣層上 面,形成一第二導電層(可含多晶矽及矽化物次層),如圖86F 所示。第二導電層再經CMP而平面化,形成了蓋上半導體島 5237之位元線導體5233。或者,可不藉離子佈植,而從位元線 導體5233做外擴散,來形成源極和汲極區域5243。 用類似的方法,可形成:含存取電晶體(如圖84所示),或 含汲極卞移區域(如圖85所示)之TFT EEPROMs快閃記憶體陣 列。在這些方法中,係在第一半導體層5237上面,沈積含穿 隧介電質5249及浮動閘極層5247之堆疊,如圖86B所示。該堆 疊再經圖型化,而形成第一導軌堆疊5271,而其係包含:半 _-111 -_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 R7 五、發明説明(109 ) 導體導軌5237,其有一第一寬度;以及,電荷儲存區域導軌 5247/5249,其有一小於第一寬度之第二寬度,係為了第一導 軌堆疊有一個對準的橫緣且半導體導軌5237的汲極部份曝露 〇 如此的結構可藉兩種不同的蝕刻方法來獲致。第一種蝕 刻方法包含:形成一第一抗光姓遮罩5275,其在該堆疊上面 有一第一寬度,如圖86G所示。第一半導體層5237、穿隧介電 質層5249及浮動閘極層5247再用第一抗光蝕遮罩5275而蝕刻, 如圖86G所示。然後在浮動閘極層5247上面,形成一第二抗光 蝕遮罩5277,其有一小於第一寬度之第二寬度。然後,穿隧 介電質層5249及浮動閘極層5247(第一半導體層5237除外)用第 二抗光蝕遮罩而蝕刻,如圖86H所示。 第二種姓刻方法包含:形成一第一抗光姓遮罩5279,其在 該堆疊上面有一第一寬度;以及,用第一抗光蝕遮罩5279來 蝕刻穿隧介電質層5249及浮動閘極層5247,而曝露第一半導 體層5237的一部份,如圖861所示。然後在浮動閘極層5247上 面及第一半導體層5237的曝露部份上面,形成一第二抗光蝕 遮罩5281,其有一大於第一寬度之第二寬度(在層5281與層 5247/5249之間可能有些失準)。然後,第一半導體層5237用第 二抗光蝕遮罩5281而蝕刻,如圖86J所示。 要形成圖84含存取電晶體5261之TFT EEPROMs,係在圖型 化的浮動閘極5247上面,及第一導軌堆疊5271的半導體導軌 5237的曝露部份上面,形成一控制閘極介電質層5251。控制. 閘極介電質層5251係在半導體導軌5237的曝露部份上面作用 -112 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)Line 540086 A7 R7 5. Invention Description (108) level to form a three-dimensional monolithic array with at least three device levels, as shown in Figure 83A. The device level of each device level should be separated by an interlayer insulation layer. In an alternative method of manufacturing the flash memory array, the word lines in each device level may be formed under the bit line conductors (ie, the bottom gate TFT EEPROMs are formed instead of the top gate TFT EEPROMs) . In this alternative method, a second conductor stack is first formed, which includes a gate line 5239, a charge storage area 5251/5247/5249, and a semiconductor island 5237, as shown in FIG. 86E. Then, a first insulating layer 5245 is formed on the semiconductor island of the second rail stack 5235. If necessary, a first insulating layer 5245 may also be formed between the second rail stacks. Alternatively, before the first insulating layer 5245 is formed, another insulating layer is formed between the second rail stacks and planarized by CMP. A trench is then formed in the first insulating layer 5245. The source and drain regions 5243 are formed in semiconductor islands 5237 by implanting (or diffusing) dopant ions through the trenches. The photoresist layer (not shown) used during the trench etching can be removed before or after the ion implantation. A second conductive layer (which may contain polycrystalline silicon and silicide sublayers) is formed in the trench and above the first insulating layer, as shown in Figure 86F. The second conductive layer is planarized by CMP to form a bit line conductor 5233 covering the semiconductor island 5237. Alternatively, the source and drain regions 5243 may be formed by external diffusion from the bit line conductor 5233 without ion implantation. In a similar manner, a TFT EEPROMs flash memory array with an access transistor (as shown in Figure 84) or a drain-shift region (as shown in Figure 85) can be formed. In these methods, a stack including a tunneling dielectric 5249 and a floating gate layer 5247 is deposited on the first semiconductor layer 5237, as shown in FIG. 86B. The stack is then patterned to form the first rail stack 5271, which includes: half _-111 -_ This paper size applies to China National Standard (CNS) A4 specifications (210 X 297 mm) 540086 A7 R7 5 Explanation of the invention (109) The conductor rail 5237 has a first width; and the charge storage area rail 5247/5249 has a second width smaller than the first width, for the purpose of stacking the first rail with an aligned lateral edge. And the drain portion of the semiconductor rail 5237 is exposed. Such a structure can be obtained by two different etching methods. The first etching method includes: forming a first light-resistant surname mask 5275 having a first width on the stack, as shown in FIG. 86G. The first semiconductor layer 5237, the tunneling dielectric layer 5249, and the floating gate layer 5247 are etched with a first photoresist mask 5275, as shown in FIG. 86G. A second photoresist mask 5277 is formed on the floating gate layer 5247, and has a second width smaller than the first width. Then, the tunneling dielectric layer 5249 and the floating gate layer 5247 (except the first semiconductor layer 5237) are etched with a second photoresist mask, as shown in FIG. 86H. The second surname engraving method includes: forming a first photoresistive surname mask 5279 having a first width on the stack; and using the first photoresistive mask 5279 to etch the tunnel dielectric layer 5249 and float The gate layer 5247 exposes a part of the first semiconductor layer 5237, as shown in FIG. 861. Then, a second photoresist mask 5281 is formed on the floating gate layer 5247 and the exposed portion of the first semiconductor layer 5237. The second photoresist mask 5281 has a second width greater than the first width (in layers 5281 and 5247/5249 May be misaligned). Then, the first semiconductor layer 5237 is etched with a second photoresist mask 5281, as shown in FIG. 86J. To form the TFT EEPROMs containing the access transistor 5261 in FIG. 84, the patterned floating gate 5247 and the exposed portion of the semiconductor rail 5237 of the first rail stack 5271 are formed to form a control gate dielectric. Layer 5251. Control. The gate dielectric layer 5251 acts on the exposed part of the semiconductor guide 5237. -112-This paper size is applicable to China National Standard (CNS) A4 (210X297 mm).

裝 訂Binding

線 540086 A7 R7 五、發明説明(110 ) 為存取電晶體5261的閘極介電質。 要形成圖85含汲極偏移區域5267之TFT EEPROMs,係將控 制閘極介電質層5251同時與浮動閘極5247及穿隧介電質層 5249圖型化,以曝露半導導軌5237的汲極部份及一部份的通 道矽。然後在半導體導軌5237之間,同樣也在控制閘極介電 質5251及半導體導軌5237的曝露部份上面,形成一第二絕緣 層5269,以隔絕該等半導體導軌彼此。層5269係相對地厚,厚 度同於或大於電荷儲存區域5241的厚度。層5269再經CMP而 平面化,以曝露該等電荷儲存區域的頂部。然後,字線5239 形成於第二絕緣層5269上面,而形成偏移區域5267。 該較佳具體實施例之非揮性可多程式化快閃記憶體陣列 提供一交點(即,導軌堆疊)陣列中的可多次程式化單元。其 係以FN穿隧運作,來做程式化及抹除。於是得以對許多單元 平行地寫入;且提供高密度,低功率之檔案儲存。此外,每 層的單元尺寸相較市售快閃記憶體的單元尺寸,極為有利。 V.用於邏輯及記憶電路之CMOS陣列 在以前的具體實施例中·,說明了 NMOS或PMOS裝置陣列。 然而,在本發明之另一較佳具體實施例中,則係提供一 CMOS(互#金屬氧化物半導體)電晶體陣列。較佳的是,相鄰 的NM〇S及PMOS電晶體有一共用的閘極。然而,必要時相鄰 的NMOS及PMOS電晶體可以有分離的閘極。該CMOS裝置陣列 可包括垂直導柱式CMOS裝置陣列、自對準CMOS TFTs陣列或 導軌堆疊式TFTs陣列,如任何以前的具體實施例所說明者。 該等CMOS裝置宜在基板之上形成為三維單石陣列。然而, -113- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 R7 五、發明説明(m ) 必要時該等CMOS裝置也可在半導體基板之内或之上以二維 陣列形成。 該CMOS陣列的NMOS及PMOS電晶體可以交替方式(即,交 替NMOS與PMOS電晶體),在同一裝置級中彼此鄰近而形成。 然而,在本發明之一較佳具體實施例中,係以一電荷載子型 電晶體(即,NMOS或PMOS)形成於另一電荷載子型電晶體(即 ,PMOS或NMOS)之上,其間有一共用閘極線(在記憶裝置中 也稱為字線)。因此,該陣列宜包含複數個垂直堆疊的,共用 閘極CMOS電晶體。 圖87闡示依據本發明一較佳具體實施例,在一導軌堆疊組 態中之垂直堆疊的共用閘極CMOS陣列的一個裝置級。應注 意,該陣列i可安排於前述自對準TFT或導柱組態。圖87之 CMOS陣列類似於圖73所闡示的陣列,除了在閘極線兩側之 任一側上係形成不同的電荷載子型電晶體之外。在圖87中, NMOS電晶體係安排在PMOS電晶體之下。然而應了解,必要 時PMOS電晶體可安排在NMOS電晶體之下。 在圖87中,CMOS裝置陣列5300宜形成於一平面化的層間絕 緣層5301上面,諸如經CMP而平面化的氧化矽層。層5301如同 以前的*體實施例,係艰成於一基板(未示出)上面。因係形 成於一絕緣層上面,每一 CMOS裝置乃為一 CMOS TFT^然而 ,必要時該等CMOS裝置可在單晶狀矽基板中形成。 該陣列包含複數個閘極線(即,孛線)5303(在圖87之截面圖 中,僅示出一個閘極線)^較佳的是,該閘極線包含一第一 N+多晶矽層5305、一在第一多晶矽層上面的矽化物層5307(諸 -114- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 540086 A7 B7 五、發明説明(112 ) 如TiSix4 WSix),及一在該矽化物層之上的第二P+多晶矽層 5309。在每一 TFT中,閘極線5303係做為一閘極電極。如此, 則毋需有分離的閘極電極連接閘極線。 一第一絕緣層5311配置在閘極電極5303之一第一側面鄰近 。此絕緣層5311可為習知的閘極介電質。較佳的是,絕緣層 5311為一電荷儲存層(即,電荷陷獲媒體),諸如ΟΝΟ堆疊或隔 絕的奈米晶體,以形成電荷儲存CMOS TFTs,諸如EEPR0M CMOS TFTs。如果所需為浮動閘極型EEPROM CMOS TFTs,則 可在絕緣層5311與閘極線5303之間,加上一浮動閘極及一控 制閘極介電質。 一 P型半導體層5313(諸如P-多晶矽層)配置在第一絕緣層上 之對立於閘極5303的另一側上面。此層包含NMOS TFT主體。 N+源極和汲極區域5315係配置在層5313中。層5313介於區域 5315之間的部份,包含NMOS TF丁通道區域。 較佳的是,源極和汲極區域5315藉N+型摻雜劑,從源極和 汲極電極(即,位元線)5317外擴散而形成。然而,區域5315也 可藉任何其他的方法來形成,諸如遮罩及離子佈植。電極 5317接觸源極和汲極區域5315,且配置在p-型半導體層5313的 底部上(郎,在層5313上之對立於第一絕緣層5311的另一側上 面)。較佳的是,電極5317包含一 N+多晶矽導軌,而該N+多 晶矽導軌係在直交於閘極線5303之方向延伸。必要時,視情 況可形成:一金屬或金屬矽化物層7接觸電極5317以提高其導 電率·。然而,必要時電極5317可含金屬或金屬矽化物,代替 濃厚摻雜的多晶矽。一平面的絕緣填料層5318(諸如氧化矽) -115- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 R7 V. Invention description (110) is the gate dielectric of the access transistor 5261. To form the TFT EEPROMs with the drain offset region 5267 in FIG. 85, the control gate dielectric layer 5251 is patterned with the floating gate 5247 and the tunneling dielectric layer 5249 at the same time to expose the semiconductive guide 5237. Drain part and part of channel silicon. A second insulating layer 5269 is formed between the semiconductor rails 5237 and the exposed portions of the control gate dielectric 5251 and the semiconductor rails 5237 to isolate the semiconductor rails from each other. The layer 5269 is relatively thick, the thickness being equal to or greater than the thickness of the charge storage region 5241. The layer 5269 is then planarized by CMP to expose the tops of the charge storage regions. Then, a word line 5239 is formed on the second insulating layer 5269 to form an offset region 5267. The non-volatile multi-programmable flash memory array of the preferred embodiment provides a multi-programmable unit in an intersection (ie, rail stack) array. It uses FN tunneling to program and erase. This enables parallel writing to many cells; and provides high-density, low-power file storage. In addition, the cell size of each layer is extremely advantageous compared to the cell size of commercially available flash memory. V. CMOS Arrays for Logic and Memory Circuits In previous embodiments, NMOS or PMOS device arrays were described. However, in another preferred embodiment of the present invention, a CMOS (inter-metal oxide semiconductor) transistor array is provided. Preferably, adjacent NMOS and PMOS transistors have a common gate. However, if necessary, adjacent NMOS and PMOS transistors can have separate gates. The CMOS device array may include a vertical pillar type CMOS device array, a self-aligned CMOS TFTs array, or a rail-stacked TFTs array, as described in any of the previous specific embodiments. These CMOS devices are preferably formed as a three-dimensional monolithic array on a substrate. However, -113- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 540086 A7 R7 5. Description of the invention (m) These CMOS devices can also be inside or above the semiconductor substrate if necessary Formed in a two-dimensional array. The NMOS and PMOS transistors of the CMOS array can be formed in an alternating manner (that is, alternate NMOS and PMOS transistors), adjacent to each other in the same device level. However, in a preferred embodiment of the present invention, a charge carrier transistor (ie, NMOS or PMOS) is formed on another charge carrier transistor (ie, PMOS or NMOS). There is a common gate line (also called a word line in a memory device). Therefore, the array should include a plurality of vertically stacked, common-gate CMOS transistors. FIG. 87 illustrates one device level of a vertically stacked shared gate CMOS array in a rail stack configuration according to a preferred embodiment of the present invention. It should be noted that the array i can be arranged in the aforementioned self-aligned TFT or guide pillar configuration. The CMOS array of FIG. 87 is similar to the array illustrated in FIG. 73, except that different charge carrier type transistors are formed on either side of both sides of the gate line. In FIG. 87, the NMOS transistor system is arranged below the PMOS transistor. It should be understood, however, that PMOS transistors can be arranged under NMOS transistors if necessary. In FIG. 87, the CMOS device array 5300 is preferably formed on a planarized interlayer insulating layer 5301, such as a silicon oxide layer planarized by CMP. The layer 5301, like the previous embodiment, is formed on a substrate (not shown). Because it is formed on an insulating layer, each CMOS device is a CMOS TFT ^ However, these CMOS devices can be formed in a single crystal silicon substrate if necessary. The array includes a plurality of gate lines (ie, ytterbium lines) 5303 (only one gate line is shown in the cross-sectional view of FIG. 87). Preferably, the gate line includes a first N + polysilicon layer 5305 1. A silicide layer on the first polycrystalline silicon layer 5307 (Zhu-114- This paper size applies to China National Standard (CNS) A4 specifications (210X297 mm) 540086 A7 B7) 5. Description of the invention (112) such as TiSix4 WSix ), And a second P + polycrystalline silicon layer 5309 over the silicide layer. In each TFT, the gate line 5303 is used as a gate electrode. In this way, there is no need to have a separate gate electrode connected to the gate line. A first insulating layer 5311 is disposed adjacent to a first side of the gate electrode 5303. The insulating layer 5311 may be a conventional gate dielectric. Preferably, the insulating layer 5311 is a charge storage layer (ie, a charge trapping medium), such as an ONO stacked or isolated nanocrystal, to form charge storage CMOS TFTs, such as EEPROM CMOS TFTs. If floating gate type EEPROM CMOS TFTs are required, a floating gate and a control gate dielectric can be added between the insulating layer 5311 and the gate line 5303. A P-type semiconductor layer 5313 (such as a P-polycrystalline silicon layer) is disposed on the first insulating layer opposite to the other side of the gate electrode 5303. This layer contains the NMOS TFT body. The N + source and drain regions 5315 are disposed in the layer 5313. The part of the layer 5313 between the regions 5315 includes the NMOS TF channel region. Preferably, the source and drain regions 5315 are formed by diffusing out of the source and drain electrodes (ie, bit lines) 5317 by using N + type dopants. However, the area 5315 can be formed by any other method, such as masking and ion implantation. The electrode 5317 contacts the source and drain regions 5315 and is disposed on the bottom of the p-type semiconductor layer 5313 (Lang, on the other side of the layer 5313 opposite to the first insulating layer 5311). Preferably, the electrode 5317 includes an N + polysilicon track, and the N + polysilicon track extends in a direction orthogonal to the gate line 5303. If necessary, a metal or metal silicide layer 7 may be formed in contact with the electrode 5317 to improve its conductivity. However, if necessary, the electrode 5317 may contain a metal or a metal silicide instead of the heavily doped polycrystalline silicon. One-layer insulating filler layer 5318 (such as silicon oxide) -115- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 R7 ^_ 五、發明説明(113 ) 配置在源極和汲極電極5317之間。 如此,每一 NMOS TFT 5319皆位於相鄰的源極和汲極區域 5315之間,並包含層5305、5311、5313及5317的,部份’如圖87 所闡示。PMOS TFTs 5321 位於 NMOS TFTs 5319之上。 PMOS TFTs 5321包含一第二絕緣層5323,鄰近於閘極電極 5303之一第二側面。在圖87中,層5323位於閘極線5303的p+多 晶矽層5309上。絕緣層5323可為習知的閘極介電質。較佳的 是,絕緣層5323為電荷儲存層(即,電荷陷獲媒體)’諸如ΟΝΟ 堆疊或隔絕的奈米晶體,以形成電荷陷獲CMOS TFTs(諸如 EEPROM CMOS TFTs)。如果所需為浮動閘極型EEPROM CMOS TFTs,則可在絕緣層5323與閘極線5303之間,加上一浮動閘極 及一控制閘極介電質。 一 N型半導體層5325(諸如N-多晶矽層)配置在第二絕緣層 5323之上。層5325係配置在層5323上之對立於閘極電極5303的 另一側上面。P+源極和汲極區域5327配置於層5325之中,為 了使層5325介於源極與汲極區域5327之間的區域包含PMOS TFTs的通道區域。源極和汲極電極5329配置在N-多晶矽層5325 上面’並接觸源極和汲極區域5327。如此,電極5329係配置在 N-多晶殄層5325上之對立於第二絕緣層5323的另一側上面β 一平面的絕緣填料層5331(諸如氧化矽)配置在源極和汲極電 極5329之間。必要時,視情況可形成一金屬或金屬矽化物層 ’接觸電極5329以提高其導電率。_ 如此’每一 PMOS TFT 5321皆位於相鄰的源極和汲極區域 5327之間,且包含層5309、5323、5325及5329的一部份,如圖87 _____ -116- 本紙張尺度適用中國圏家操準(CNS) M規格(_ χ 297公酱) 540086 A7 ____R7_ 五、發明説明(114 ) 所闡示。在第一與第三間隔分開的電極或導體5317、5329與 共用閘極線5303之每一相交處,形成了一 TFT EEPROM CMOS 裝置(5319及5321)。必要時,該CMOS結構可反轉,而在NMOS TFTs之下形成PMOS TFTs。應了解,NM0S與PM0S電極(即,位 元線)不須直接落於彼此頂上,雖然其宜應有相同的間距。 如此則NMOS與PMOS電晶體能有不同的通道長度,但其間距 將受限於該二通道長度之較長者(從而陣列尺寸也受限)。在 一較佳方面,一導電率型之TFTs(即,NMOS或PMOS TFTs)含有 電荷儲存層或區域,而另一導電率型之TFTs(即,PMOS或 NMOS)並無電荷儲存區域或層。如此,此方面之CMOS包括一 個 EEPROM TF丁及一個非 EEPROM TFT。 圖87所闡示的TFT CMOS裝置陣列5300,乃是高度平面而緊 緻的。NMOS源極和汲極電極5317包含多晶矽導軌,而該等多 晶麥導軌係在一平行於基板表面之第一平上面,而在層間 絕緣層5301之上延伸。p-型多晶矽層5313則在一第二平上面, 而在源極和汲極電極5317之上延伸。閘極線5303在一第三平 上面,而在層5317、5313及5311之上延伸。η-型多晶矽層5325在 一第四平上面,而在閘極線5303之上延伸。PMOS源極和汲極 電極5329_包含多晶矽導秫,而該等多晶矽導軌係在一第五平 上面,而在N型多晶矽層5325之上延伸。該五平面皆互不相 交。 TFT d^IOS陣列5300也是自對準的。閘極電極5303、第一絕 緣層5311、p-型半導體層5313、第二絕緣層5323及η-型半導體 層5325包含一導軌堆疊,其係位在一平行於基板之平上面。 _ -117- __ 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 540086 A7 R7 五、發明説明(115 ) 該導軌堆疊係垂直於源極和汲極電極5317、5329而延伸。如 此,閘極電極5303、第一絕緣層5311、p-型半導體層5313、第 二絕緣層5323及η-型半導體層5325係在一垂直於基板而平行 於源極至汲極方向之平上面自對準,以下將更詳細地討論。 TFT CMOS陣列5300宜安排於一單石三維陣列中,而該三維 陣列係含複數個裝置級,由一或更多個層間絕緣層所垂直 分離。該陣列每一裝置級包含TFT CMOS裝置5300,如同以前 的具體實施例。一週邊或驅動器電路(未示出)安排於基板中 ,其宜在陣列以下且至少局部垂直對準陣列,或者也可在陣 列以内或以上且至少局部垂直對準陣列。 圖88A-D闡示依據本發明一較佳具體實施例,而製造導軌 堆疊TFT CMOS陣列5300之方法。首先,沈積一 N+多晶矽層並 圖型化之,以形成源極和汲極電極或導體5317。然後沈積一 絕緣層5318(諸如氧化矽層)於導體5317上面及其間。層5318再 經CMP而平面化,以形成一平面化之塊5332,如圖88A所示。 導體5317的頂表面乃曝露於該塊的頂表上面。 然後在塊5332上形成數層之堆疊。這些層包括p-型多晶矽 (或非晶系矽)層5313、第一絕緣或局域電荷儲存膜5311、閘極 層5303、第二絕緣或局域電荷儲存膜5323及η-型多晶矽(或非 晶系矽)層5325。然後在此堆疊上面形成一抗光蝕遮罩(未示 出),並圖型化該堆疊以形成複數個導軌堆疊5333(為清楚起 見,在ST88B中僅示出一個導軌堆疊5333)。該遮罩於所有之 層已圖型化後可以移除。既然導軌堆疊5333中所有之層係於 同一步驟期間圖型化,則導軌堆疊5333中之層在一直交於基 _-118:_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 R7 五、發明説明(116 ) 板之平上面自對準(即,導軌堆疊5333的側面為平面)。該等 導軌堆疊在一異於電極5317方向之方向上延伸。較佳的是, 在陣列之内導軌堆疊5333與電極5317以直交方向延伸,如圖 88B所示。 然後在導軌堆疊5333上面沈積一絕緣層5331(諸如氧化矽層) ,而使其填充導軌堆疊5333之間的間隔5335,如圖88C所示。 層5331再經CMP而平面化。在層5331上形成一抗光蝕遮罩(未 示出),並用該遮罩在層5331中蝕刻出平行渠溝5339。該等渠 溝係平行於電極5317且直交於導軌堆疊5333而延伸,如圖88C 所示。 必要時,視情況可於層5331沈積之前,在導軌堆疊5333的 側壁上形成側壁間隔物(未示出)。較佳的是,該等間隔物由 異於層5331之材料來製造。該等間隔物宜由氮化矽來製造。 該等間隔物在渠溝蝕刻期間,保護導軌堆疊5333的側壁。該 等間隔物避免渠溝蝕刻在閘極線間的區面中過遠地延伸而 超過閘極線頂部,係用以保護閘極抵抗源極/汲極短路。 在使用層5331及/或該抗光蝕劑為遮罩之下,p-型離子(即, 硼或BF2)乃經由渠溝5339而植入曝露的η-型半導體層5325。該 等離子支層5325中形成Ρ+源極和汲極區域5327,如圖88D所示 〇 然後在層5331上面及渠溝5339之中,沈積一 ρ-型多晶矽層。 該多晶歹層經CMP平面化或經回‘,而形成複數個嵌於平面 化的絕緣層5331中,間隔分開的Ρ+電極5329。電極5329係位於 導軌堆疊5333之上,且接觸Ρ+源極和汲極區域5327。既然電 -119- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐) 裝 訂Line 540086 A7 R7 ^ _ 5. Description of the invention (113) is arranged between the source and drain electrodes 5317. In this way, each NMOS TFT 5319 is located between the adjacent source and drain regions 5315 and includes layers 5305, 5311, 5313, and 5317, a portion of which is illustrated in FIG. 87. PMOS TFTs 5321 are located on top of NMOS TFTs 5319. The PMOS TFTs 5321 includes a second insulating layer 5323 adjacent to a second side of the gate electrode 5303. In FIG. 87, a layer 5323 is located on the p + polysilicon layer 5309 of the gate line 5303. The insulating layer 5323 may be a conventional gate dielectric. Preferably, the insulating layer 5323 is a charge storage layer (ie, a charge trapping medium) 'such as an ONO stacked or isolated nanocrystal to form charge trapping CMOS TFTs (such as EEPROM CMOS TFTs). If floating gate EEPROM CMOS TFTs are required, a floating gate and a control gate dielectric can be added between the insulating layer 5323 and the gate line 5303. An N-type semiconductor layer 5325 (such as an N-polycrystalline silicon layer) is disposed on the second insulating layer 5323. The layer 5325 is disposed on the layer 5323 opposite to the other side of the gate electrode 5303. The P + source and drain regions 5327 are arranged in the layer 5325 so that the region of the layer 5325 between the source and drain regions 5327 includes the channel region of PMOS TFTs. The source and drain electrodes 5329 are disposed on the N-polysilicon layer 5325 'and contact the source and drain regions 5327. In this way, the electrode 5329 is disposed on the N-polycrystalline silicon layer 5325 and is opposite to the second insulating layer 5323 on the other side of the β-plane insulating filler layer 5331 (such as silicon oxide) disposed on the source and drain electrodes 5329. between. If necessary, a metal or metal silicide layer may be formed as the contact electrode 5329 to improve its conductivity. _ So 'Each PMOS TFT 5321 is located between the adjacent source and drain regions 5327, and contains a part of layers 5309, 5323, 5325, and 5329, as shown in Figure 87 _____ -116- This paper scale applies to China Family Standards (CNS) M Specification (_ χ 297 Male Sauce) 540086 A7 ____R7_ V. The description of the invention (114). A TFT EEPROM CMOS device (5319 and 5321) is formed at each intersection of the first or third spaced apart electrodes or conductors 5317, 5329 and the common gate line 5303. When necessary, the CMOS structure can be inverted, and PMOS TFTs are formed under the NMOS TFTs. It should be understood that the NMOS and PMOS electrodes (ie, bit lines) need not fall directly on top of each other, although they should preferably have the same spacing. In this way, NMOS and PMOS transistors can have different channel lengths, but their spacing will be limited by the longer of the two channel lengths (thus the array size is also limited). In a preferred aspect, one conductivity type TFTs (i.e., NMOS or PMOS TFTs) contains a charge storage layer or region, while another conductivity type TFTs (i.e., PMOS or NMOS) does not have a charge storage region or layer. As such, the CMOS in this area includes an EEPROM TF and a non-EEPROM TFT. The TFT CMOS device array 5300 illustrated in FIG. 87 is highly planar and compact. The NMOS source and drain electrodes 5317 include polycrystalline silicon rails, and the polycrystalline silicon rails are on a first flat surface parallel to the surface of the substrate and extend above the interlayer insulating layer 5301. The p-type polycrystalline silicon layer 5313 is on a second plane, and extends over the source and drain electrodes 5317. The gate line 5303 is above a third plane and extends above the layers 5317, 5313, and 5311. The n-type polycrystalline silicon layer 5325 is on a fourth plane and extends above the gate line 5303. The PMOS source and drain electrodes 5329_ contain polycrystalline silicon conductors, and the polycrystalline silicon rails are tied on a fifth plane and extend above the N-type polycrystalline silicon layer 5325. The five planes do not intersect each other. The TFT d ^ IOS array 5300 is also self-aligned. The gate electrode 5303, the first insulating layer 5111, the p-type semiconductor layer 5313, the second insulating layer 5323, and the n-type semiconductor layer 5325 include a rail stack, which is positioned on a plane parallel to the substrate. _ -117- __ This paper size is in accordance with Chinese National Standard (CNS) A4 size (210X297 mm) 540086 A7 R7 5. Description of the invention (115) The rail stack extends perpendicular to the source and drain electrodes 5317, 5329. In this way, the gate electrode 5303, the first insulating layer 5111, the p-type semiconductor layer 5313, the second insulating layer 5323, and the n-type semiconductor layer 5325 are on a plane that is perpendicular to the substrate and parallel to the source-to-drain direction. Self-alignment is discussed in more detail below. The TFT CMOS array 5300 should be arranged in a monolithic three-dimensional array, and the three-dimensional array contains a plurality of device stages, which are vertically separated by one or more interlayer insulating layers. Each device level of the array contains a TFT CMOS device 5300, as in previous embodiments. A perimeter or driver circuit (not shown) is arranged in the substrate. It should be below the array and at least partially vertically aligned with the array, or it can be within or above the array and at least partially vertically aligned with the array. 88A-D illustrate a method of manufacturing a track stacked TFT CMOS array 5300 according to a preferred embodiment of the present invention. First, an N + polycrystalline silicon layer is deposited and patterned to form a source and drain electrode or conductor 5317. An insulating layer 5318 (such as a silicon oxide layer) is then deposited on and between the conductors 5317. Layer 5318 is then planarized by CMP to form a planarized block 5332, as shown in Figure 88A. The top surface of the conductor 5317 is exposed on the top surface of the block. A stack of several layers is then formed on block 5332. These layers include p-type polycrystalline silicon (or amorphous silicon) layer 5313, a first insulating or local charge storage film 5111, a gate layer 5303, a second insulating or local charge storage film 5323, and an n-type polycrystalline silicon (or Amorphous silicon) layer 5325. A photoresist mask (not shown) is then formed on this stack, and the stack is patterned to form a plurality of rail stacks 5333 (for clarity, only one rail stack 5333 is shown in ST88B). The mask can be removed after all layers have been patterned. Since all the layers in the rail stack 5333 are patterned during the same step, the layers in the rail stack 5333 have been handed over to the base _-118: _ This paper size applies to China National Standard (CNS) A4 specifications (210 X 297 (Mm) 540086 A7 R7 5. Description of the invention (116) The plane of the board is self-aligned (ie, the side of the rail stack 5333 is flat). The rails are stacked to extend in a direction different from the direction of the electrode 5317. Preferably, the rail stack 5333 and the electrode 5317 extend in a perpendicular direction within the array, as shown in FIG. 88B. An insulating layer 5331 (such as a silicon oxide layer) is then deposited on the rail stack 5333 to fill the gap 5335 between the rail stacks 5333, as shown in FIG. 88C. The layer 5331 is then planarized by CMP. A photoresist mask (not shown) is formed on layer 5331, and parallel trenches 5339 are etched in layer 5331 using the mask. The trenches run parallel to the electrode 5317 and extend orthogonally to the rail stack 5333, as shown in Figure 88C. If necessary, sidewall spacers (not shown) may be formed on the sidewalls of the rail stack 5333 before the layer 5331 is deposited, as appropriate. Preferably, the spacers are made of a material different from the layer 5331. The spacers are preferably made of silicon nitride. These spacers protect the sidewalls of the rail stack 5333 during trench etch. This spacer prevents the trench etch from extending too far in the area between the gate lines beyond the top of the gate line, and is used to protect the gate against source / drain shorts. Under the layer 5331 and / or the photoresist is used as a mask, p-type ions (ie, boron or BF2) are implanted into the exposed n-type semiconductor layer 5325 via the trench 5339. A P + source and drain region 5327 is formed in the plasma branch layer 5325, as shown in FIG. 88D. Then, a p-type polycrystalline silicon layer is deposited on the layer 5331 and in the trench 5339. The polycrystalline rhenium layer is planarized by CMP or returned to ′ to form a plurality of spaced apart P + electrodes 5329 embedded in the planarized insulating layer 5331. The electrode 5329 is located above the rail stack 5333 and contacts the P + source and drain regions 5327. Since the electrical -119- this paper size is applicable to the Chinese National Standard (CNS) A4 specification (21 × 297 mm) binding

k 540086 A7 R7 五、發明説明(117 ) 極5329與源極和汲極區域5327於同一微影步驟期間形成,則 電極5329與源極和汲極區域5327之間並無失準。或者,也可 不對渠溝5339做離子植入,而從電極5329做外擴散,來形成 源極和汲極區域5327。 該陣列經退火,從N+電極5317做外擴散而形成N+源極和 汲極區域5315,且使非晶系或多晶矽半導體層5313及5325再結 晶。該外擴散作用及再結晶作用可在製程中任何必要時刻, 於同一或不同的退火步騾期間實行。 必要時,在圖87及88D所示之陣列上面形成一層間絕緣層 ;並在其上單石性地形成另一裝置級,其包含另一 TFT CMOS EEPROM裝置5300陣列。在該層間絕緣層中,可形成 routing金屬化層(以非鋁之金屬層較佳)。必要時在該裝置之第 二級上面可形成附加的層間絕緣層及裝置級,以形成至少 三個裝置層。在此具體實施例之另一替代方面,係在PM0S 電極5329頂部上·直接形成含一閘極線之第二導軌堆疊,而無 層間絕緣層介於其間。如此,PM0S電極5329將含二個導軌堆 疊中的源極和汲極區域。換句話說,可形成複數個裝置級而 無層間絕緣層介於其間,來形成三維單石陣列。此安排以較 少的處瑄步驟提供較f的電晶體,但其程式化適應彈性較 小0 如圖89所示,所造成的TFT CMOS陣列為具有共用閘極5303 之NM〇f5319及PMOS 5321裝置矩皞。圖89所示的陣列係一未 程式化的或未設定的陣列。該陣列能再經設定而成邏輯元 件或記憶裝置,此係藉閘極介電質(即,電荷儲存膜或區域) -120- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A7 R7 五、發明説明(118 ) 擊穿,而形成一連接閘極線(即,字線列)5303與源極和汲極 電極5317、5329(即,位元線)之導電鏈;或係藉電荷儲存於 NMOS或PMOS電晶體任一之電荷儲存區域中,而提升其閾值 電壓並保持其永遠關著。丁FT CMOS EEPROM裝置5300陣列或 係可用以形成邏輯元件,抑或形成記憶體陣列。而且,在未 設定的陣列中,同一半導體裝置或係可用為無熔絲,抑或用 為 EPROM 或 EEPROM。 依據本發明之一較佳具體實施例,係提供一電路,其包含 複數個電荷儲存裝置及複數個無熔絲裝置。該電路可包含 一場可程式化閘陣列或一可程式化邏輯裝置。較佳的是,該 複數個電荷儲存裝置及該複數個無熔絲裝置包含同一組裝 置。此點使該電路之製造大為簡化。當施一第一程式化電壓 於此等裝置,提高裝置的閾值電壓,藉而關掉此等裝置,此 時裝置係作用為電荷儲存裝置。當施一高於第一電壓之第 二程式化電壓於此等裝置,此時裝置也作用為無熔絲。該第 二電壓可為任何足以形成導電鏈而穿過一電荷儲存區域之 電壓。舉例來說,第一電壓(即,電荷儲存電壓)可小於5伏特 ,而足以形成導電鏈之第二電壓可為5-50伏特,視裝置特性 而定。該專電壓係由驅動器或週邊電路提供給裝置。然而, 必要時也可提供具不同結構之電荷儲存及無熔絲半導體裝 置。 應了驿,任何作用為無熔絲之電荷儲存裝置,其中若有導 電鏈形成而穿過電荷儲存區域,則都在本發明之範圍内。如 此,任何裝置若包含一半導體活性區域、一鄰近該半導體活 -121 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)k 540086 A7 R7 V. Description of the Invention (117) The electrode 5329 and the source and drain regions 5327 are formed during the same lithography step, so there is no misalignment between the electrode 5329 and the source and drain regions 5327. Alternatively, instead of performing ion implantation on the trench 5339, the source and drain regions 5327 may be formed by external diffusion from the electrode 5329. The array is annealed to diffuse out from the N + electrode 5317 to form the N + source and drain regions 5315, and the amorphous or polycrystalline silicon semiconductor layers 5313 and 5325 are recrystallized. The external diffusion and recrystallization can be performed at any necessary time in the process, during the same or different annealing steps. When necessary, an interlayer insulating layer is formed on the array shown in FIGS. 87 and 88D; and another device level is formed monolithically thereon, which includes another 5300 array of TFT CMOS EEPROM devices. A routing metallization layer (preferably a non-aluminum metal layer) can be formed in the interlayer insulating layer. If necessary, additional interlayer insulation and device levels may be formed on the second level of the device to form at least three device layers. In another alternative aspect of this embodiment, a second rail stack including a gate line is directly formed on the top of the PMOS electrode 5329, without an interlayer insulating layer interposed therebetween. As such, the PMOS electrode 5329 will contain the source and drain regions in a two rail stack. In other words, a plurality of device levels can be formed without interlayer insulating layers therebetween to form a three-dimensional monolithic array. This arrangement provides f transistors with fewer processing steps, but its programming flexibility is less. As shown in Figure 89, the resulting TFT CMOS array is NMOf5319 and PMOS 5321 with a shared gate 5303. Installation moments. The array shown in Figure 89 is an unprogrammed or unset array. The array can be configured as a logic element or a memory device, which is based on the gate dielectric (ie, charge storage film or area). -120- This paper size applies to China National Standard (CNS) A4 (210 X 297) (Mm) 540086 A7 R7 V. Description of the invention (118) Breakdown to form a conductive connection connecting the gate line (ie, word line column) 5303 and the source and drain electrodes 5317, 5329 (ie, bit line) Chain; or the charge is stored in the charge storage area of either NMOS or PMOS transistor, and its threshold voltage is raised and kept closed forever. A 5300 array of FT CMOS EEPROM devices may be used to form logic elements or memory arrays. Moreover, in an unconfigured array, the same semiconductor device or system can be used as a non-fuse, or as an EPROM or EEPROM. According to a preferred embodiment of the present invention, a circuit is provided, which includes a plurality of charge storage devices and a plurality of non-fuse devices. The circuit can include a programmable gate array or a programmable logic device. Preferably, the plurality of charge storage devices and the plurality of non-fuse devices include the same assembly. This simplifies the manufacture of the circuit. When a first stylized voltage is applied to these devices, the threshold voltage of the device is increased, and the devices are then turned off. At this time, the device functions as a charge storage device. When a second stylized voltage higher than the first voltage is applied to these devices, the device also functions as a no-fuse. The second voltage may be any voltage sufficient to form a conductive chain through a charge storage region. For example, the first voltage (ie, the charge storage voltage) may be less than 5 volts, and the second voltage sufficient to form a conductive chain may be 5-50 volts, depending on the characteristics of the device. The dedicated voltage is supplied to the device by the driver or peripheral circuits. However, charge storage and non-fuse semiconductor devices with different structures can also be provided when necessary. In response, any charge storage device that functions as a non-fuse, and if a conductive chain is formed to pass through the charge storage area, it is within the scope of the present invention. In this way, if any device contains a semiconductor active area and is adjacent to the semiconductor active area -121-this paper size applies to China National Standard (CNS) A4 (210 X 297 mm)

Order

線 540086 A7Line 540086 A7

性區域之電荷儲存區域、一第一電極及第 二電極,其中當一 第一程式化電壓施於第一電極及第二電極,且當一導電鏈 形成穿過電荷儲存區域而在第一電極及第=電極間形成一 導電路徑,此時若電荷係儲存於電荷儲存區域之中,則此等 裝JL都在本發明之範圍内。於是,能用為無熔絲之電荷儲存 裝置,亚不限於導軌堆疊πτ EEpR〇Ms。如此的電荷儲存裝 置不僅包括單晶體半導體基板中所形成的EpR〇Ms及 EEPROMs ’同樣地也包括導柱式或自對準丁FT EEpR〇Ms,及 具有以前具體實施例的電荷儲存區域之二極體。 圖90闡示,圖89電路之4乘4 (4χ4)單元陣列如何能程式化 成一反向器5343。首先,係施一高電壓於閘極(即,字)線5345 與位元線5347之間;閘極線5345與位元線5347將用來載運輸出 電壓vQUi。此則形成導電無熔絲鏈5348,使線5345與5347電連 接°然後’驅動器電路提供一程式化電壓給所有其他的電晶 體5350 ’提鬲其閾值電壓而將其關掉,但對NM0S電晶體5355 及PM0S電晶體5357除外。NM0S 5355及PM0S 5357電晶體形成 該反向器,對閘極線5349供入一高電壓Vin時,則讀出一低電 壓Vc^,反之亦然。電壓vss(即,接地)及vDD(即,電源供應電 壓)則係挺入與電晶體53.55及5357連接之位元線5351及5353。 圖91闡示,圖89電路之4乘4單元陣列如何能程式化成一個 二輸出反及(NAND)閘5360。首先,係施一高電壓於閘極(即, 字)線S3召與位元線5347之間;閘極線5345與位元線5347將用來 載運輸出電壓。此則形成導電無熔絲鏈5348,使線5345與 5347電連接。然後,驅動器電路提供一程式化電壓給所有其 -122- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)Charge storage region, a first electrode, and a second electrode of the conductive region, wherein when a first stylized voltage is applied to the first electrode and the second electrode, and when a conductive chain is formed across the charge storage region, the first electrode is A conductive path is formed between the first electrode and the third electrode. At this time, if the charge is stored in the charge storage area, these devices JL are all within the scope of the present invention. Therefore, it can be used as a non-fuse charge storage device, and is not limited to the rail stack πτ EEpR0Ms. Such a charge storage device includes not only EpROMs and EEPROMs formed in a single crystal semiconductor substrate, but also pillar-type or self-aligned DFT EEpROMs, as well as the two poles of the charge storage region of the previous specific embodiment. body. Figure 90 illustrates how a 4 by 4 (4x4) cell array of the circuit of Figure 89 can be programmed into an inverter 5343. First, a high voltage is applied between the gate (ie, word) line 5345 and the bit line 5347; the gate line 5345 and the bit line 5347 will be used to carry the voltage vQUi. This forms a conductive non-fuse chain 5348, which electrically connects wires 5345 to 5347. Then the 'driver circuit provides a stylized voltage to all other transistors 5350.' It raises its threshold voltage and turns it off, but Except for crystal 5355 and PM0S transistor 5357. The NM0S 5355 and PM0S 5357 transistors form the inverter. When a high voltage Vin is supplied to the gate line 5349, a low voltage Vc ^ is read, and vice versa. The voltage vss (ie, ground) and vDD (ie, power supply voltage) are pushed into the bit lines 5351 and 5353 connected to the transistors 53.55 and 5357. Figure 91 illustrates how the 4 by 4 cell array of the circuit of Figure 89 can be programmed into a two output inverse (NAND) gate 5360. First, a high voltage is applied between the gate (ie, word) line S3 and the bit line 5347; the gate line 5345 and the bit line 5347 will be used to carry out the voltage. This forms a conductive non-fuse chain 5348, which electrically connects wires 5345 and 5347. Then, the driver circuit provides a stylized voltage to all of them.

裝 訂Binding

540086 A7 R7 五、發明説明(120 ) 他的電晶體5350,提高其閾值電壓而將其關掉,但對PMOS電 晶體5361、5365及NMOS電晶體5363、5367除外。電晶體5361、 5363、5365及5367形成該NAND閘。輸入電壓Vinl及Vin2係供入閘 極線5369及5371。CMOS 5361/5363連接閘極線5369,而電晶體 5365及5367連接閘極線5371。電壓Vss及VDD則係供入位元線 5373 及 5375。NMOS 5367連接位元線 5375,而 PMOS 5361 及 5365 連接接位元線5373。從線5345或5347能讀出輸出電壓;線5345 或5347甴一熔斷的無熔絲5348所連接。 圖92闡示,圖89電路之5乘6單元陣列如何能程式化成一靜 態隨機存取記憶體(SRAM) 5380。首先,係施一高電壓於閘極 (即,字)線5381及5383與位元線5385、5386、5387及5388之間。此 則形成導電無熔絲鏈5348,使線5381與5385及5386電連接,且 使線5383與5387及5388電連接。然後,驅動器電路提供一程式 化電壓給所有其他的電晶體5350,提高其閾值電壓而將其關 掉,但對電晶體5389、5390、5391、5392、5393及5394除外。電 晶體5389及5390為SRAM存取電晶體,而電晶體5391、5392、 5393及5394為交又轉合反向器。對單元做存取,係在字線5395 上放一正電壓。資料係輸入至且係讀出自BL及BL-bar,BL及 BL-bar則分別供入位元蜂5396及5397。電壓Vss及VDD則係供入 位元線5398及5399。 圖89-91顯示能做程式化之各種不同的示範組態。應注意, 上述方系也可用來程式化任何其彳也的必要邏輯或記憶裝置 ,諸如NOR閘等。既然所有的邏輯功能都能藉基本元件(諸如 NAND閘)來施行,則任何邏輯電路都能程式化成此陣列類型 -123- 本紙張尺度適用中國國家標準(CMS) A4規格(210X 297公釐) 540086 A7 _R7 五、發明説明(121 ) 。而且,必要時可將邏輯及記憶裝置程式化成同一電路。對 於邏輯裝置來說,邏輯塊尺寸一般為(x+ I)2乘以單元面積, 此處⑻為邏輯閘上的輸入數目。既然單元面積在此能小如 4F2(此處F為最小特徵尺寸[半個間距]),則對於微米來 說,每邏輯閘之面積最小為4(F(x+l))2,或者對2·輸入NAND或 NOR閘來說,為2.25微米見方。較佳的是,每邏輯閘之面積為 4(F(x+ I))2至5(F(x+ I))2。此尺寸包含了該塊每—邊緣上之一 「隔絕」列及行’並與次一塊分享之。 VI.金屬誘導結晶作用 本發明之一較佳具體實施例係指一非揮發性薄膜電晶體 (TFT)^憶或邏輯裝置,其係建構於一基板之上,且包含一源 極、汲極及通到區域,而該區域則是藉過渡金屬誘導橫向結 晶(MILC)製程而結晶的非晶系碎或多晶麥,沈積或長出所製 成的。一兩維或以上,較佳為三維多次可程式(MTp)非揮發 記憶體或邏輯係由此種薄膜電晶體記憶裝置構成β 依據本具體實施例之第一方面,基於TFT的非揮發性記憶 或邏輯早元若係在一沈積的薄層麥(諸如非晶系石夕或多 晶矽)中形成通道,則其性能特性之改良乃合宜的。如果該a_ Si或多晶矽的晶粒尺寸皞增大似單晶狀矽,則可達成此改良 目的。 以往,已有若干達成a-Si之結晶作用的方式。依據一第一 途徑,a3i可藉一退火步驟,在約g00°C下為時數十小時,部 份地結晶而形成多晶狀碎。此途徑因材枓中所形成的裝置 有低性能特性,且需相對長的時間來製造,所以並不有利。 ___ -124__ 本紙張尺度適用中@國家標準(CNS) A4規格(21()><297公發) -- 540086 A7 _B7_ 五、發明説明(122 ) 如此,則能使用過渡金屬或鍺催化劑,在晶種地點誘導橫向 結晶作用’ ·以加強結晶作用。 不幸的是,大多數以此方式所製之基於電晶體的裝置,有 相對低劣的性能特性(相對於單晶狀矽);且展現數量級在 100’ s(毫伏特/十倍間隔)之次閾值(subthreshold)斜率值及10’ s微 安培/微米之Idsat(表次閾值電流)。該金屬誘導橫向結晶作用 (MILC)係在約400°C至約700°C溫度下實行,以獲致每小時幾 微米(或更多)之橫向長晶率。要進一步擴大矽晶用地至數百 微米,則加做一相對短歷時高溫度之退火步驟(如,900°C達 30分鐘之久),以同時性地結晶多層a-Si(或另一半導體材料)。 注意,在結晶溫度範圍約750°C至975°C時,退火時間若據以 調整,也將會有合意的結果。此短歷時高溫度退火,不會使 本文所涵蓋之裝置的擴散區域飽和;且如同低溫退火步驟 般,能對多級的裝置施行一次。 現在以圖93-95說明並闡示依據本發明一特定具體實施例, 用以再結晶一沈積的a-Si層之製程範例。普通熟習此項技藝 者現在將切實了解,對此處所闡示的製程,有許多常規的修 正是可能的,且不影響本文所述的本發明概念。 現在見圖93-95,在圖93中係闡示一用於結晶的沈積(或長 出)a-Si層之製程的流程圖。圖94A-94H闡示依據圖93製程所製 備之石夕晶圓的垂直截面圖。圖95闡示穿過晶種窗口(seeding \¥比〇1〇\¥5厂3424之金屬誘導橫向結晶彳乍用(MILC)的效應,晶種窗 口 5424係在一標準的矽晶圓上面埋設的氧化物上面所基於的 a-Si之中。 -125- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 540086 A7 B7 五、發明説明(123 ) 製程5408的第一步驟5406,是要在一標準的矽晶圓基板5412 上長出(或沈積)一厚氧化物層5410(圖94A)(如,3000埃)。次一 步驟5414,是要在埋設的氧化物層5410上面沈積一薄非晶系 矽(a-Si)層5416(如,1000埃)。舉例來說,使用SiH4為矽源而在流 率70 SCCM及壓力300毫托下,在550°C下做低壓化學氣相沈 積(LPCVD),則能達成此目的。或者,層5416可包含一多晶矽 層。次一步驟5418,是要沈積一犧牲性低溫氧化物層(LTO)層 5420(如,3000埃);然後在步驟5419中,是要以遮罩5422將其圖 型化並蝕刻,以曝露過渡金屬晶種窗口 5424。這些晶種窗口 能有大約2微米之槽寬,如圖95所示。遮罩5422如今則能移除 〇 次一步驟5426,是要在LTO層5420上面沈積一過渡金屬層 5428(如,100埃之Ni[鎳]。雖然Ni為目前較佳者,但其他的過 渡金屬也可使用。其他可使用但不如Ni的過渡金屬,為: Fe(鐵)、Co(鈷)、Ru(釕)、Rh(铑)、Pd(鈀)、Os(鉞)、Ir(銥)、Pt(鉑)、 Cu(銅)及Au(金)。必要時,也可使用鍺。該過渡金屬也可藉佈 植及其他為普通熟習此項技藝者所熟知的機制,而引入晶 種窗口。 次一步驟5430則是退火,用於初始橫向結晶作用。此步驟 係闡示於圖94F,可在一溫度及時間範圍内實行。舉例來說, 在乂氛圍中,560°C下退火20小時,將會奏效。較低溫度需較 長的退欠時間,較高溫度則需較Μ的退火時間;普通熟習此 項技藝者現在將認知,其可在生產率考量下最適化。此步驟 施行一結晶作用,滿足某些裝置,而提供數微米至數十微米 • 126- 本紙張尺度適用中國國家標準(CNS) Α4規格(210Χ 297公釐) 540086 A7 R7 五、發明説明(124 ) 之矽晶粒尺寸。其他需更高性能及數百微米之晶粒尺寸的 裝置,則需以下所討論的高溫退火步驟。 次一步驟5432,是要剝除剩餘的過渡金屬層5428 ;可用 H2S〇4: H2〇2 (4: 1),在70°C下施行。然後是步騾5434,其係以HF 來剝除LTO層5420。 最後,若須要,則行一高溫退火步驟5436(如,在N2氛圍中 ,900°C下達30分鐘之久),以進一步結晶該部份地結晶的a-Si ,而形成甚至更大的晶粒矽晶體(尺寸> 100微米)。此步騾賦 予結晶的a-Si層(即,大晶粒多晶矽層)以類似於習知的SOI(絕 緣體上矽)CMOS技術之性能特性。注意,本文所用之過渡金 屬結晶的半導體材料,將含可偵測的痕量過渡金屬,用來促 成結晶作用。在正常的半導體處理中,痕量的過渡金屬(典 型為Fe、Ni)將逸出半導體製造設備結構(通常含不銹鋼),並 嵌入於TFT通道將形成所在之半導體膜。正常情形下,這些 過渡金屬存量在小於約1014個原子/cc之水準。然而,在過渡 金屬結晶作用之中,超過約1014個原子/cc而多達約1018個原子 /cc之更多痕量的過渡金屬,處理後會餘留在結晶的半導體材 料中。通常並無污染問題;然而,若須要建立此種污染的梯 度,則可'在丁FT的源極芩/或汲極區域中放上吸雜材料,提高 此種污染在各自的源極及/或汲極區域中的濃度,藉以降低 此種污染在通道區域中的濃度。由於會有過多的過渡金屬 污染,應避免在晶種窗口 5424區域+形成裝置。 上述金屬誘導結晶方法可用來再結晶任何上述裝置的活 性半導體層。如此,在再結晶的a-Si或多晶矽中,可形成各 _-127-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂540086 A7 R7 5. Description of the invention (120) His transistor 5350 is turned off by increasing its threshold voltage, except for PMOS transistors 5361, 5365 and NMOS transistors 5363, 5367. Transistors 5361, 5363, 5365, and 5367 form the NAND gate. The input voltage Vinl and Vin2 are supplied to the gate lines 5369 and 5371. The CMOS 5361/5363 is connected to the gate line 5369, and the transistors 5365 and 5367 are connected to the gate line 5371. The voltages Vss and VDD are supplied to the bit lines 5373 and 5375. NMOS 5367 is connected to bit line 5375, while PMOS 5361 and 5365 are connected to bit line 5373. The output voltage can be read from line 5345 or 5347; line 5345 or 5347 is connected to a blown non-fuse 5348. Figure 92 illustrates how the 5 by 6 cell array of the circuit of Figure 89 can be programmed into a static random access memory (SRAM) 5380. First, a high voltage is applied between the gate (ie, word) lines 5381 and 5383 and the bit lines 5385, 5386, 5387, and 5388. This forms a conductive non-fuse chain 5348, which electrically connects wires 5381 to 5385 and 5386, and electrically connects wires 5383 to 5387 and 5388. The driver circuit then provides a programmed voltage to all other transistors 5350, increasing their threshold voltage to turn them off, except for transistors 5389, 5390, 5391, 5392, 5393, and 5394. Transistors 5389 and 5390 are SRAM access transistors, while transistors 5391, 5392, 5393, and 5394 are alternating and turning inverters. To access the cell, a positive voltage is placed on word line 5395. The data is input to and read from BL and BL-bar, and BL and BL-bar are supplied to bit bee 5396 and 5397, respectively. The voltages Vss and VDD are supplied to bit lines 5398 and 5399. Figures 89-91 show various exemplary configurations that can be programmed. It should be noted that the above system can also be used to program any necessary logic or memory device, such as a NOR gate. Since all logic functions can be implemented by basic components (such as NAND gates), any logic circuit can be programmed into this array type. -123- This paper size applies to China National Standard (CMS) A4 specification (210X 297 mm) 540086 A7 _R7 5. Description of the invention (121). Moreover, the logic and memory devices can be programmed into the same circuit if necessary. For a logic device, the logic block size is generally (x + I) 2 times the cell area, where ⑻ is the number of inputs on the logic gate. Since the cell area can be as small as 4F2 (here F is the minimum feature size [half pitch]), for micrometers, the area per logic gate is at least 4 (F (x + l)) 2, or 2. For input NAND or NOR gate, it is 2.25 microns square. Preferably, the area of each logic gate is 4 (F (x + I)) 2 to 5 (F (x + I)) 2. This size contains one "isolated" column and row on each edge of the block and shares it with the next block. VI. Metal-Induced Crystallization A preferred embodiment of the present invention refers to a non-volatile thin film transistor (TFT) memory or logic device, which is constructed on a substrate and includes a source and a drain. And pass to the area, and this area is made by the deposition or growth of amorphous broken or polycrystalline wheat crystallized by the transition metal induced lateral crystallization (MILC) process. One or two dimensions or more, preferably three-dimensional multi-time programmable (MTp) non-volatile memory or logic consisting of such a thin-film transistor memory device β According to the first aspect of this embodiment, non-volatile based on TFT If the memory or logic early is formed in a deposited thin layer of wheat (such as amorphous stone or polycrystalline silicon), the improvement of its performance characteristics is appropriate. If the grain size of the a_Si or polycrystalline silicon is increased like single crystal silicon, this improvement can be achieved. In the past, there have been several ways to achieve the crystallization of a-Si. According to a first approach, a3i can be partially crystallized to form polycrystalline fragments by an annealing step at about g00 ° C for several tens of hours. This approach is not advantageous because the device formed in the material has low performance characteristics and requires a relatively long time to manufacture. ___ -124__ Applicable to this paper standard @National Standard (CNS) A4 Specification (21 () > < 297 Public Publishing)-540086 A7 _B7_ V. Description of the Invention (122) In this case, a transition metal or germanium catalyst can be used To induce lateral crystallization at the seed site 'to enhance crystallization. Unfortunately, most transistor-based devices made in this way have relatively poor performance characteristics (relative to single crystalline silicon); and exhibit orders of magnitude in the order of 100's (millivolts / ten-fold interval) Threshold (subthreshold) slope value and Idsat (table threshold current) of 10 's microamps / micron. The metal-induced lateral crystallization (MILC) is performed at a temperature of about 400 ° C to about 700 ° C to obtain a lateral growth rate of several micrometers (or more) per hour. To further expand the area of silicon crystals to hundreds of microns, an annealing step (eg, 900 ° C for 30 minutes) with a relatively short duration and high temperature is added to simultaneously crystallize multiple layers of a-Si (or another semiconductor) material). Note that when the crystallization temperature range is about 750 ° C to 975 ° C, if the annealing time is adjusted accordingly, there will also be desirable results. This short-duration high-temperature annealing does not saturate the diffusion region of the device covered in this article; and it can be performed once for multi-stage devices just like the low-temperature annealing step. An example of a process for recrystallizing a deposited a-Si layer according to a specific embodiment of the present invention is now illustrated and illustrated with reference to FIGS. 93-95. Those of ordinary skill in the art will now truly understand that many routine modifications to the process illustrated here are possible without affecting the concepts of the invention described herein. Referring now to Figures 93-95, a flowchart of a process for depositing (or growing) an a-Si layer for crystallization is illustrated in Figure 93. FIGS. 94A-94H illustrate vertical cross-sectional views of a Shixi wafer prepared according to the process of FIG. 93. Figure 95 illustrates the effect of a metal-induced lateral crystallization (MILC) through a seed window (seeding \ ¥ ratio 〇1〇 \ ¥ 5 plant 3424). The seed window 5424 is embedded on a standard silicon wafer. The oxide is based on a-Si. -125- This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 540086 A7 B7 V. Description of the invention (123) The first step of process 5408 5406 Is to grow (or deposit) a thick oxide layer 5410 (Figure 94A) (eg, 3000 angstroms) on a standard silicon wafer substrate 5412. The next step 5414 is to bury the oxide layer 5410 A thin amorphous silicon (a-Si) layer 5416 (eg, 1000 angstroms) is deposited thereon. For example, using SiH4 as a silicon source at a flow rate of 70 SCCM and a pressure of 300 millitorr, at 550 ° C Low pressure chemical vapor deposition (LPCVD) can achieve this goal. Alternatively, layer 5416 may include a polycrystalline silicon layer. The next step 5418 is to deposit a sacrificial low temperature oxide layer (LTO) layer 5420 (eg, 3000 angstroms) ); Then in step 5419, it is patterned and etched with a mask 5422 to expose the transition metal seed Mouth 5424. These seed windows can have a slot width of about 2 microns, as shown in Figure 95. The mask 5422 can now be removed in step 5426, which is to deposit a transition metal layer 5428 on top of the LTO layer 5420 ( For example, Ni [nickel] at 100 angstroms. Although Ni is currently preferred, other transition metals can also be used. Other transition metals that can be used but are not as good as Ni are: Fe (iron), Co (cobalt), Ru (Ruthenium), Rh (rhodium), Pd (palladium), Os (rhenium), Ir (iridium), Pt (platinum), Cu (copper), and Au (gold). Germanium can also be used. The transition metal The seed window can also be introduced by implantation and other mechanisms familiar to those skilled in the art. The next step 5430 is annealing for initial lateral crystallization. This step is illustrated in Figure 94F. It is implemented within a temperature and time range. For example, annealing in a radon atmosphere at 560 ° C for 20 hours will work. Lower temperatures require longer back-off times, and higher temperatures require more annealing. Time; ordinary artisans will now recognize that it can be optimized in terms of productivity. This step is done It can meet the requirements of some devices, and provide several micrometers to tens of micrometers. 126- This paper size applies to China National Standard (CNS) A4 specification (210 × 297 mm) 540086 A7 R7 5. Silicon crystal of invention description (124) Grain size. For other devices that require higher performance and a grain size of hundreds of microns, the high temperature annealing step discussed below is required. The next step 5432 is to strip the remaining transition metal layer 5428; H2S〇4 can be used: H2O2 (4: 1), performed at 70 ° C. Then step 5434, which strips the LTO layer 5420 with HF. Finally, if necessary, a high temperature annealing step 5436 (for example, in a N2 atmosphere at 900 ° C for 30 minutes) to further crystallize the partially crystallized a-Si to form even larger grains Silicon crystal (size > 100 microns). This step gives the crystalline a-Si layer (i.e., a large grain polycrystalline silicon layer) performance characteristics similar to the conventional SOI (silicon on insulator) CMOS technology. Note that the transition metal crystalline semiconductor materials used in this article will contain detectable trace transition metals to facilitate crystallization. In normal semiconductor processing, trace amounts of transition metals (typically Fe and Ni) will escape the structure of the semiconductor manufacturing equipment (usually containing stainless steel), and will be embedded in the TFT channel to form the semiconductor film. Normally, these transition metal stocks are at levels of less than about 1014 atoms / cc. However, in the transition metal crystallization, more trace metals exceeding about 1014 atoms / cc and up to about 1018 atoms / cc are left in the crystalline semiconductor material after processing. There is usually no pollution problem; however, if a gradient of such pollution is required, the gettering material can be placed in the source and / or drain region of the D-FT to increase the pollution at the respective source and / Or the concentration in the drain region, thereby reducing the concentration of such contamination in the channel region. Due to excessive transition metal contamination, device formation should be avoided in the seed window region 5424+. The above-mentioned metal-induced crystallization method can be used to recrystallize the active semiconductor layer of any of the above devices. In this way, in the recrystallized a-Si or polycrystalline silicon, each can be formed. _-127-_ This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm).

線 540086 A7 R7 五、發明説明(125 ) 種不同組態之導柱式TFTs、自對準TFTs、導軌堆疊式TFTs及 二極體(即,含一或更多個p-n接面之活性半導體層)。 VII.金屬化 在上述種不同的具體實施例中,係形成一金屬矽化物層, 諸如多晶碎字線或位元線,而接觸一 ^夕層。有一較佳方法係 使用一矽蓋(cap)及一 TiN層,而形成一矽化鈦層,接觸一矽層 。該矽化鈦層形成於一未摻雜的非晶系矽蓋層。該蓋層形成 於一濃厚摻雜的矽層上,該摻雜的矽層諸如多晶矽或非晶 系矽層,而摻雜濃度超過1019/立方厘米(諸如1019/立方厘米至 1021/立方厘米)。該蓋層宜基於在P+多晶矽或N+非晶系矽層 上。該N+非晶系矽可於後續的退火步驟中再結晶成N+多晶 形成矽化鈦(TiSi2)層之方法包含以下步驟。沈積一濃度摻 雜的多晶矽層。例如P+多晶矽層,其可為硼,而摻雜濃度為 5 X 102G/立方厘米,且厚度約1400埃。在該P+多晶矽層上沈積 一蓋層之未摻雜的非晶系矽。該蓋舉例來說可厚600埃。在 該蓋上沈積一鈦層。該鈦層舉例來說可厚250埃。在該鈦層 上沈積一氮化鈦層。該氮化鈦層舉例來說可厚100埃。必要 時,也可用其他的層厚。 該數層係在溫度低於650°C下退火,為時不滿五分鐘,使 鈦與蓋中的矽反應而形成C49相(phase)之TiSi2層。舉例來說, 可在60(fS下施行退火1分鐘之久:必要時,在該堆疊上基於 另一 P+多晶矽層,並將該堆疊蝕刻成一薄「線(wire)」或「軌 (rail)」,諸如字線或位元線。該線或軌寬可為0.25毫米或更小 -128- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂Line 540086 A7 R7 V. Description of the invention (125) Different configurations of pillar-type TFTs, self-aligned TFTs, rail-stacked TFTs, and diodes (ie, active semiconductor layers with one or more pn junctions ). VII. Metallization In the different embodiments described above, a metal silicide layer is formed, such as a polycrystalline word line or a bit line, and contacts the first layer. A better method is to use a silicon cap and a TiN layer to form a titanium silicide layer and contact a silicon layer. The titanium silicide layer is formed on an undoped amorphous silicon cap layer. The capping layer is formed on a thickly doped silicon layer, such as a polycrystalline silicon or an amorphous silicon layer, and the doping concentration exceeds 1019 / cm3 (such as 1019 / cm3 to 1021 / cm3). . The capping layer should preferably be based on a P + polycrystalline silicon or N + amorphous silicon layer. The N + amorphous silicon can be recrystallized into N + polycrystals in a subsequent annealing step. A method for forming a titanium silicide (TiSi2) layer includes the following steps. A doped polycrystalline silicon layer is deposited. For example, the P + polycrystalline silicon layer may be boron, and the doping concentration is 5 × 102G / cm3, and the thickness is about 1400 Angstroms. A capping layer of undoped amorphous silicon is deposited on the P + polycrystalline silicon layer. The cover may be 600 angstroms thick, for example. A titanium layer is deposited on the cover. The titanium layer can be, for example, 250 Angstroms thick. A titanium nitride layer is deposited on the titanium layer. The titanium nitride layer can be, for example, 100 angstroms thick. If necessary, other layer thicknesses can be used. These layers are annealed at a temperature below 650 ° C for less than five minutes. The titanium reacts with the silicon in the cap to form a Ti49 layer in the C49 phase. For example, annealing can be performed at 60 ° F for 1 minute: if necessary, based on another P + polycrystalline silicon layer on the stack, and the stack is etched into a thin "wire" or "rail" ", Such as word lines or bit lines. The line or track width can be 0.25 mm or less -128- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) binding

線 540086 A7 R7 五、發明説明(126 ) 。該矽化鈦再藉高溫(即,650°C以上)退火,由C49變換為C54 相。舉例來說,可在線或軌圖型化前或後,在800°C下退火, 為時一分鐘。在650°C以下將每一 Si/Ti/TiN膜堆疊退火,最小 化了摻雜劑擴散及丁iSi2之熱槽紋形成。多膜堆疊乃得以順序 地基於並蝕刻。 以上所呈本發明之說明,目的在於闡示及敘述。並非意欲 詳盡一切,或限制本發明於所揭露的精確形式;徵諸以上傳 授所做的,或本發明實際所需的修正及變動,乃是可能的。 所選的圖式及說明,是為解釋本發明之原理及其實際應用。 該等圖式無成比例之必要,而係以概示方塊格式來闡示陣 列。希望本發明之範圍由附錄的專利請求項及其等同者所 定義。 -129- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)Line 540086 A7 R7 V. Description of the invention (126). The titanium silicide is then annealed at a high temperature (that is, above 650 ° C) to transform from C49 to C54 phase. For example, it can be annealed at 800 ° C for one minute before or after on-line or rail pattern patterning. Each Si / Ti / TiN film stack is annealed below 650 ° C, which minimizes dopant diffusion and the formation of thermal grooves on SiSi2. Multiple film stacks are sequentially based and etched. The description of the present invention presented above is for the purpose of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed; it is possible to seek corrections and alterations made by uploading the teachings, or the invention is actually required. The drawings and descriptions are chosen to explain the principle of the present invention and its practical application. These diagrams are not necessarily proportional, and the arrays are illustrated in an outline block format. It is intended that the scope of the invention be defined by the appended patent claims and their equivalents. -129- This paper size applies to China National Standard (CNS) A4 (210X297 mm)

Claims (1)

A8 B8 C8 D8 第090119945號專利申請案 中文申請專利範圍替換本(92年1月) ----- 申請專利範圍 1 •一種記憶裝置,其包含: 一第一輸入/輸出導體,形成於一基板之一第一平上面 或之上; 一第二輸入/輸出導體; 一半導體區域,位於該第一輸入/輸出導體與該第二輸 入/輸出導體之間,在彼等投影相交處; 一電荷儲存媒體;其中 儲存在孩電荷儲存媒體中的電荷影響該第一輸入/輸出 導體與該第二輸入/輸出導體之間的流動電流量。 2 ·如申μ專利範圍第丨項之記憶裝置,其中該電荷儲存媒體 形成於該第一輸入/輸出導體與該第二輸入/輸出導體相 交處間。 3·如申請專利範圍第2項之記憶裝置,其中該電荷儲存媒體 直接形成於該半導體區域上。 4.如申請專利範圍第1項之記憶裝置,其中該電荷儲存媒體 形成於該半導體區域鄰近。 5 ·如申請專利範圍第4項之記憶裝置,其進一步包含一形成 於該電荷儲存媒體鄰近之控制閘極。 6 ·如申請專利範圍第1項之記憶裝置,其中電流直交於該基 板平面之方向而流過該半導體區域。 7 ·如申請專利範圍第1項之記憶裝置,其中該半導體區域包 含摻雜的矽。 8· 一種記憶裝置,其包含: 一第一輸入/輸出導體,形成於一基板之一第一平上面 540086 A8 B8 C8 D8A8 B8 C8 D8 Patent Application No. 090119945 Chinese Patent Application Replacement (January 1992) ----- Patent Application Scope 1 • A memory device including: a first input / output conductor formed in a One or more of the substrates is above or above a first plane; a second input / output conductor; a semiconductor region between the first input / output conductor and the second input / output conductor at the intersection of their projections; A charge storage medium; wherein the charge stored in the charge storage medium affects the amount of current flowing between the first input / output conductor and the second input / output conductor. 2. The memory device according to item 1 of the patent application scope, wherein the charge storage medium is formed between the intersection of the first input / output conductor and the second input / output conductor. 3. The memory device of claim 2 in which the charge storage medium is formed directly on the semiconductor region. 4. The memory device of claim 1 in which the charge storage medium is formed adjacent to the semiconductor region. 5. The memory device according to item 4 of the patent application scope, further comprising a control gate formed adjacent to the charge storage medium. 6. The memory device according to item 1 of the patent application range, wherein the current flows orthogonally in the direction of the plane of the substrate and flows through the semiconductor region. 7. The memory device of claim 1 in which the semiconductor region contains doped silicon. 8. A memory device comprising: a first input / output conductor formed on a first flat surface of a substrate 540086 A8 B8 C8 D8 、申請專利範圍 或之上; 一第二輸入/輸出導體,形成於該第一輸入/輸出導體 之上,且與該第一輸入/輸出導體有一投影相交處; 一矽主體,位於該第一輸入/輸出導體與該第二輸入/ 輸出導體之間,且與該第一與第二輸入/輸出導體之相交 處直接對準; 一電荷儲存媒體;其中 讀出電流在該第一輸入/輸出導體與該第二輸入/輸出 導體之間流過該主體,而方向直交於該基板平面,且其 中儲存在該電荷儲存媒體中的電荷影響該第一輸入/輸出 導體與該第二輸入/輸出導體之間施一給定電壓下的流動 電流量。 9 .如申請專利範圍第8項之記憶裝置,其中該電荷儲存媒體 直接形成於該矽主體上,且與該第一與第二輸入/輸出導 體之相交處直接對準。 10. 如申請專利範圍第8項之記憶裝置,其中該電荷儲存媒體 形成於該矽主體鄰近。 11. 如申請專利範圍第8項之記憶裝置,其進一步包含一形成 於該電荷儲存媒體鄰近之控制閘極。 12. —種記憶裝置,其包含: 一第一輸入/輸出導體’形成於一基板之一第一平上面 或之上; 一第三輸入/輸出導體,形成於該第一輸入/輸出導體 之上; -2- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)A patent application scope or above; a second input / output conductor formed on the first input / output conductor and having a projection intersection with the first input / output conductor; a silicon body located on the first An input / output conductor and the second input / output conductor are directly aligned with the intersection of the first and second input / output conductors; a charge storage medium; wherein the readout current is at the first input / output The body flows between the conductor and the second input / output conductor, and the direction is orthogonal to the plane of the substrate, and the charge stored in the charge storage medium affects the first input / output conductor and the second input / output. The amount of current flowing at a given voltage between conductors. 9. The memory device of claim 8 in which the charge storage medium is formed directly on the silicon body and is directly aligned with the intersection of the first and second input / output conductors. 10. The memory device of claim 8 in which the charge storage medium is formed adjacent to the silicon body. 11. The memory device according to item 8 of the patent application scope, further comprising a control gate formed adjacent to the charge storage medium. 12. A memory device comprising: a first input / output conductor formed on or above a first flat surface of a substrate; and a third input / output conductor formed on the first input / output conductor. Upper; -2- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) Hold 々、申請專利範圍 一第三輸入/輸出導體》形成於該第二輸入/輸出導體 之上; 一第一半導體區域,位於該第一輸入/輸出導體與該第 二輸入/輸出導體的投影相交處之間, 一第二半導體區域,位於該第二輸入/輸出導體與該第 三輸入/輸出導體的投影相交處之間;以及 一第一電荷儲存媒體,其影響該第一輸入/輸出導體與 該第二輸入/輸出導體之間的流動電流量。 13. —種記憶裝置,其包含: 一二極體,具有一第一區域及一第二區域; 一第一電荷儲存區域; 一絕緣區域,配置於該電荷儲存區域鄰近; 一第一接點,與第一區域接觸;以及 一第二接點;其中 一跨越該第一與第二接點之預定電位引起一電流,流 過該二極體、該絕緣區域及該電何儲存區域。 14. 如申請專利範圍第13項之記憶裝置,其包含一第二絕緣 區域,配置於該第二接點及該儲存區域鄰近,而對立於 該第一絕緣區域。 15. 如申請專利範圍第13項之記憶裝置,其中該絕緣區域為 氧化物區域。 16. 如申請專利範圍第13項之記憶裝置,其中該儲存區域包 含一含氮化合物。 Π.如申請專利範圍第16項之記憶裝置,其中該化合物包含 -3- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A8 B8 C8 D8 、申請專利範圍 氧。 18. 如申請專利範圍第16項之記憶裝置,其中該化合物包含 石夕。 19. 如申請專利範圍第16項之記憶裝置,其中該儲存區域包 含礬土。 20. 如申請專利範圍第13項之記憶裝置,其中該二極體包含 捧雜的基板區域。 21. 如申請專利範圍第14或15項之記憶裝置,其中該二極體 包含配置於一基板之上之層。 22. 如申請專利範圍第15項之記憶裝置,其中該氧化物區域 大約1-5奈米厚。 23. 如申請專利範圍第22項之記憶裝置,其中該氧化物區域 大約2-3奈米厚。 24. —種非揮發性讀寫記憶體單元,其包含: 一 N摻雜的區域; 一 P摻雜的區域; 一儲存元件,配置於該N摻雜的區域與該P摻雜的區域 之間;以及 一些導體,用來使電流通過該N摻雜的區域、該P摻雜 的區域及該儲存元件。 25. 如申請專利範圍第24項之記憶體單元,其中該儲存元件 包含一第一氧化物區域。 26. 如申請專利範圍第25項之記憶體單元,其中該儲存元件 包含一第二氧化物區域。 -4- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐)々, the scope of patent application-a third input / output conductor "is formed on the second input / output conductor; a first semiconductor region is located at the intersection of the projection of the first input / output conductor and the second input / output conductor Between a second semiconductor region and a projection intersection of the second input / output conductor and the third input / output conductor; and a first charge storage medium that affects the first input / output conductor The amount of current flowing to and from the second input / output conductor. 13. A memory device comprising: a diode having a first region and a second region; a first charge storage region; an insulating region disposed adjacent to the charge storage region; a first contact Contacting the first region; and a second contact; one of the predetermined potentials across the first and second contacts causes a current to flow through the diode, the insulation region, and the electrical storage region. 14. The memory device according to item 13 of the patent application scope, which includes a second insulation region, which is disposed adjacent to the second contact and the storage region, and is opposed to the first insulation region. 15. The memory device as claimed in claim 13, wherein the insulating region is an oxide region. 16. The memory device of claim 13 in which the storage area contains a nitrogen-containing compound. Π. If the memory device under the scope of the patent application is applied for item 16, the compound contains -3- This paper size applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A8 B8 C8 D8, patent scope oxygen. 18. The memory device of claim 16 in which the compound comprises Shi Xi. 19. The memory device of claim 16 in which the storage area contains alumina. 20. The memory device of claim 13 in which the diode includes a substrate region. 21. The memory device of claim 14 or 15, wherein the diode includes a layer disposed on a substrate. 22. The memory device of claim 15 in which the oxide region is about 1-5 nanometers thick. 23. The memory device of claim 22, wherein the oxide region is about 2-3 nanometers thick. 24. A non-volatile read-write memory cell, comprising: an N-doped region; a P-doped region; a storage element disposed between the N-doped region and the P-doped region And some conductors for passing a current through the N-doped region, the P-doped region, and the storage element. 25. The memory unit of claim 24, wherein the storage element includes a first oxide region. 26. The memory cell of claim 25, wherein the storage element includes a second oxide region. -4- This paper size is applicable to China National Standard (CNS) A4 (210X297 mm) 裝 玎Pretend 540086 A8 B8 C8 D8 々、申請專利範圍 27. 如申請專利範圍第25項之記憶體單元,其中該儲存元件 包含一含氮區域。 28. 如申請專利範圍第27項之記憶體單元,其中該儲存元件 包含一第二氧化物區域。 29. 如申請專利範圍第24項之記憶體單元,其中該儲存元件 直接電接觸至少一個摻雜的區域。 30. 如申請專利範圍第24項之記憶體單元,其中該儲存元件 包含$夕。 31. 如申請專利範圍第25項之記憶體單元,其中該儲存元件 包含礬土。 32. 如申請專利範圍第24項之記憶體單元,其中至少一個摻 雜的區域配置於一基板之中。 33. 如申請專利範圍第24項之記憶體單元,其中至少一個摻 雜的區域配置於一基板之上。 34. —種用以操作具有一二極體元件與含有一電荷儲存區域 之一電荷儲存元件之記憶體單元之方法,該方法包含有 將一前向偏壓施加於該二極體元件,使得該單元導電 以程式化該單元,並在一前向電流通過該電荷儲存區域 時’將電何捕捉於該電何儲存區域内, 將一前向偏壓施加於該二極體元件,使得該單元導電 以讀取該單元,並決定高於一預定電流臨界值之一電流 是否通過該電荷儲存區域。 -5- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝540086 A8 B8 C8 D8 々. Patent application scope 27. For example, the memory unit of the patent application scope item 25, wherein the storage element includes a nitrogen-containing region. 28. The memory cell of claim 27, wherein the storage element includes a second oxide region. 29. The memory cell of claim 24, wherein the storage element is in direct electrical contact with at least one doped region. 30. The memory unit according to item 24 of the patent application, wherein the storage element includes $ X. 31. The memory unit of claim 25, wherein the storage element comprises alumina. 32. For example, in the memory unit of claim 24, at least one doped region is arranged in a substrate. 33. For example, in the memory unit of claim 24, at least one doped region is disposed on a substrate. 34. A method for operating a memory cell having a diode element and a charge storage element containing a charge storage region, the method comprising applying a forward bias to the diode element such that The cell conducts electricity to program the cell, and when a forward current passes through the charge storage region, 'captures electricity in the region, and applies a forward bias to the diode element, so that The cell conducts electricity to read the cell and determines whether a current higher than a predetermined current threshold passes through the charge storage region. -5- This paper size applies to China National Standard (CNS) A4 (210X297 mm). 修正 補充 年月日 540086 Α8 Β8 C8 D8 六、申請專利範圍 35·如申請專利範圍第34項之方法,其中一電流在一第一方 向流動,以程式化並讀出該單元。 36. 如申請專利範圍第3 5項之方法,另包含有將一反向偏壓 施加於該二極體元件以抹除該單元,並使一電流以一第 二方向流過該電荷儲存區域。 37. 如申請專利範圍第36項之方法,其中該程式化步驟包含 :使一電流在一第一方向通過該儲存元件。 38. 如申請專利範圍第37項之方法,其中該抹除步騾包含: 使一電流在一第二方向通過該儲存元件。 39. —種具有一二極體及一氧化物區域之改良結構,在該二 極體受一正向偏壓時展現一負電阻特性,該改良結構包 含·· 一用以陷獲電荷之儲存區域,配置於該氧化物區域鄰 近,以致穿過該二極體及氧化物區域之電流通過該儲存 區域。 40. 如申請專利範圍第39項之改良結構,其中該儲存區域包 含一含氮化合物。 41. 如申請專利範圍第40項之改良結構,其中該化合物包含 氧。 42. 如申請專利範圍第41項之改良結構,其中該化合物包含 矽。 43. 如申請專利範圍第39項之改良結構,其中該儲存區域包 含礬土。 44. 一種記憶體單元陣列,其包含: -6 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)Amendment Supplement Date 540086 Α8 Β8 C8 D8 VI. Application for patent scope 35. For the method of applying for the scope of patent No. 34, a current flows in the first direction to program and read the unit. 36. The method of claim 35, further comprising applying a reverse bias to the diode element to erase the cell, and causing a current to flow through the charge storage region in a second direction. . 37. The method of claim 36, wherein the stylizing step includes: passing a current through the storage element in a first direction. 38. The method of claim 37, wherein the erasing step comprises: passing a current through the storage element in a second direction. 39. An improved structure with a diode and an oxide region, which exhibits a negative resistance characteristic when the diode is subjected to a forward bias, the improved structure includes ... A region is disposed adjacent to the oxide region so that a current passing through the diode and the oxide region passes through the storage region. 40. The improved structure of claim 39, wherein the storage area contains a nitrogen-containing compound. 41. The improved structure of claim 40, wherein the compound contains oxygen. 42. The improved structure according to item 41 of the application, wherein the compound comprises silicon. 43. The improved structure of claim 39, wherein the storage area contains alumina. 44. A memory cell array, comprising: -6-This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) /旻數個單元’含.有至少_個半導體區域,及一用以陷 獲電荷之儲存區域;以及 乙制構件,用以控制電流穿過該半導體區域及該儲 存區域之流動。 45·如申請專利範圍第44項之陣列,其中該控制㈣配置於 單元之中。 46.如申請專利範圍第44項之陣列,其中至少一個半導體區 域為N型。 47·如申請專利範圍第46項之陣列,其中該控制構件包含一p 型半導體區域,且配置於N型半導體區域鄰近。 48. ^申請專利範圍第47項之陣列,其中該儲存構件包含一 氧》化物區域。 49·如中請專利範圍第48項之陣列,其中該儲存構件包含一 含氮化合物。 =申請專利範圍第44項之陣列,其中該控制構件配置於 單元之外。 51·如申請專利範圍第44項之陣列,其中該單元之半導體區 域配置於基板之中。 52. 如申請專利範圍第44項之陣列,其中該單元之半導體區 域由多晶碎所形成。 53. 如申請專利範圍第47項之陣列,其中該儲存構件包含一 n 通道%效應電晶體’内有該Ν型區域。 54. —種具有Ν級(Ν大於或等於2)之記憶體陣列,製造於一基 板之上’其每一級包含·· 540086 A B c D 々、申請專利範圍 第一間隔分開的導體,在一平行於該基板之第一平上 面; 第二間隔分開的導體,在一平行於該基板且位於第一 平面之上之第二平上面; 複數個單元,一個一個配置在每對第一與第二導體之 間,每一單元包含: 一引導元件,其在一個方向上較易導電流;以及 一儲存堆疊,包含第一及第二氧化物區域,及在該 等氧化物區域間之一儲存區域;其中 該引導元件及該儲存堆疊係該第一與第二導體之間 ,以致來自一個導體的電流在到達第二導體之前,通 過該引導元件、第一氧化物、儲存區域及第二氧化物 〇 55. 如申請專利範圍第54項之記憶體陣列,其中一第N-1級中 的第二導體為其之上及之下的單元所分享。 56. 如申請專利範圍第54項之記憶體陣列,其中該引導元件 包含一 P型區域及一η型區域,而該儲存堆疊至少接觸該N 型摻雜的區域。 57. —種三維記憶體陣列,具有複數個配置於一基板之上之 級,每一級皆具有非線性元件,該陣列另包含: 一儲存堆疊,聯合該等非線性元件之每一個,包含一 用以陷獲電荷之儲存區域,其配置於氧化物區域之間, 以致穿過非該等線性元件之電流通過該等氧化物區域其 中一個、儲存區域及另一氧化物區域。 -8- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)A plurality of cells include at least one semiconductor region and a storage region for trapping electric charges; and a B component for controlling a current flow through the semiconductor region and the storage region. 45. The array of claim 44 in which the control unit is arranged in a unit. 46. The array of claim 44 in which at least one semiconductor region is N-type. 47. The array of claim 46, wherein the control member includes a p-type semiconductor region and is disposed adjacent to the N-type semiconductor region. 48. The array of claim 47, wherein the storage member includes an oxide region. 49. The array of claim 48, wherein the storage member comprises a nitrogen-containing compound. = An array of 44 scope of patent application, wherein the control member is arranged outside the unit. 51. The array according to item 44 of the patent application, wherein the semiconductor region of the unit is arranged in the substrate. 52. The array of claim 44 in which the semiconductor region of the unit is formed by polycrystalline debris. 53. The array of claim 47, wherein the storage member includes an n-channel% effect transistor ' with the N-type region. 54. — A memory array with N-level (N is greater than or equal to 2), manufactured on a substrate 'each level contains ... 540086 AB c D Parallel to the first plane above the substrate; second spaced apart conductors above a second plane parallel to the substrate and above the first plane; a plurality of units, one by one arranged on each pair of first and Between the two conductors, each cell includes: a guide element that is more conductive in one direction; and a storage stack containing the first and second oxide regions, and stored in one of the oxide regions Area; wherein the guide element and the storage stack are between the first and second conductors, so that a current from one conductor passes through the guide element, the first oxide, the storage area, and the second oxide before reaching the second conductor 055. As for the memory array of the scope of application for patent No. 54, a second conductor in a level N-1 is shared by the units above and below it. 56. The memory array according to item 54 of the application, wherein the guide element includes a P-type region and an n-type region, and the storage stack contacts at least the N-type doped region. 57. A three-dimensional memory array having a plurality of stages arranged on a substrate, each stage having a non-linear element, the array further comprising: a storage stack, uniting each of the non-linear elements, including a The storage region for trapping charges is arranged between the oxide regions so that a current passing through a non-linear element passes through one of the oxide regions, the storage region, and the other oxide region. -8- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 540086 AS B8 C8 ‘ ,. D8 六、申請專利範圍 58. 如申、請專利範圍第57項之記憶體陣列,其中電荷藉一至 少第一預定密度下之電流在一第一方向通過,而陷獲於 該儲存區域。 59. 如申請專利範圍第58項之記憶體陣列,其中陷獲於該儲 存區域的電荷藉一小於第二預定密度下之電流在第一方 向通過,而受感測,該第二密度係小於該第一密度。 60. 如申請專利範圍第59項之記憶體陣列,其中陷獲的電荷 藉一電流在一對立於第一方向之第二方向穿過該儲存區 域,而中和。 61. 如申請專利範圍第60項之記憶體陣列,其中該電流在一 第一方向通過時,非線性元件受正向偏壓。 62. 如申請專利範圍第61項之記憶體陣列,其中該儲存區域 包含一含氮化合物。 63. 如申請專利範圍第62項之記憶體陣列,其中該非線性元 件包含一 N型半導體區域,鄰近於該等氧化物其中一個。 64. —種記憶體,其包含: 一單晶狀基板,具有一平面; 一第一接點,在該基板平上面或之上; 一主體,在該第一接點上; 一第二接點,在該主體上,其中該第二接點於該第一 接點上面大致垂直地對準; 一電荷儲存媒體,鄰近於該,其中讀出電流在該第一 接點與該第二接點間流動,方向直交於該基板平面;以 及 -9- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)540086 AS B8 C8 ',. D8 VI. Patent application range 58. If applied, please apply for the memory array of item 57 in which the charge passes through a first direction at a current of at least a first predetermined density and traps Obtained in the storage area. 59. For example, a memory array according to item 58 of the application, wherein the electric charge trapped in the storage area passes in a first direction by a current smaller than a second predetermined density, and the second density is less than The first density. 60. For example, the memory array of the patent application No. 59, wherein the trapped charges are neutralized by passing a current through the storage area in a pair of directions opposite to the first direction. 61. The memory array of claim 60, wherein when the current flows in a first direction, the non-linear element is forward biased. 62. The memory array of claim 61, wherein the storage area includes a nitrogen-containing compound. 63. The memory array of claim 62, wherein the non-linear element includes an N-type semiconductor region adjacent to one of the oxides. 64. A memory comprising: a single crystalline substrate having a plane; a first contact on or above the substrate; a main body on the first contact; a second contact Point on the main body, wherein the second contact point is aligned substantially vertically above the first contact point; a charge storage medium is adjacent to the charge point, and a readout current is at the first contact point and the second contact point. Flow between points, the direction is orthogonal to the plane of the substrate; and -9- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 裝 m 540086 A B c D 々、申請專利範圍 一控制閘極,鄰近於該電荷儲存媒體。 65. 如申請專利範圍第64項之記憶體,其中該主體包含矽。 66. 如申請專利範圍第64項之記憶體,其中該主體包含一介 電質膜,其由一矽膜所圍繞。 67. 如申請專利範圍第64項之記憶體,其中該電荷儲存媒體 包含一介電質堆疊。 68. 如申請專利範圍第64項之記憶體,其中該電荷儲存媒體 包含一石夕浮動閘極,其藉一介電質而與該主體分離。 69. 如申請專利範圍第64項之記憶體,其中該電荷儲存媒體 包含一浮動閘極,其由導電奈米晶體所形成且藉一介電 質而與該主體分離。 70. —種記憶體,其包含: 一矽晶體基板,具有一平面; 一第一矽膜,具有一第一導電率型及該第一導電率型 摻雜劑之一第一濃度,而形成於該基板之上; 一第二矽膜,具有一第二導電率型及該第二導電率型 掺雜劑之一第二濃度,其中該第一濃度大於該第二濃度 9 一第三矽膜,具有該第一導電率型及該第一導電率型 摻雜劑之一第三濃度,其中該第一矽膜與該第三矽膜大 致垂直地對準; 一電荷儲存媒體,鄰近於該第二矽膜;以及 一控制閘極,鄰近於該電荷儲存媒體。 71. 如申請專利範圍第70項之記憶體,其中該電荷儲存媒體 -10- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)Device 540086 A B c D 々, patent application scope A control gate, adjacent to the charge storage medium. 65. The memory of claim 64, wherein the subject comprises silicon. 66. The memory of claim 64, wherein the main body includes a dielectric film surrounded by a silicon film. 67. The memory of claim 64, wherein the charge storage medium comprises a dielectric stack. 68. For example, the memory of claim 64, wherein the charge storage medium includes a floating gate, which is separated from the main body by a dielectric. 69. The memory of claim 64, wherein the charge storage medium includes a floating gate formed of a conductive nanocrystal and separated from the body by a dielectric. 70. A memory comprising: a silicon crystal substrate having a flat surface; a first silicon film having a first conductivity type and a first concentration of one of the first conductivity type dopants to form On the substrate; a second silicon film having a second conductivity type and a second concentration of one of the second conductivity type dopants, wherein the first concentration is greater than the second concentration 9 a third silicon A film having the first conductivity type and a third concentration of the first conductivity type dopant, wherein the first silicon film and the third silicon film are aligned substantially perpendicularly; a charge storage medium adjacent to The second silicon film; and a control gate, which is adjacent to the charge storage medium. 71. If you apply for the memory of item 70 of the patent scope, where the charge storage medium -10- This paper size applies to China National Standard (CNS) A4 specifications (210 X 297 mm) 540086 A8 B8 C8 D8 、申請專利範圍 包含一 ΟΝΟ膜。 72. 如申請專利範圍第70項之記憶體,其中該電荷儲存媒體 包含一第一介電質層,鄰近於該第二碎膜;一浮動閘極 ,鄰近於該第一介電質層;以及,一第二介電質層,在 該浮動閘極與該控制閘極之間。 73. 如申請專利範圍第72項之記憶體,其中該浮動閘極包含 奈米晶體。 74. 如申請專利範圍第72項之記憶體,其中該浮動閘極包含 一連續矽膜。 75. 如申請專利範圍第70項之記憶體,其中該第一導電率型 為Ν型導電率,且該第二導電率型為Ρ型導電率。 76. —種記憶體,其包含: 一第一金屬接點,形成於一基板之上; 一矽膜,具有一第一導電率型,形成於該第一金屬接 點上,而與該第一金屬接點形成一蕭特基接面; 一第二金屬接點,形成於該矽膜上,而與該矽膜形成 一第二蕭特基接面; 一電荷儲存媒體,鄰近於該矽膜;以及 一控制閘極,鄰近於該電荷儲存媒體。 77. 如申請專利範圍第76項之記憶體,其中該電荷儲存媒體 包含一 ΟΝΟ膜。 78. 如申請專利範圍第76項之記憶體,其中該電荷儲存媒體 包含一第一介電質,鄰近於該碎膜;一浮動閘極,鄰近 於該第一介電質;以及,一第二介電質,在該浮動閘極 -11 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐)540086 A8 B8 C8 D8, the scope of patent application includes a 100NO film. 72. The memory of claim 70, wherein the charge storage medium includes a first dielectric layer adjacent to the second broken film; a floating gate adjacent to the first dielectric layer; And, a second dielectric layer is between the floating gate and the control gate. 73. The memory of claim 72, wherein the floating gate comprises a nanocrystal. 74. The memory of claim 72, wherein the floating gate includes a continuous silicon film. 75. According to the memory of claim 70, the first conductivity type is N-type conductivity, and the second conductivity type is P-type conductivity. 76. A memory comprising: a first metal contact formed on a substrate; a silicon film having a first conductivity type formed on the first metal contact and connected to the first metal contact A metal contact forms a Schottky interface; a second metal contact is formed on the silicon film and forms a second Schottky interface with the silicon film; a charge storage medium is adjacent to the silicon A membrane; and a control gate adjacent to the charge storage medium. 77. The memory of claim 76, wherein the charge storage medium comprises a 100N film. 78. The memory of claim 76, wherein the charge storage medium includes a first dielectric, adjacent to the broken film; a floating gate, adjacent to the first dielectric; and Two dielectrics, at the floating gate-11-This paper size applies the Chinese National Standard (CNS) Α4 specification (210 X 297 mm) 裝 玎Pretend 540086 A B c D 正充 欠乡甫 f 才 曰 月 年 六、申請專利範圍 與該控制閘極之間。 79. 如申請專利範圍第78項之記憶體,其中該浮動閘極包含 奈米晶體。 80. 如申請專利範圍第78項之記憶體,其中該浮動閘極為一 矽浮動閘極。 81. 如申請專利範圍第78項之記憶體,其中該第一導電率型 為P型導電率。 82. —種記憶體,其包含: 一第一碎膜,具有一第一導電率型,形成於一基板之 上; 一第一介電質層,形成於該第一矽膜上; 一第二矽膜,具有該第一導電率型,形成於該第一介 電質層上; 一第三石夕膜,鄰近於且接觸該第一碎膜、該第一介電 質層及該第二矽膜,其中該第三矽膜有一相反於該第一 導電率型之第二導電率型; 一電荷儲存媒體,鄰近於該第三矽膜;以及 一控制閘極,鄰近於該電荷儲存媒體。 83. 如申請專利範圍第82項之記憶體,其中該電荷儲存媒體 為一 ΟΝΟ膜。 84. 如申請專利範圍第82項之記憶體,其中該電荷儲存媒體 包含一第二介電質,鄰近於該第三矽膜;一浮動閘極, 鄰近於該第二介電質;以及,一第三介電質,在該浮動 閘極與該控制閘極之間。 -12- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086540086 A B c D is not enough, but only after the year f. Between the scope of patent application and the control gate. 79. The memory of claim 78, wherein the floating gate comprises a nanocrystal. 80. For example, the memory of claim 78, wherein the floating gate is a silicon floating gate. 81. For example, the memory of claim 78, wherein the first conductivity type is a P-type conductivity. 82. A memory comprising: a first broken film having a first conductivity type formed on a substrate; a first dielectric layer formed on the first silicon film; a first Two silicon films having the first conductivity type are formed on the first dielectric layer; a third stone film is adjacent to and contacts the first broken film, the first dielectric layer, and the first dielectric layer; Two silicon films, wherein the third silicon film has a second conductivity type opposite to the first conductivity type; a charge storage medium adjacent to the third silicon film; and a control gate adjacent to the charge storage media. 83. The memory of claim 82, wherein the charge storage medium is a 100N film. 84. The memory of claim 82, wherein the charge storage medium includes a second dielectric adjacent to the third silicon film; a floating gate adjacent to the second dielectric; and, A third dielectric is between the floating gate and the control gate. -12- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 540086 々、申請專利範圍 85. 如申請專利範圍第84項之記憶體,其中該浮動閘極由奈 米晶體形成。 86. 如申請專利範圍第84項之記憶體,其中該浮動閘極由矽 形成。 87. 如申請專利範圍第82項之記憶體,其中該第一導電率型 為N型導電率,且該第二導電率型為P型導電率。 88. —種記憶體,其包含: ^基板 一第一矽膜,具有一第一導電率型及該第一導電率型 摻雜劑之一第一濃度,而在該基板上面; 一第二矽膜,具有該第一導電率型摻雜劑之一第二濃 度,而在該第一矽膜上,其中該第二濃度小於該第一濃 度; 一第三矽膜,具有一第二導電率型,形成於該第二矽 膜上; 一電荷儲存媒體,鄰近於該第二矽膜;以及 一控制閘極,鄰近於該電荷儲存媒體。 89. 如申請專利範圍第88項之記憶體,其中該電荷儲存媒體 包含一 ΟΝΟ膜。 90. 如申請專利範圍第88項之記憶體,其中該電荷儲存裝置 包含一第一介電質,鄰近於該第二碎膜;一浮動閘極, 鄰近於該第一介電質;以及,一第二介電質,在該浮動 閘極與該控制閘極之間。 91. 如申請專利範圍第90項之記憶體,其中該浮動閘極由奈 -13- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂 m 540086 A BCD 六、申請專利範圍 米晶體形成。 92. 如申請專利範圍第90項之記憶體,其中該浮動閘極由矽 形成。 93. 如申請專利範圍第88項之記憶體,其中該第一導電率型 為P型導電率,且該第二導電率型為N型導電率。 94. 一種記憶體,其包含: 一單晶狀$夕基板,具有一平面; 一介電質,形成於該單晶狀矽基板平面之上; 一第一接點,形成於該介電質之上; 一主體,形成於該第一接點之上; 一第二接點,形成於該主體之上; 一電荷儲存媒體,鄰近於該主體,其中讀出電流在該 第一接點與該第二接點間流動,方向直交於該基板平面 :以及 一控制閘極,鄰近於該電荷儲存媒體。 95. —種記憶體,其包含: 一第一導柱,含一第一接點、一在該第一接點上之主 體,及一在該主體上之第二接點; 一第二導柱,形成於該第一導柱之上,該第二導柱含 一第三接點、一形成於該第三接點上之第二主體,及一 形成於該第二主體上之第四接點; 一第一電荷儲存媒體,鄰近於該第一導柱; 一第二電荷儲存媒體,鄰近於該第二導柱;以及 一連續膜控制閘極,形成於該第一電荷儲存媒體及該 -14- 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐)范围. Scope of patent application 85. The memory of item 84 of the patent application scope, wherein the floating gate is formed of a nanocrystal. 86. The memory of claim 84, wherein the floating gate is formed of silicon. 87. For example, the memory of claim 82, wherein the first conductivity type is N-type conductivity, and the second conductivity type is P-type conductivity. 88. A memory, comprising: a substrate, a first silicon film, having a first conductivity type and a first concentration of the first conductivity type dopant on the substrate; a second A silicon film having a second concentration of one of the first conductivity type dopants, and on the first silicon film, wherein the second concentration is less than the first concentration; a third silicon film having a second conductivity A rate type is formed on the second silicon film; a charge storage medium is adjacent to the second silicon film; and a control gate is adjacent to the charge storage medium. 89. The memory of claim 88, wherein the charge storage medium comprises a 100N film. 90. The memory of claim 88, wherein the charge storage device includes a first dielectric material adjacent to the second broken film; a floating gate electrode adjacent to the first dielectric material; and, A second dielectric is between the floating gate and the control gate. 91. If you apply for the memory of item 90 of the patent scope, where the floating gate is made of -13- This paper size applies Chinese National Standard (CNS) A4 specifications (210 X 297 mm) binding m 540086 A BCD VI. Patent application Range of rice crystals formed. 92. The memory of claim 90, wherein the floating gate is formed of silicon. 93. For example, the memory of claim 88, wherein the first conductivity type is P-type conductivity, and the second conductivity type is N-type conductivity. 94. A memory, comprising: a single crystal substrate having a plane; a dielectric formed on the plane of the single crystal silicon substrate; a first contact formed on the dielectric A main body formed on the first contact; a second contact formed on the main body; a charge storage medium adjacent to the main body; and a readout current at the first contact and The directions between the second contacts are orthogonal to the plane of the substrate: and a control gate is adjacent to the charge storage medium. 95. A memory, comprising: a first guide post, including a first contact, a main body on the first contact, and a second contact on the main body; a second guide A post formed on the first guide post, the second guide post including a third contact, a second body formed on the third contact, and a fourth body formed on the second body A contact; a first charge storage medium adjacent to the first guide pillar; a second charge storage medium adjacent to the second guide pillar; and a continuous film control gate formed on the first charge storage medium and The -14- This paper size applies to China National Standard (CNS) A4 (210 x 297 mm) 540086 A B c D 六、申請專利範圍 第二電荷儲存媒體鄰近。 96. 如申請專利範圍第95項之記憶體,其中該第一電荷儲存 媒體包含一含奈米晶體之膜。 97. —種記憶體,其包含: 一第一導柱,含一第一接點、一在該第一接點上之主 體,及一在該主體上之第二接點; 一第二導柱,形成於該第一導柱之上,該第二導柱含 一第三接點、一形成於該第三接點上之第二主體,及一 形成於該第二主體上之第四接點; 一第一介電質,形成於該第一導柱及該第二導柱的側 壁上; 一奈米晶體膜,在該第一及第二導柱鄰近形成於該第 一介電質上及鄰近; 一第二介電質,形成於該奈米晶體鄭近; 一第一控制閘極,在該第一導柱鄰近形成於該第二介 電質鄰近;以及 一第二控制閘極,在該第二導柱鄰近形成於該第二介 電質鄰近。 98. 如申請專利範圍第97項之記憶體,其中該第一控制閘極 及該第二控制閘極由一連續膜形成。 99. 一種半導體裝置,其包含: 一單石三維電荷儲存裝置陣列,形成於一單晶狀半導 體基板上面之一非晶系或多晶狀半導體層中;以及 驅動器電路至少局部形成於該基板中,而在陣列以下 15- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X297公釐)540086 A B c D 6. Scope of patent application The second charge storage medium is adjacent. 96. The memory of claim 95, wherein the first charge storage medium includes a nanocrystal-containing film. 97. A memory, comprising: a first guide post, including a first contact, a main body on the first contact, and a second contact on the main body; a second guide A post formed on the first guide post, the second guide post including a third contact, a second body formed on the third contact, and a fourth body formed on the second body A contact; a first dielectric formed on a side wall of the first and second guide pillars; a nanocrystalline film formed on the first dielectric adjacent to the first and second guide pillars In nature and near; a second dielectric formed in the nano crystal Zheng Jin; a first control gate formed in the vicinity of the first guide pillar in the vicinity of the second dielectric; and a second control The gate is formed adjacent to the second conductive pillar and adjacent to the second dielectric. 98. The memory of claim 97, wherein the first control gate and the second control gate are formed of a continuous film. 99. A semiconductor device comprising: a monolithic three-dimensional charge storage device array formed in an amorphous or polycrystalline semiconductor layer on a single crystalline semiconductor substrate; and a driver circuit formed at least partially in the substrate And below the array 15- This paper size applies to China National Standard (CNS) A4 (210 X297 mm) 540086 A B c D 々、申請專利範圍 、陣列以内或陣列以上。 100. 如申請專利範圍第99項之半導體裝置,其中該驅動器電 路包含至少一個感測放大器及電荷泵,其形成於基板中 而在陣列以下。 101. 如申請專利範圍第99項之半導體裝置,其中二個連續的 裝置級之間有至少一個表面藉化學機械研磨法而平面化 〇 102. 如申請專利範圍第101項之半導體裝置,其中該陣列包含 四或更多個裝置級。 103. 如申請專利範圍第102項之半導體裝置,其中每一電荷儲 存裝置係選自下列各物組成之群:導柱式TFT EEPROM、 具有一電荷儲存區域之導柱式二極體、自對準TFT EEPROM,及導軌堆疊式TFT EEPROM。 104. 如申請專利範圍第103項之半導體裝置,其中陣列之每一 級與陣列之另一級由一經過研磨的,平面的層間絕緣層 所分離。 105. 如申請專利範圍第104項之半導體裝置,其中每一裝置級 與鄰近的裝置級之關係是平面的。 106. —種三維半導體裝置,含有複數個裝置級,其每一級包 含: 一活性半導體區域; 一電何儲存區域, 一第一電極; 一第二電極;其中 -16- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)540086 A B c D 々, patent application scope, within or above the array. 100. The semiconductor device of claim 99, wherein the driver circuit includes at least one sense amplifier and a charge pump, which are formed in the substrate and below the array. 101. For a semiconductor device under the scope of patent application 99, at least one surface between two consecutive device levels is planarized by chemical mechanical polishing. 102. For a semiconductor device under the scope of patent application 101, where The array contains four or more device levels. 103. For example, the semiconductor device under the scope of patent application 102, wherein each charge storage device is selected from the group consisting of: a pillar-type TFT EEPROM, a pillar-type diode having a charge storage region, and a self-alignment Semi-TFT EEPROM, and rail-stacked TFT EEPROM. 104. The semiconductor device of claim 103, wherein each stage of the array is separated from the other stage of the array by a polished, planar interlayer insulating layer. 105. In the case of the semiconductor device under the scope of the patent application No. 104, the relationship between each device level and the adjacent device level is flat. 106. A three-dimensional semiconductor device, comprising a plurality of device stages, each of which includes: an active semiconductor region; an electric storage region, a first electrode; a second electrode; of which -16- this paper size applies to China National Standard (CNS) A4 specification (210X 297 mm) 540086 A8 B8 C8540086 A8 B8 C8 該活性半導體區域之-第一側面對準該第-及第二電 極其中一個之一第一側面。 107. 如申請專利範圍第106項之半導體裝置,其中該活性半導 體區域之-第二伽J面對準該第—及第^電極其中另一個 之一第二側面。 108. 如申請專利範圍第1〇7項之半導體裝置,其中: 该活性半導體區域包含一垂直導柱,其含一通道、一 源極和一汲極區域; 泫第一及第二電極接觸該源極和汲極區域其中一個; 並且 該裝置進一步包含一閘極電極。 109·如申請專利範圍第1〇7項之半導體裝置,其中: 該活性半導體區域包含一垂直p-n接面導柱;並且 该第一及第二電極接觸該p_n接面之?和n區域其中一個 〇 110·—種製造具有複數個裝置級之三維半導體裝置之方法, 其製造每一級之方法包含: 形成一活性半導體區域; 形成一電荷儲存區域; 形成一第一電極; 形成一第一電極;以及 在同一微影步驟期間,圖型化該活性半導體區域與第 一電極之至少二個側面。 111·如申請專利範圍第110項之方法,其中係用一第一遮罩來 -17- 本紙張尺度適财S S家群(CNS) Α4規格(21G X297公爱) ------ 540086 A B c D 六、申請專利範圍 — 蝕刻該活性半導體·區域及第一電極。 112·如申請專利範圍第m項之方法,並進一 八k 穸包含:用一第 二遮罩來蝕刻第二電極及該活性半導體區域。 113.如申請專利範圍第in項之方法,其中: 該活性半導體區域包含一垂直導柱,其含_通道、 源極和一汲極區域; 該第一及第二電極接觸該源極和汲極區域其中一個· 並且 , 該方法進一步包含形成一閘極電極。 114·如申請專利範圍第1丨丨項之方法,其中: 該活性半導體區域包含一垂直p-n接面導柱;並且 该第一及第二電極接觸該p_n接面之p區域和η區域其中 一個。 115· —種半導體裝置,其包含: 一活性半導體區域,含一通道、一源極和一汲極區域 9 一閘極絕緣層; 一源極電極; 一汲極電極;以及 一閘極電極;其中 泫活性半導體區域之一第一側面僅在該活性半導體區 域的通道部份中,對準該閘極電極之一側面。 116.如申請專利範圍第115項之裝置,其中該閘極絕緣層包含 一電荷儲存區域的一部份。 -18 - 本纸張尺度適用中國國豕標準(CNS) A4規格(210X297公爱) 540086 A B c D 申請專利範圍 117.如申請專利範圍第.116項之裝置,其中該裝置包含一自對 準TFT ’而併入一單石三維裝置陣列中。 118·—種製造半導體裝置之方法,其包含: 形成一活性半導體區域,含一通道、一源極和一汲極 區域; 形成一閘極絕緣層; 形成一源極電極; 形成一汲極電極; 形成一閘極層;以及 在同一微影步驟期間,圖型化該閘極層與該活性半導 體層的源極和汲極區域(通道區域除外)。 119·如申請專利範圍第118項之方法,其中: 用一第一遮罩來蝕刻該活性半導體區域及閘極層;以 及 該閘極絕緣層包含一電荷儲存區域的一部份。 120.如申請專利範圍第119項之方法,其進一步包含:形成一 單石二維電荷儲存裝置陣列,其包含該經圖型化的活性 半導體層。 121·—種場效應電晶體,其包含: 一源極 一没極 —通道 一閘極 至少一個在該閘極與該通道間的絕緣層;以及 •19- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 六、申請專利範圍 一閘極線,其大致平行於源極一通道一沒極方向而延 伸,並接觸該閘極且自對準該閘極。 122. 如申請專利範圍第121項之電晶體,其中該源極、該汲極 及該通道形成於一多晶矽活性層中,該晶矽活性層位於 一層間絕緣層之上。 123. 如申請專利範圍第122項之電晶體,其中: 該電晶體包括一 EEPROM ; 該閘極包括一控制閘極;以及 該為至少一個之絕緣層位於該控制閘極與該通道間之 一電荷儲存區域中。 124. 如申請專利範圍第123項之電晶體,其中該電荷儲存區域 包含一ΟΝΟ介電質膜,或一含導電奈米晶體之絕緣層。 125. 如申請專利範圍第123項之電晶體,其中該電荷儲存區域 包含: 一穿隧介電質,在該通道之上; 一浮動閘極,在該穿隧介電質之上;以及 一控制閘極介電質,在該浮動閘極之上。 126. 如申請專利範圍第123項之電晶體,其進一步包含: 一些側壁間隔物,位於閘極側壁鄰近,而有大約同於 該閘極之高度;以及 一層間絕緣層,位於該等側壁間隔物鄰近且在源極和 汲極之上,而有大約同於該等側壁間隔物之高度。 127. 如申請專利範圍第126項之電晶體,其中: 該閘極線係位於該等側壁間隔物及該層間絕緣層之上 -20- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 540086 A B c D 六、申請專利範圍 ;以及 該閘極線經由該等側壁間隔物間之一開口而接觸該閘 極。 128. 如申請專利範圍第127項之電晶體,其進一步包含: 一第一位元線,接觸該源極;以及 一第二位元線,接觸該汲極;其中 該第一及第二位元線位於該層間絕緣層之下,大致直 交於源極一通道一沒極方向而延伸。 129. 如申請專利範圍第124項之電晶體,其中該閘極包含: 一第一部份,接觸該電荷儲存區域;以及 一第二部份,在該第一部份之上;其中 該第一及第二閘極部份包含分離配置之層。 130. 如申請專利範圍第126項之電晶體,其中: 該閘極線包括一字線,其在所含之二個多晶矽層間包 含一石夕化物層;以及。 該閘極線直接位於該層間絕緣層及該等側壁間隔物的 頂部上。 131. 如申請專利範圍第123項之電晶體,其中該閘極線包括一 自對準該通道及該電荷儲存區域之字線。 132. —種三維非揮發性裝置陣列,其包含: 複數個垂直分離的裝置級,每一級皆含一 TFT EEPROMs陣列,而每一 TFT EEPROM皆含一通道、源極和 汲極區域及一鄰近該通道之電荷儲存區域; 在每一裝置級中之複數個位元線行,每一位元線接觸 -21 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)A first side of the active semiconductor region is aligned with a first side of one of the first and second electrodes. 107. The semiconductor device according to claim 106, wherein the -second GJ plane of the active semiconductor region is aligned with the second side of the other one of the -th and -th electrodes. 108. The semiconductor device as claimed in claim 107, wherein: the active semiconductor region includes a vertical guide pillar including a channel, a source, and a drain region; 泫 the first and second electrodes contact the One of a source region and a drain region; and the device further includes a gate electrode. 109. The semiconductor device according to claim 107, wherein: the active semiconductor region includes a vertical p-n junction guide post; and the first and second electrodes contact the p_n junction? One of the n and n regions, a method of manufacturing a three-dimensional semiconductor device having a plurality of device stages, the method of manufacturing each stage includes: forming an active semiconductor region; forming a charge storage region; forming a first electrode; forming A first electrode; and patterning at least two sides of the active semiconductor region and the first electrode during the same lithography step. 111 · If the method of applying for the scope of patent No. 110, which uses a first mask to -17- this paper size SS Family Group (CNS) A4 size (21G X297 public love) ------ 540086 AB c D 6. Scope of Patent Application — Etching the active semiconductor region and the first electrode. 112. The method according to item m of the patent application, further comprising: using a second mask to etch the second electrode and the active semiconductor region. 113. The method of claiming in the scope of patent application, wherein: the active semiconductor region includes a vertical guide pillar including a channel, a source, and a drain region; the first and second electrodes contact the source and drain One of the electrode regions and the method further includes forming a gate electrode. 114. The method according to item 1 of the patent application range, wherein: the active semiconductor region includes a vertical pn junction guide post; and the first and second electrodes contact one of the p region and the n region of the p_n junction . 115 · a semiconductor device comprising: an active semiconductor region including a channel, a source and a drain region 9 a gate insulating layer; a source electrode; a drain electrode; and a gate electrode; The first side of the active semiconductor region is aligned with only one side of the gate electrode in the channel portion of the active semiconductor region. 116. The device of claim 115, wherein the gate insulating layer includes a portion of a charge storage region. -18-This paper size applies to China National Standard (CNS) A4 specification (210X297 public love) 540086 AB c D Patent application scope 117. The device of patent application scope item 116 includes a self-aligning device TFT 'is incorporated into a monolithic three-dimensional device array. 118 · —A method for manufacturing a semiconductor device, comprising: forming an active semiconductor region including a channel, a source, and a drain region; forming a gate insulating layer; forming a source electrode; forming a drain electrode Forming a gate layer; and patterning source and drain regions (except channel regions) of the gate layer and the active semiconductor layer during the same lithography step. 119. The method of claim 118, wherein: a first mask is used to etch the active semiconductor region and the gate layer; and the gate insulating layer includes a portion of a charge storage region. 120. The method of claim 119, further comprising: forming a monolithic two-dimensional charge storage device array including the patterned active semiconductor layer. 121 · —a type of field effect transistor, which includes: a source electrode and a non-polar electrode—a channel and a gate with at least one insulating layer between the gate and the channel; and • 19- This paper size applies to the Chinese National Standard (CNS ) A4 specification (210X297 mm) 6. The scope of patent application is a gate line, which extends approximately parallel to the source-channel and an electrode direction, contacts the gate and self-aligns to the gate. 122. For example, the transistor of claim 121, wherein the source electrode, the drain electrode, and the channel are formed in a polycrystalline silicon active layer, and the crystalline silicon active layer is located on an interlayer insulating layer. 123. If the transistor of the scope of patent application No. 122, wherein: the transistor includes an EEPROM; the gate includes a control gate; and the at least one insulating layer is located between the control gate and the channel Charge storage area. 124. The transistor of claim 123, wherein the charge storage region includes a 100N dielectric film or an insulating layer containing a conductive nanocrystal. 125. The transistor of claim 123, wherein the charge storage region includes: a tunneling dielectric above the channel; a floating gate above the tunneling dielectric; and The gate dielectric is controlled above the floating gate. 126. For example, the transistor of the scope of application for patent No. 123 further includes: some side wall spacers located adjacent to the side wall of the gate and having a height approximately the same as that of the gate; and an interlayer insulation layer located at the side wall intervals Objects are adjacent and above the source and drain electrodes and have approximately the same height as the sidewall spacers. 127. For the transistor with the scope of patent application No. 126, where: the gate line is located on the side wall spacers and the interlayer insulation layer -20- This paper size applies to China National Standard (CNS) A4 specification (210 X 297 mm) 540086 AB c D 6. The scope of patent application; and the gate line contacts the gate through an opening between the sidewall spacers. 128. For example, the transistor of claim 127 further includes: a first bit line in contact with the source; and a second bit line in contact with the drain; wherein the first and second bits The element line is located under the interlayer insulation layer and extends approximately orthogonally in the direction of the source, the channel, and the pole. 129. For example, the transistor of claim 124, wherein the gate includes: a first part that contacts the charge storage area; and a second part that is above the first part; wherein the first part The first and second gate portions include separate layers. 130. The transistor according to the scope of application for patent No. 126, wherein: the gate line includes a word line including a petrochemical layer between the two polycrystalline silicon layers included; and The gate line is directly on top of the interlayer insulation layer and the sidewall spacers. 131. For example, the transistor of claim 123, wherein the gate line includes a word line self-aligned to the channel and the charge storage area. 132. A three-dimensional non-volatile device array, comprising: a plurality of vertically separated device stages, each stage including an array of TFT EEPROMs, and each TFT EEPROM includes a channel, a source and a drain region, and an adjacent The charge storage area of this channel; a plurality of bit line rows in each device level, each bit line is in contact with -21-this paper size applies to China National Standard (CNS) A4 specification (210X297 mm) 々、申請專利範圍 TFT EEPROMs的源極或汲極區域; 在每一裝置級中之複數個字線列;以及 位在各裝置級間之至少一個層間絕緣層。 133. 如申請專利範圍第132項之陣列,其中: 在至少一個裝置級中,該等位元線行係配置於TFT EEPROM通道上對立於該等字線列之另一側面; 每一 TFT EEPROM的通道包含非晶系矽或多晶矽; 該等位元線行大致直交於TFT EEPROMs的源極一通道 —沒極方向而延伸; 每一字線接觸TFT EEPROMs的閘極,或者每一字線做 為TFT EEPROMs之一閘極,且該等字線列大致平行於TFT EEPROMs的源極一通道一沒極方向而延伸;並且 該等字線自對準TFT EEPROMs陣列的控制閘極,且字 線自對準位於其各自之下之TFT EEPROMs的通道及電荷 儲存區域。 134. 如申請專利範圍第133項之陣列,其中每一電荷儲存區域 包含一 0N0介電質膜,或一含導電奈米晶體之絕緣層。 135. 如申請專利範圍第133項之陣列,其中每一電荷儲存區域 包含: 一穿隧介電質,在該通道之上; 一浮動閘極,在該穿隧介電質之上;以及 一控制閘極介電質,在該浮動閘極之上。 136. 如申請專利範圍第133項之陣列,其進一步包含: 一些側壁間隔物,位於TFT EEPROMs的控制閘極側壁 -22- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A B c D 々、申請專利範圍 鄰近,而有大約同於該閘極之高度;以及 在每一裝置層中之一層間絕緣層,位於該等側壁間隔 物之間,且在TFT EEPROMs的源極和汲極區域之上,而有 大約同於該等側壁間隔物之高度。 137. 如申請專利範圍第136項之陣列,其中: 在每一裝置級中字線位於側壁間隔物及層間絕緣層之 上;並且 該等字線經由側壁間隔物間之一開口而接觸其各自 TFT EEPROM的控制閘極。 138. 如申請專利範圍第137項之陣列,其中每一裝置級中的位 元線包含有在層間絕緣層之下延伸之導軌。 139. 如申請專利範圍第138項之陣列,其中: 該等導軌包含位於摻雜的半導體區域上面之矽化物層 :並且 該等摻雜的半導體區域包含TFT EEPROM源極和汲極區 域,其係在摻雜的半導體區域鄰近於TFT EEPROM通道所 在之區面中。 140. 如申請專利範圍第132項之陣列,其中每一控制閘極包含 一第一部份,接觸該電荷儲存區域;以及 一第二部份,在該第一部份之上;其中 該第一及第二閘極部份包含分離配置之層。 141. 如申請專利範圍第132項之陣列,其進一步包含連接字線 及位元線與週邊電路之字線接點及位元線接點,該等週 -23- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)范围 The scope of patent application Source or drain regions of TFT EEPROMs; multiple word line columns in each device level; and at least one interlayer insulation layer between each device level. 133. If the array of the scope of patent application 132, wherein: in at least one device level, the bit line rows are arranged on the TFT EEPROM channel opposite to the other side of the word line columns; each TFT EEPROM The channels include amorphous silicon or polycrystalline silicon; the bit line rows are approximately orthogonal to the source-channel of the TFT EEPROMs-extending in the direction of no pole; each word line contacts the gate of the TFT EEPROMs, or each word line does It is a gate of TFT EEPROMs, and the word line columns extend substantially parallel to the source-channel-electrode direction of TFT EEPROMs; and the word lines are self-aligned to the control gates of the TFT EEPROMs array, and the word lines Self-align the channels and charge storage areas of the TFT EEPROMs located below them. 134. The array of the scope of application for item 133, wherein each charge storage region includes a 0N0 dielectric film or an insulating layer containing a conductive nanocrystal. 135. The array of the scope of application for item 133, wherein each charge storage region includes: a tunneling dielectric above the channel; a floating gate above the tunneling dielectric; and The gate dielectric is controlled above the floating gate. 136. For example, the array of the scope of application for patent No. 133 further includes: some side wall spacers located on the side walls of the control gate of the TFT EEPROMs-22- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) ) 540086 AB c D 邻近, the scope of patent application is adjacent, and it is about the same height as the gate; and an interlayer insulation layer in each device layer, located between the sidewall spacers, and between the TFT EEPROMs Above the source and drain regions, there is approximately the same height as the sidewall spacers. 137. The array of the scope of application for patent No. 136, wherein: in each device level, the word lines are located above the sidewall spacers and the interlayer insulation layer; and the word lines contact their respective TFTs through an opening between the sidewall spacers. Control gate of EEPROM. 138. For the array of patent application No. 137, the bit lines in each device level include guide rails extending below the interlayer insulation layer. 139. If the array of the scope of patent application is No. 138, wherein: the guide rails include a silicide layer on the doped semiconductor region: and the doped semiconductor regions include the TFT EEPROM source and drain regions, which are The doped semiconductor region is adjacent to the area where the TFT EEPROM channel is located. 140. If the array of the scope of application for the patent No. 132, each control gate includes a first part contacting the charge storage area; and a second part above the first part; wherein the first The first and second gate portions include separate layers. 141. If the array of the scope of application for the patent No. 132, further includes word line contacts and bit line contacts connecting word lines and bit lines to peripheral circuits, these weeks-23- This paper standard applies to China Standard (CNS) A4 (210X 297 mm) 540086 A B c D 六、申請專利範圍 邊電路係位於陣列第一級之下之半導體基板之中。 142. 如申請專利範圍第141項之陣列,其中該等字線接點及位 元線接點在複數個裝置層之間延伸。 143. 如申請專利範圍第132項之陣列,其中: 每一記憶體單元包含一 TFT EEPROM ;並且 每位元之記憶體單元尺寸皆約(2F2)/N,此處F為一最小 特徵尺寸,而N為第三個維度上的裝置層數目,且N> 1。 144. 一種 EEPROM,其包含: 一通道; 一源極; 一汲極; 一穿隧介電質,位於該通道之上; 一浮動閘極,位於該穿隧介電質之上; 一些側壁間隔物,位於該浮動閘極的側壁鄰近; 一字線,位於該浮動閘極之上;以及 一控制閘極介電質,位於該控制閘極與該浮動閘極之 間;其中 該控制閘極介電質位於該等側壁間隔物之上。 145. 如申請專利範圍第144項之EEPROM,其中: 該等側壁間隔物延伸至該浮動閘極的頂部;並且 該控制閘極介電質位於該浮動閘極的頂表面及該等側 壁間隔物上。 146. 如申請專利範圍第144項之EEPROM,其中該浮動閘極在 該等側壁間隔物之上垂直地延伸。 -24- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)540086 A B c D 6. Scope of Patent Application The side circuit is located in the semiconductor substrate under the first stage of the array. 142. For the array of the scope of application for patent No. 141, the word line contacts and bit line contacts extend between a plurality of device layers. 143. For example, the array of the scope of application for the patent No. 132, wherein: each memory cell includes a TFT EEPROM; and the size of each memory cell is about (2F2) / N, where F is a minimum feature size, N is the number of device layers in the third dimension, and N > 1. 144. An EEPROM comprising: a channel; a source; a drain; a tunneling dielectric on the channel; a floating gate on the tunneling dielectric; some sidewall spacers Objects are located adjacent to the side wall of the floating gate; a word line is located above the floating gate; and a control gate dielectric is located between the control gate and the floating gate; wherein the control gate A dielectric is located above the sidewall spacers. 145. If the EEPROM of the scope of application for patent No. 144, wherein: the sidewall spacers extend to the top of the floating gate; and the control gate dielectric is located on the top surface of the floating gate and the sidewall spacers on. 146. The EEPROM of the scope of application for patent No. 144, wherein the floating gate extends vertically above the sidewall spacers. -24- This paper size applies to China National Standard (CNS) A4 (210X 297mm) 540086 A B c D 六、申請專利範圍 147. 如申請.專利範圍第146項之EEPROM,其中該浮動閘極在 該等側壁間隔物之上橫向地延伸,以致該浮動閘極呈 「丁」字形。 148. 如申請專利範圍第147項之EEPROM,其中: 該控制閘極介電質位於該在側壁間隔物之上延伸之浮 動閘極的頂表面上面;並且 該字線位於該控制閘極介電質上面,以致該字線做為 該EEPROM的控制閘極。 149. 如申請專利範圍第146項之EEPROM,其中該浮動閘極妁 頂表面經粗化或質地糙化。 150. 如申請專利範圍第144項之EEPROM,其中該源極、該汲極 及該通道形成於一多晶矽活性層,該多晶矽活性層位於 一層間絕緣層之上,以致該EEPROM包含一 TFT。 151. —種三維記憶體陣列,其包含: 複數個垂直分離的裝置級,每一級皆含一由申請專利 範圍第150項之TFT EEPROMs所構成的陣列; 在每一裝置級中之複數個位元線行,每一位元線接觸 TFT EEPROMs的源極或汲極區域,且該等位元線行大致 直交於TFT EEPROMs的源極一通道一汲極方向而延伸; 在每一裝置級中之複數個字線列,且該等字線列大致 平行於TFT EEPROMs的源極一通道一汲極方向而延伸; 以及 位在各裝置級間之至少一個層間絕緣層。 152. 如申請專利範圍第150項之陣列,其中: -25- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)540086 A B c D 6. Scope of patent application 147. If you apply, the EEPROM of item 146 of the patent scope, where the floating gate extends laterally above the side wall spacers, so that the floating gate has a "T" shape. 148. For example, the EEPROM of the scope of patent application No. 147, wherein: the control gate dielectric is located on the top surface of the floating gate extending above the side wall spacer; and the word line is located on the control gate dielectric So that the word line is used as the control gate of the EEPROM. 149. For example, the EEPROM with the scope of application No. 146, wherein the top surface of the floating gate electrode 妁 is roughened or roughened. 150. For example, the EEPROM for which the scope of the application is No. 144, wherein the source, the drain, and the channel are formed in a polycrystalline silicon active layer, which is located on an interlayer insulating layer, so that the EEPROM includes a TFT. 151. A three-dimensional memory array, comprising: a plurality of vertically separated device stages, each stage comprising an array of TFT EEPROMs with a scope of application for patent No. 150; a plurality of bits in each device stage Element line rows, each bit line contacts the source or drain region of the TFT EEPROMs, and the bit line rows extend approximately perpendicular to the source-channel-drain direction of the TFT EEPROMs; in each device level A plurality of word line columns, the word line columns extending substantially parallel to the source-channel-drain direction of the TFT EEPROMs; and at least one interlayer insulating layer located between the device stages. 152. If you apply for the array of the scope of patent application No. 150, of which: -25- This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) 540086 A BCD 申請專利範圍 該等字線自對準TFT EEPROMs的通道及浮動閘極;並 且 每一裝置級中的位元線包含有在層間絕緣層之下延伸 之導軌。 153.—種非揮發性記憶體單元陣列,其中每一記憶體單元包 含一半導體裝Λ,且每位元之記憶體單元尺寸皆約2F2/N540086 A BCD patent application scope These word lines are self-aligned to the channels and floating gates of TFT EEPROMs; and the bit lines in each device level include guide rails that extend below the interlayer insulation. 153. A non-volatile memory cell array, wherein each memory cell contains a semiconductor device Λ, and the size of each memory cell is about 2F2 / N 此處F為一暴薄|特徵尺寸,而N為第三個維度上的裝置 層數目,且4義 154. 如申請專利範1¾ 153項之陣列,其中: 該陣列包含一單石三維記憶體陣列,其含複數個垂直 分離的裝置級,此處N〉1 ;並且 該半導體裝置包含一 TFT EEPROM,其含一通道、源極 和汲極區域,及一電荷儲存區域。 155. 如申請專利範圍第154項之陣列,其進一步包含: 在每一裝置級中之複數個位元線行,每一位元線接觸 TFT EEPROMs的源極和汲極區域,且該等位元線行大致 直交於TFT EEPR0Ms6^j源極一通道一沒極方向而延伸; 在每一裝置級中之複數個字線列,且該等字線列大致 平行於TFT EEPROMs的源極一通道一汲極方向而延伸; 以及 位在各裝置級間之至少一個層間絕緣層。 156. 如申請專利範圍第155項之陣列,其中: 該等TFT EEPROMs包含控制閘極;並且 該複數個字線自對準位於其各自之下之各自TFT 26 本紙張尺度適用中國國家標準(CNS) A4規格(210 x297公釐) 540086 A B c D c、申請專利範圍 EEPROMs的控制閘極、各自TFT EEPROMs的通道區域,及 各自TFT EEPROMs的電荷儲存區域。 157.如申請專利範圍第156項之陣列,其中該等tft EEPROMs 進一步包含: 側壁間隔物,位於TFT EEPROMs的閘極側壁鄰近,而有 大約同於該閘極之高度;以及 在每一裝置層中之一層間絕緣層,位於該等側壁間隔 物之間,且在TFT EEPROMs的源極和汲極區域之上,而有 大約同於該等側壁間隔物之高度❶ 158·如申請專利範圍第157項之陣列,其中: 在每一裝置級中字線位於側壁間隔物及層間絕緣層之 上;並且 该等字線經由側壁間隔物間之一開口而接觸其各自 TFT EEPROM的控制閘極。 159·如申請專利範圍第155項之陣列,其中該等TFT EEPROMs 進一步包含: 浮動閘極,位於該等電荷儲存區域中; 側壁間隔物,位於TFT EEPROMs的浮動閘極側壁鄰近; 以及 在每一裝置層中位於側壁間隔物之間及TFT EEPROMs 的源極和沒極區域之上之一層間絕緣層,其中該層間絕 緣層有大約同於該等側壁間隔物之高度;以及 一控制閘極介電質,位於該等側壁間隔物及該層間絕 緣層之上,且在該等字線之下。 -27- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 申请專利範園 160.如申請專利範圍第·159項之陣列,其中該等浮動閘極在該 等側壁間隔物之上垂直地並橫向地延伸,以致該等浮動 閘極呈「T」字形。 161·如申請專利範圍第155項之陣列,其進一步包含連接字線 及位7G線與週邊電路之字線接點及位元線接點,該等週 邊電路係位於陣列第一級之下之半導體基板之中;其中 該等位元線接點在複數個裝置層之間延伸。 162·—種製造EEPR〇M之方法,其包含· 提供一半導體活性區面; 在該活性區面上面形成一電荷儲存區域; 在遺電%儲存區域上面形成一導電閘極層; 圖型化孩閘極層以形成一蓋上該電荷儲存區域之控制 閘極; 用茲控制閘極為遮罩來摻雜該活性區面,而在活性區 面中形成源極和沒極區域; 在違控制閘極之上及鄰近形成一第一絕緣層; 曝露孩控制閘極頂部不具微影遮罩的部份;以及 形成一接觸該控制閘極曝露的頂部之字線,以致該字 線自對準控制閘極。 163·如申請專利範圍第162項之方法,其進一步包含: 在該閘極層之上形成一阻塞層; 於圖型化該閘極層之步驟期間,圖型化該阻塞層;以 及 曰 在該控制閘極及該阻塞層的側壁鄰近形成側壁間隔物 -28- 540086Here, F is a storm | feature size, and N is the number of device layers in the third dimension, and the meaning is 154. For example, the array of patent application 1 ¾ 153, where: the array contains a single stone three-dimensional memory An array including a plurality of vertically separated device stages, where N> 1; and the semiconductor device includes a TFT EEPROM including a channel, a source and a drain region, and a charge storage region. 155. The array of the scope of application for patent 154, further comprising: a plurality of bit line rows in each device level, each bit line contacting the source and drain regions of the TFT EEPROMs, and the bits The row of element lines extends approximately orthogonally to the source-channel one-pole direction of the TFT EEPR0Ms6 ^ j; a plurality of word line columns in each device level, and the word line columns are approximately parallel to the source-channel of the TFT EEPROMs Extending in a drain direction; and at least one interlayer insulating layer between the device stages. 156. If the array of the scope of patent application is No. 155, wherein: the TFT EEPROMs include control gates; and the plurality of word lines are self-aligned to their respective TFTs located below them. ) A4 size (210 x 297 mm) 540086 AB c D c, patent control EEPROMs, gate area of each TFT EEPROMs, and charge storage area of each TFT EEPROMs. 157. The array according to the scope of application for patent No. 156, wherein the tft EEPROMs further include: sidewall spacers located adjacent to the gate sidewalls of the TFT EEPROMs and having a height approximately equal to the gate; and at each device layer One of the interlayer insulation layers is located between the sidewall spacers and above the source and drain regions of the TFT EEPROMs, and has a height approximately the same as the sidewall spacers. 158 · An array of 157 items, wherein: the word lines are located above the sidewall spacers and the interlayer insulating layer in each device level; and the word lines contact the control gates of their respective TFT EEPROMs through an opening between the sidewall spacers. 159. The array of claim 155, wherein the TFT EEPROMs further include: floating gates located in the charge storage areas; sidewall spacers located adjacent to the sidewalls of the floating gates of the TFT EEPROMs; and An interlayer insulating layer in the device layer between the sidewall spacers and above the source and non-electrode regions of the TFT EEPROMs, wherein the interlayer insulating layer has a height approximately the same as the sidewall spacers; and a control gate dielectric The electric property is located above the sidewall spacers and the interlayer insulation layer, and below the word lines. -27- This paper size applies to China National Standard (CNS) A4 specification (210 X 297 mm) 540086 Patent Application Park 160. For the array of the scope of the patent application item · 159, the floating gates are on the side walls The spacers extend vertically and laterally so that the floating gates are "T" shaped. 161. If the array of the scope of application for patent No. 155, it further includes word line contacts and bit line contacts connecting word lines and bit 7G lines to peripheral circuits, which are located below the first level of the array A semiconductor substrate; wherein the bit line contacts extend between a plurality of device layers. 162. A method for manufacturing EEPROM, comprising: providing a semiconductor active area surface; forming a charge storage area on the active area surface; forming a conductive gate layer on the remaining electricity storage area; patterning The gate layer is used to form a control gate covering the charge storage area; the active gate surface is doped with a control gate mask to form source and non-electrode regions in the active region surface; A first insulating layer is formed on and adjacent to the gate; the portion of the top of the control gate that is not lithographically exposed is exposed; and a word line that contacts the exposed top of the control gate is formed so that the word line is self-aligned Control gate. 163. The method of claim 162, further comprising: forming a blocking layer on the gate layer; patterning the blocking layer during the step of patterning the gate layer; and A sidewall spacer is formed adjacent to the control gate and the sidewall of the blocking layer-28-540086 164·如申請專利範圍第163項之方法,其中: 該阻塞層包含異於該等側壁間隔物且異於該控制閘極 之材料; 该曝露控制閘極頂部之步騾包含:平面化該第一絕緣 層以曝露該阻塞層,並從側壁間隔物間選擇性地移除該 阻▲層以形成一閘極接觸通孔;並且 孩形成罕線之步驟包含:在該第一絕緣層上面及該閘 極接觸通孔之中配置該字線,以致該字線在閘極接觸通 孔中的部份形成控制閘極的頂部。 165. 如申請專利範圍第162項之方法,其中該字線形成於該第 一絕緣層上,以致該字線有一大致為平面的上表面。 166. 如申請專利範圍第162項之方法,其中: 該曝露控制閘極頂部之步驟包含:平面化該第一絕緣 層以曝露該控制閘極;並且 該形成字線之步驟包含:在該第一絕緣層上面配置該 字線’以致其接觸曝露的控制閘極。 167·如申請專利範圍第162項之方法,其中: 孩提供活性區面之步驟包含:在一層間絕緣層上面形 成一多晶矽活性層; 該形成電荷儲存區域之步驟包含:形成一 〇N〇介電質 膜’或一含導電奈米晶體之絕緣層;並且 琢形成罕線之步驟包含:在該第一絕緣層及該曝露的 控制閘極上面配置至少一個導電層,在該至少為一個之 -29- 本纸張尺度適财S S家標準(CNS) A4規格(21〇x297公爱) 六、申請專利範圍 導電層上形成一第一抗光蝕遮罩,及蝕刻該至少為一個 之導電層以形成該字線。 168. 如申請專利範圍第167項之方法,其進一步包含:用該字 線為遮罩,钱刻該活性區面及該電荷儲存區域,以致該 字線自對準一 EEPROM通道及電荷儲存區域。 169. 如申請專利範圍第168項之方法,其進一步包含: 形成鄰近於該控制閘極側壁之側壁間隔物; 在該控制閘極、該等側壁間隔物及摻雜的源極和汲極 區域上面形成一金屬層; 加熱該金屬層,而在源極和沒極區域上面形成金屬石夕 化物區域;以及 從該等側壁間隔物選擇性地移除該金屬層。 170. 如申請專利範圍第169項之方法,其中: 該摻雜的源極和汲極區域及該矽化物區域包含大致直 交於源極一通道一沒極方向而延伸之位元線;並且 該字線大致平行於源極一通道一汲極方向而延伸。 171. 如申請專利範圍第170項之方法,其中該形成多晶矽活性 層之步驟包含: 形成一非晶系碎層或多晶碎層; 於形成該金屬層後加熱該EEPROM,用該金屬層為催化 劑而再結晶該非晶系矽層或多晶矽層。 172. 如申請專利範圍第162項之方法,其中該EEPROM係由二 個微影遮罩步驟所形成。 173. —種製造EEPROM之方法,其包含: -30- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)164. The method according to item 163 of the patent application scope, wherein: the blocking layer includes a material different from the sidewall spacers and different from the control gate; the step of exposing the top of the control gate includes: planarizing the first An insulating layer is used to expose the blocking layer, and the blocking layer is selectively removed from between the side wall spacers to form a gate contact through hole; and the step of forming a rare wire includes: on the first insulating layer and The word line is arranged in the gate contact via, so that a portion of the word line in the gate contact via forms the top of the control gate. 165. The method of claiming range 162 of the patent application, wherein the word line is formed on the first insulating layer so that the word line has a substantially flat upper surface. 166. The method of claim 162, wherein: the step of exposing the top of the control gate includes: planarizing the first insulating layer to expose the control gate; and the step of forming a word line includes: The word line is disposed on an insulating layer so that it contacts the exposed control gate. 167. The method according to item 162 of the patent application scope, wherein: the step of providing an active area surface includes: forming a polycrystalline silicon active layer on an interlayer insulating layer; the step of forming a charge storage area includes: forming a 0N〇mediation A dielectric film 'or an insulating layer containing a conductive nanocrystal; and the step of forming a bare wire includes: arranging at least one conductive layer on the first insulating layer and the exposed control gate, and on the at least one -29- The paper size is suitable for SS Home Standard (CNS) A4 specification (21 × 297). 6. The scope of the patent application is to form a first photoresist mask on the conductive layer, and etch the at least one conductive layer. Layer to form the word line. 168. If the method according to item 167 of the patent application scope, further comprising: using the word line as a mask, engraving the active area surface and the charge storage area so that the word line is self-aligned to an EEPROM channel and the charge storage area . 169. The method according to claim 168, further comprising: forming sidewall spacers adjacent to the sidewalls of the control gate; at the control gate, the sidewall spacers, and the doped source and drain regions A metal layer is formed thereon; the metal layer is heated to form metal lithoate regions on the source and non-electrode regions; and the metal layer is selectively removed from the sidewall spacers. 170. The method of claim 169, wherein: the doped source and drain regions and the silicide region include bit lines extending substantially orthogonally to the source-channel and an electrode direction; and The word line extends substantially parallel to the source-channel-drain direction. 171. The method of claim 170, wherein the step of forming a polycrystalline silicon active layer includes: forming an amorphous chip layer or a polycrystalline chip layer; heating the EEPROM after forming the metal layer, and using the metal layer as The catalyst recrystallizes the amorphous silicon layer or the polycrystalline silicon layer. 172. The method of claim 162, wherein the EEPROM is formed by two lithographic masking steps. 173. — A method for manufacturing EEPROM, including: -30- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 中請專利範圍 提供一半導體活性區面; 在該活性區面上面形成一穿隧介電質層; 在泫牙隧介電質層上面形成一導電閘極層; 圖型化孩閘極層以形成一蓋上該穿隧介電質層之浮動 閘極;The scope of the Chinese patent provides a semiconductor active area surface; a tunneling dielectric layer is formed on the active area surface; a conductive gate layer is formed on the dentition tunnel dielectric layer; Forming a floating gate covering the tunneling dielectric layer; 用該浮動閘極為遮罩來摻雜該活性區面,而在活性區 面中形成源極和汲極區域; 在該浮動閘極的側壁鄰近形成側壁間隔物; 在該等側壁間隔物之上及鄰近,及源極和汲極區域之 上形成一第一絕緣層; 在該浮動閘極上面形成一控制閘極介電質層;以及 在該控制閘極介電質上面及該第一絕緣層上面形成一 字線。 174·如申請專利範圍第173項之方法,其進一步包含·· 在該閘極層之上形成一阻塞層,其中該阻塞層包含異 於該等側壁間隔物且異於該閘極層之材料; 於圖型化該閘極層之步驟期間,圖型化該阻塞層; 形成側壁間隔物,使其位於該該浮動閘極的側壁鄰近 ,此外也位於該阻塞層的側壁鄰近; 平面化該第一絕緣層以曝露該阻塞層; 從該等側壁間隔物間選擇性地移除該阻塞層,以形成 一閘極接觸通孔;以及 配置該字線的一部份於該閘極接觸通孔之中,藉而在 該控制閘極介電質之上之閘極接觸通孔中形成一控制閘 -31 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A8 B8 C8 D8 申請專利範圍 極0 Π5·如申請專利範圍第173項之方法,其進一步包含: 平面化該第一絕緣層以曝露該浮動閘極的頂部; 在違第一絕緣層上面、該等側壁間隔物上面,及該浮 動閘極上面形成一控制閘極介電質;以及 在該控制閘極介電質上面形成一字線,而使該字線做 為該EEPROM的控制閘極。 176. 如申請專利範圍第丨75項之方法,其進一步包含··形成浮 動閘極上部’而其係在該等側壁間隔物之上垂直地並横 向地延伸。 177. 如申請專利範圍第176項之方法,其進一步包含:形成半 球狀晶粒碎浮動閘極上部。 178. 如申請專利範圍第177項之方法,其進一步包含:粗化該 浮動閘極上部之一上表面。 179·如申请專利範圍第173項之方法,其進一步包含: 在該浮動閘極、該等側壁間隔物及掺雜的源極和汲極 區域上面形成一金屬層; 加熱該金屬層,而在源極和沒極區域上面形成金屬碎 化物區域;以及 從該等側壁間隔物選擇性地移除該金屬層。 180·如申請專利範圍第Π9項之方法,其中·· 該等摻雜的源極和汲極區域及該等矽化物區域包含大 致直交於源極一通道一汲極方向而延伸之位元線;並且 该今線大致平行於源極一通道一沒極方向而延伸。 -32- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A8 B8 C8The active gate surface is doped with the floating gate electrode mask to form source and drain regions in the active region surface; side wall spacers are formed adjacent to the side wall of the floating gate electrode; and above the side wall spacers A first insulating layer is formed on and adjacent to the source and drain regions; a control gate dielectric layer is formed on the floating gate; and the first insulation is formed on the control gate dielectric and the first insulation A word line is formed on the layer. 174. The method of claim 173, further comprising: forming a blocking layer on the gate layer, wherein the blocking layer includes a material different from the sidewall spacers and different from the gate layer ; During the step of patterning the gate layer, patterning the blocking layer; forming a sidewall spacer so that it is located adjacent to the side wall of the floating gate, and also adjacent to the side wall of the blocking layer; planarizing the A first insulating layer to expose the blocking layer; selectively removing the blocking layer from between the sidewall spacers to form a gate contact via; and configuring a portion of the word line in the gate contact via In the hole, a control gate is formed in the gate contact through hole above the control gate dielectric -31-This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 540086 A8 B8 C8 D8 Patent application scope pole 0 Π5. The method of patent application scope item 173 further includes: planarizing the first insulation layer to expose the top of the floating gate; on top of the first insulation layer, the Equilateral space Above, and the floating gate is formed above a control gate dielectric; and a dielectric electrode a word line formed above the control gate, the word line is made for the control gate electrode EEPROM. 176. The method according to item 75 of the patent application scope, further comprising: forming a floating gate upper portion ', which extends vertically and laterally above the sidewall spacers. 177. The method of claim 176, further comprising: forming a hemispherical grain broken floating gate upper portion. 178. The method according to claim 177, further comprising: roughening an upper surface of an upper portion of the floating gate. 179. The method of claim 173, further comprising: forming a metal layer on the floating gate, the sidewall spacers, and the doped source and drain regions; heating the metal layer, and Metal debris regions are formed over the source and non-electrode regions; and the metal layer is selectively removed from the sidewall spacers. 180 · The method according to item No. Π9 of the scope of patent application, wherein the doped source and drain regions and the silicide regions include bit lines extending substantially orthogonal to the source-channel-drain direction ; And the current line extends approximately parallel to the source-channel-pole direction. -32- This paper size applies to Chinese National Standard (CNS) A4 (210 X 297 mm) 540086 A8 B8 C8 181· —種形成非揮發性記憶體陣列之方法,其包含: 形成一半導體活性層; 在該活性層上面形成一第一絕緣層; 在該第一絕緣層上面形成複數個閘極電極; 用孩等閘極電極為遮罩來摻雜活性層,而在活性層中 形成複數個源極和汲極區域,並形成複數個大致直交於 源極一汲極方向而延伸之位元線; 在孩等閘極電極之上及鄰近,並在該等源極區域、汲 極區域及位元線之上,形成一第二絕緣層; 平面化該第二絕緣層;以及 在第二絕緣層上面形成複數個字線,其係大致平行於 源極一汲極方向而延伸。 182. 如申請專利範圍第181項之方法,其中裝置級之每一單元 包含一閘極電極、一源極、一沒極、一通道、一字線的 部份及二個位元線的部份,係由二個微影遮罩步驟所製 〇 183. 如申凊專利範圍第182項之方法,其包含: 在該等閘極電極側壁鄰近,形成側壁間隔物; 在該等閘極電極、該等側壁間隔物、該等摻雜的源極 和沒極區域及該等位元線上面,形成一金屬層; 加熱該金屬層,而在該等源極和汲極區域及該等位元 線上面形成金屬矽化物區域;以及 從該等側壁間隔物選擇性地移除該金屬層。 184·如申請專利範圍第181項之方法,其中該等閘極電極包括 -33- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 540086 A B c D 六、申請專利範圍 EEPROM控制電極,且絕緣層包括EEpR〇M電荷儲存區域 〇 18:).如申請專利範圍第184項之方法,其中該等字線自對準該 等控制電極。 186. 如申請專利範圍第181項之方法,其中該等閘極電極包括 EEPROM浮動電極,且絕緣層包括穿隨介電質層。 187. 如申請專利範圍第181項之方法,其中該形成字線之步驟 包吝·在4弟—絕緣層上面配置至少·-個導電層;在亏 至少為一個之導電層上形成一第一抗光蝕遮罩;以及, 蝕刻該至少為一個之導電層以形成字線。 188·如申請專利範圍第187項之方法,其進一步包含:用該字 線為遮罩’姓刻該活性層及第一絕緣層,以致該字線自 對準衩數個位於源極與沒極區域間的活性層中的 EEPROM通道。 189·如申請專利範圍第181項之方法,其進一步包含:在字線 上面形成一層間絕緣層,並在該層間絕緣層上面形成陣 列之至少一個附加的裝置級。 190·如申請專利範圍第189項之方法,其進一步包含: 在該第二絕緣層中形成一第一通孔,延伸至一第一字 線或位元線; 形成至少一個導電層;以及 圖型化該導電層,而形成複數個字線或字線接觸層, 以及至少一個經由第一通孔而與複數個字線或位元線至 少其一接觸之位元線接觸層。 -34-181. A method for forming a non-volatile memory array, comprising: forming a semiconductor active layer; forming a first insulating layer on the active layer; forming a plurality of gate electrodes on the first insulating layer; The child gate electrode is used as a mask to dope the active layer, and a plurality of source and drain regions are formed in the active layer, and a plurality of bit lines extending substantially orthogonal to the source-drain direction are formed; A second insulating layer is formed on and adjacent to the gate electrode and above the source region, the drain region, and the bit line; the second insulating layer is planarized; and the second insulating layer is formed on the second insulating layer A plurality of word lines are formed, which extend substantially parallel to the source-drain direction. 182. The method of claim 181, wherein each unit at the device level includes a gate electrode, a source, a pole, a channel, a portion of a word line, and a portion of two bit lines. Copies are made by two lithographic masking steps. 183. The method of claim 182 of the patent scope includes: forming sidewall spacers adjacent to the sidewalls of the gate electrodes; , The sidewall spacers, the doped source and non-electrode regions, and the bit lines to form a metal layer; the metal layer is heated, and the source and drain regions and the bits are heated Metal silicide regions are formed over the element wires; and the metal layer is selectively removed from the sidewall spacers. 184. If the method of applying for the scope of patent No. 181, where the gate electrode includes -33- This paper size is applicable to China National Standard (CNS) A4 specifications (210X297 mm) 540086 AB c D VI. Patent scope EEPROM control Electrode, and the insulating layer includes EEPROM charge storage region 〇18 :). For example, the method of claim 184, wherein the word lines are self-aligned to the control electrodes. 186. The method of claim 181, wherein the gate electrodes include EEPROM floating electrodes, and the insulating layer includes a penetrating dielectric layer. 187. For example, the method of applying for the scope of patent application No. 181, wherein the step of forming a word line includes: disposing at least one conductive layer on the fourth insulation layer; forming a first layer on at least one conductive layer A photoresist mask; and etching the at least one conductive layer to form a word line. 188. The method of claim 187, further comprising: engraving the active layer and the first insulating layer with the word line as a mask, so that the word line is self-aligned, and several of the word line are located at the source and the source. EEPROM channel in active layer between pole regions. 189. The method of claim 181, further comprising: forming an interlayer insulating layer on the word line, and forming at least one additional device level on the interlayer insulating layer. 190. The method of claiming scope 189, further comprising: forming a first through hole in the second insulating layer to extend to a first word line or bit line; forming at least one conductive layer; and The conductive layer is patterned to form a plurality of word lines or word line contact layers, and at least one bit line contact layer in contact with at least one of the plurality of word lines or bit lines via the first through hole. -34- 191’如申請專利範圍第190项之方法,其中·· Z通孔牙過孩第二絕緣層而延伸外,也穿過第一 乡巴緣層而延伸;並且 型化該至少為一個之導電層包含:在陣列之第N+1 :、毛成?夂數個字線接觸I ,並㈣列之第N級中形成 土)一個字線或位元線接觸層。 Μ如申請專利範„189項之方法,其進—步包含:於同一 &火步風期間’在陣列之複數個裝置級中,活化接雜的 源極和汲極區域。 193.如申請專利範圍第189項之方法,其進一步包含:於同一 退火步驟期間,在陣列之複數個裝置級中,再結晶該等 活化層。 194. ,申請專利範圍第193項之方法,其進—步包| :同時於 及再結阳退火步驟期㈤’在陣列之複數個裝置、級中,活 化摻雜的源極和;;及極區域。 195. —種製造EEPR0M陣列之方法,其包含: 提供一半導體活性區面; 在該活性區面之上形成複數個虛設塊; 用該等虛設塊為遮罩來摻雜活性區面,而在活性區面 中形成源極和汲極區域; 在該等虛設塊之上及其間形成一完整絕緣層; 平面化該完整絕緣層,以曝露該等虛設塊的頂部; 從平面化的完整絕緣層各部份間選擇性地移除該等虛 設塊’而在該芫整絕緣層各部份間形成複數個通孔; -35- 本纸張尺度適财@ @家鮮(CNS) x 297公爱)~': ----- 六、申請專利範圍 在該複數個通孔中的活性區面上面形成電荷儲存區域 J 在該等電荷儲存區域上面形成一導電閘極層;以及 圖型化該導電閘極層,以形成一覆上該電荷儲存區域 之控制閘極。 196. 如申請專利範圍第195項之方法,其中該電荷儲存區域包 含一 ΟΝΟ介電質膜,或一含導電奈米晶體之絕緣層。 197. 如申請專利範圍第195項之方法,其中該電荷儲存區域在 所含之一穿隧介電質及一控制閘極介電質間,包含一俘 動電極。 198. 如申請專利範圍第195項之方法,其中該等虛設塊包含 PECVD氮化矽。 199. 如申請專利範圍第195項之方法,其中該等虛設塊包含一 犧牲導電閘極及一保護性絕緣層。 200. 如申請專利範圍第199項之方法,其進一步包含:在該等 虛設塊的側壁上形成側壁間隔物。 201. 如申請專利範圍第195項之方法,其中: 該活性區面包含一非晶系碎或多晶石夕層,形成於一層 間絕緣層上面;並且 虛設塊材料在低於600°C之溫度下配置。 202. 如申請專利範圍第201項之方法,其進一步包含: 在該等虛設塊上面及活性區面中曝露的源極和汲極區 域上面,形成一金屬層; 將該金屬層退火,而在源極和汲極區域上面形成矽化 -36- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 六、申請專利範圍 物區域;以及 選擇性地移除虛設塊上面所餘的金屬層未經反應的部 份。 203. 如申請專利範圍第202項之方法,其進一步包含:用該等 矽化物區域為催化劑而再結晶該活性區面。 204. 如申請專利範圍第202項之方法,其中該等矽化物區域包 含大致直交於源極一通道一汲極方向而延伸之埋設的位 元線。 205. 如申請專利範圍第204項之方法,其中該圖型化導電閘極 層之步驟包含:在該等電荷儲存區域之上的通孔中形成 複數個控制閘極,且在該層間絕緣層之上形成複數個字 線。 206. 如申請專利範圍第195項之方法,其中每一電荷儲存區域 包含一第一水平部份,在該通孔中的活性區面之上;一 些垂直部份,在完整絕緣層的側壁鄰近;及一第二水平 部份,在該完整絕緣層之上。 207. 如申請專利範圍第195項之方法,其進一步包含:在該 EEPROM陣列上面形成一完整絕緣層,且在該完整絕緣層 之上形成至少一個附加上的EEPROM陣列。 208. —種形成TFT EEPROM之方法,其包含: 形成一 TFT EEPROM,其含一非晶系矽或多晶矽活性層 、一電荷儲存區域及一控制閘極; 提供一結晶作用催化劑,與該活性層接觸;以及 在提供該催化劑而用該催化劑再結晶活性層之步驟後 -37- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A BCD 六、申請專利範圍 ,將活性層加熱。· 209. 如申請專利範圍第208項之方法,其進一步包含: 在該活性層之上形成複數個結晶窗口;以及 將該結晶作用催化劑供入該等結晶窗口。 210. 如申請專利範圍第209項之方法,其中該形成複數個結晶 窗口之步騾包含: 在該TFT EEPROM之上形成一絕緣層;以及 該圖型化該絕緣層,而同時性地形成結晶窗口邊界與 側壁間隔物。 211. 如申請專利範圍第210項之方法,其中該等側壁間隔物形 成於一犧牲問極上。 212. 如申請專利範圍第211項之方法,其進一步包含: 移除該犧牲閘極;以及 於犧牲閘極移除後及加熱步驟後,形成該電荷儲存區 域及該控制閘極。 213. 如申請專利範圍第208項之方法,其中該催化劑包含Ni、 Ge、Mo、Co、Pt、Pd或其珍化物。 214. 如申請專利範圍第208項之方法,其進一步包含: 在該活性層中形成源極和汲極區域; 形成一金屬矽化物結晶作用催化劑,接觸該等源極和 汲極區域;以及 用該金屬矽化物為結晶作用催化劑而再結晶該活性層 〇 215. —種形成一三維TFT EEPROM陣列之方法,其包括: -38- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂 # 540086 A B c D 六、申請專利範圍 形成一第一 TFT EEPROM,包含有一非結晶矽或一多 晶矽活性層、一電荷儲存區域與一控制閘極; 提供一結晶觸媒以接觸該活性層; 在提供該觸媒以至少部分再結晶利用該觸媒之活性層 之步驟後加熱該活性層; 在該第一 TFT EEPROM之上形成至少一層間絕緣層; 以及 在至少一層間絕緣層上面形成複數個第二TFT EEPROM 〇 216. 如申請專利範圍第215項之方法,其進一步包含:於同一 退火步驟期間,在該陣列之複數個裝置級中,再結晶 TFTs中的活化層。 217. 如申請專利範圍第216項之方法,其進一步包含:同時於 該再結晶退火步驟期間,在該陣列之複數個裝置級中, 活化TFT之摻雜的源極和汲極區域。 218. —種配置於一基板之上之半導體裝置之記憶體陣列,其 包含: 第一複數個間隔分開的導體,在一第一方向配置至該 基板之上之一第一高度處;以及 第二複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至一第二高度處,每一導軌堆疊皆含: 一半導體膜,而其第一表面係接觸該第一複數個間 隔分開的導體; 一導電膜;及 -39- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)191 'The method according to item 190 of the scope of patent application, wherein the Z through-hole teeth extend beyond the second insulating layer and also extend through the first rural marginal layer; and the at least one conductive type is shaped The layer contains: in the N + 1th of the array :, Mao Cheng?夂 Several word lines are in contact with I, and the Nth level of the queue forms a soil) a word line or bit line contact layer. The method as described in Patent Application No. 189, which further includes: activating the coupled source and drain regions in a plurality of device stages of the array during the same & fire step period. 193. If applied The method of the scope of patent No. 189, further comprising: recrystallizing the activation layers in the plurality of device stages of the array during the same annealing step. 194. The method of the scope of patent application No. 193, which further includes Package |: At the same time and during the re-annealing annealing step, the doped source electrode is activated in the plurality of devices and stages of the array; and the electrode region. 195. —A method for manufacturing an EEPROM array, including: Provide a semiconductor active area surface; form a plurality of dummy blocks on the active area surface; use the dummy blocks as a mask to dope the active area surface, and form source and drain regions in the active area surface; A complete insulating layer is formed on and between the dummy blocks; the complete insulating layer is planarized to expose the top of the dummy blocks; the dummy blocks are selectively removed from each part of the planarized complete insulating layer 'While in A plurality of through-holes are formed between each part of the finished insulating layer; -35- This paper is suitable for size @ @ 家 鲜 (CNS) x 297 公 爱) ~ ': ----- A charge storage region J is formed on the active region surfaces of the plurality of through holes, and a conductive gate layer is formed on the charge storage regions; and the conductive gate layer is patterned to form a charge storage region. Control the gate. 196. For example, the method of applying scope of item 195, wherein the charge storage area comprises a dielectric film of 100N0, or an insulating layer containing conductive nanocrystals. 197. Method, wherein the charge storage region includes a trapping electrode between a tunneling dielectric and a control gate dielectric. 198. The method of claim 195, wherein the dummy blocks Contains PECVD silicon nitride. 199. The method of applying scope of item 195, wherein the dummy blocks include a sacrificial conductive gate and a protective insulating layer. 200. The method of applying scope of item 199, further Include: A sidewall spacer is formed on the sidewall of the substrate. 201. The method according to item 195 of the patent application, wherein: the surface of the active region includes an amorphous broken or polycrystalline stone layer formed on an interlayer insulating layer; and a dummy block The material is arranged at a temperature lower than 600 ° C. 202. The method according to item 201 of the patent application scope further includes: forming on the dummy blocks and on the source and drain regions exposed in the active area surface to form A metal layer; the metal layer is annealed to form silicidation on the source and drain regions -36- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) ; And selectively remove unreacted portions of the remaining metal layer above the dummy block. 203. The method of claim 202, further comprising: using the silicide regions as a catalyst to recrystallize the active region surface. 204. The method of claim 202, wherein the silicide regions include buried bit lines extending approximately perpendicular to the source-channel-drain direction. 205. For example, the method of applying scope 204 of the patent application, wherein the step of patterning the conductive gate layer includes: forming a plurality of control gates in the through holes above the charge storage regions, and forming an interlayer insulating layer A plurality of word lines are formed thereon. 206. The method according to item 195 of the patent application, wherein each charge storage region includes a first horizontal portion above the surface of the active region in the via; some vertical portions are adjacent to the sidewall of the complete insulation layer And a second horizontal portion above the complete insulation layer. 207. The method according to claim 195, further comprising: forming a complete insulating layer on the EEPROM array, and forming at least one additional EEPROM array on the complete insulating layer. 208. A method for forming a TFT EEPROM, comprising: forming a TFT EEPROM including an amorphous silicon or polycrystalline silicon active layer, a charge storage region, and a control gate; providing a crystallization catalyst and the active layer Contact; and after the step of providing the catalyst and recrystallizing the active layer with the catalyst-37- This paper size applies Chinese National Standard (CNS) A4 specifications (210 X 297 mm) 540086 A BCD The active layer is heated. 209. The method of claim 208, further comprising: forming a plurality of crystallization windows on the active layer; and supplying the crystallization catalyst into the crystallization windows. 210. The method of claim 209, wherein the step of forming a plurality of crystalline windows includes: forming an insulating layer on the TFT EEPROM; and patterning the insulating layer while simultaneously forming crystals. Window borders and sidewall spacers. 211. The method of claim 210, wherein the sidewall spacers are formed on a sacrificial pole. 212. The method according to claim 211, further comprising: removing the sacrificial gate; and forming the charge storage region and the control gate after the sacrificial gate is removed and after the heating step. 213. The method according to claim 208, wherein the catalyst comprises Ni, Ge, Mo, Co, Pt, Pd or a rare product thereof. 214. The method according to claim 208, further comprising: forming a source and a drain region in the active layer; forming a metal silicide crystallization catalyst to contact the source and drain regions; and using The metal silicide is a catalyst for crystallization and recrystallizes the active layer. 215. A method for forming a three-dimensional TFT EEPROM array includes: (%) Binding # 540086 AB c D 6. The scope of patent application forms a first TFT EEPROM, which includes an amorphous silicon or a polycrystalline silicon active layer, a charge storage area and a control gate; a crystalline catalyst is provided to contact the activity Layer; heating the active layer after the step of providing the catalyst to at least partially recrystallize the active layer of the catalyst; forming at least one interlayer insulating layer on the first TFT EEPROM; and over the at least one interlayer insulating layer Forming a plurality of second TFT EEPROMs 〇216. For example, the method of claim 215 of the patent application scope further includes: in the same annealing step Between, in a plurality of stages of the array of the device, the active layer of the TFTs recrystallization. 217. The method of claim 216, further comprising: simultaneously activating the doped source and drain regions of the TFT in the plurality of device stages of the array during the recrystallization annealing step. 218. A memory array of a semiconductor device arranged on a substrate, comprising: a first plurality of spaced apart conductors arranged in a first direction to a first height above the substrate; and Two or more spaced apart rail stacks are arranged at a second height in a second direction different from the first direction, and each rail stack includes: a semiconductor film, and a first surface thereof contacts the first plurality Spaced-apart conductors; a conductive film; and -39- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 々、申請專利範圍 一局域電荷儲存膜,配置於該半導體膜之一第二表 面與該導電膜之間。 219. 如申請專利範圍第218項之記憶體陣列,其中該第二複數 個間隔分開的導軌堆疊配置於該第一複數個間隔分開的 導體之上; 220. 如申請專利範圍第218項之記憶體陣列,其中該等間隔分 開的導體之間的間隔包含有平面化的氧化物材料。 221. 如申請專利範圍第218項之記憶體陣列,其中該半導體膜 包含多晶碎。 222. 如申請專利範圍第221項之記憶體陣列,其中該多晶矽為 P-摻雜的。 223. 如申請專利範圍第222項之記憶體陣列,其中該P-摻雜的 多晶矽包含N+外擴散區域,在該等間隔分開的導體與該 等間隔分開的導軌堆疊之間的接觸相交處。 224. 如申請專利範圍第218項之記憶體陣列,其中該局域電荷 儲存膜包含一電荷陷獲媒體。 225. 如申請專利範圍第224項之記憶體陣列,其中該電荷陷獲 媒體包含一介電質隔絕的浮動閘極。 226. 如申請專利範圍第224項之記憶體陣列,其中該電荷陷獲 媒體包含電性隔絕的奈米晶體。 227. 如申請專利範圍第224項之記憶體陣列,其中該電荷陷獲 媒體包含一介電質堆疊中之一電荷陷獲層。 228. 如申請專利範圍第227項之記憶體陣列,其中該介電質堆 疊包括一 0-N-0介電質堆疊。 -40- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 六、申請專利範圍 229. 如申請專利範圍第224項之記憶體陣列,其中該導電膜包 含導電多晶矽。 230. 如申請專利範圍第229項之記憶體陣列,其中該導電膜包 含一含導電材料之膜。 231. 如申請專利範圍第218項之記憶體陣列,其中該等間隔分 開的導體包含多晶碎。 232. 如申請專利範圍第231項之記憶體陣列,其中該等間隔分 開的導體包含一含導電材料之膜。 233. 如申請專利範圍第231項之記憶體陣列,其中該等間隔分 開的導體的多晶矽包括一第二導電率型多晶矽。 234. 如申請專利範圍第233項之記憶體陣列,其中該第二導電 率型多晶碎為N+摻雜的。 235. 如申請專利範圍第218項之記憶體陣列,其中該半導體膜 包含多晶矽。 236. 如申請專利範圍第235項之記憶體陣列,其中該第一導電 率型為P-摻雜的。 237. 如申請專利範圍第236項之記憶體陣列,其中該P-摻雜的 半導體膜包含N+外擴散區域,在該第一複數個間隔分開 的導體與該第二複數個間隔分開的導軌堆疊之間的接觸 相交處。 238. 如申請專利範圍第218項之記憶體陣列,其中: 該第一複數個間隔分開的導體包含第一導電率型摻雜 的多晶碎,且有一鄰層包含金屬或金屬碎化物;並且 該導電膜包含第一導電率型摻雜的多晶矽,且有一鄰 -41 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 6 8ο 40 5 A BCD 六、申請專利範圍 層包含金屬或金屬碎化物。 239. —種配置於一基板之上之半導體裝置陣列,其包含: 第一複數個間隔分開的導體,在一第一方向配置至該 基板之上之一第一高度處;以及 第二複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至一第二高度處,每一導軌堆疊皆含: 一半導體膜,而其一第一表面係接觸該第一複數個 間隔分開的導體; 一導電膜;及 一局域電荷儲存膜,配置於該半導體膜之一第二表 面與該導電膜之間; 一隔絕膜; 第三複數個間隔分開的導體,在一第一方向配置至該 隔絕膜之上之一第三高度處; 第四複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至該隔絕膜之上之一第四高度處,每一 導軌堆疊皆含: 一半導體膜,而其第一表面係接觸該第三複數個間 隔分開的導體; 一導電膜;及 一局域電荷儲存膜,配置於該半導體膜之一第二表 面與該導電膜之間。 240. 如申請專利範圍第239項之記憶體陣列,其中: 該第二複數個間隔分開的導軌堆疊配置於該第一複數 -42- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐)(Ii) Scope of patent application A localized charge storage film is disposed between a second surface of one of the semiconductor films and the conductive film. 219. For example, the memory array of the scope of patent application 218, wherein the second plurality of spaced apart guide rails are stacked on the first plurality of spaced apart conductors; 220. For the memory of scope 218 of the patent application A volume array, wherein the spaces between the spaced apart conductors comprise a planarized oxide material. 221. The memory array of claim 218, wherein the semiconductor film includes polycrystalline fragments. 222. The memory array of claim 221, wherein the polycrystalline silicon is P-doped. 223. For example, a memory array of the scope of application for patent No. 222, wherein the P-doped polycrystalline silicon includes an N + outer diffusion region at the contact intersection between the spaced apart conductors and the spaced apart rail stacks. 224. The memory array of claim 218, wherein the local charge storage film includes a charge trapping medium. 225. The memory array of claim 224, wherein the charge trapping medium includes a dielectric-isolated floating gate. 226. The memory array of claim 224, wherein the charge trapping medium comprises an electrically isolated nanocrystal. 227. The memory array of claim 224, wherein the charge trapping medium comprises a charge trapping layer in a dielectric stack. 228. The memory array of claim 227, wherein the dielectric stack includes a 0-N-0 dielectric stack. -40- This paper size is in accordance with Chinese National Standard (CNS) A4 specification (210X297 mm) 6. Patent application scope 229. For example, the memory array of the patent application scope item 224, where the conductive film contains conductive polycrystalline silicon. 230. The memory array of claim 229, wherein the conductive film includes a film containing a conductive material. 231. The memory array of the scope of application for patent No. 218, wherein the spaced apart conductors include polycrystalline fragments. 232. In the case of the memory array of claim 231, the spaced apart conductors include a film containing a conductive material. 233. For example, the memory array of claim 231, wherein the polycrystalline silicon of the spaced-apart conductors includes a second conductivity type polycrystalline silicon. 234. The memory array according to the scope of application for item 233, wherein the second conductivity type polycrystalline chip is N + doped. 235. The memory array of claim 218, wherein the semiconductor film comprises polycrystalline silicon. 236. The memory array of claim 235, wherein the first conductivity type is P-doped. 237. For example, the memory array of claim 236, wherein the P-doped semiconductor film includes an N + outer diffusion region, and the first plurality of spaced apart conductors and the second plurality of spaced apart rail stacks Contact between intersections. 238. The memory array of claim 218, wherein: the first plurality of spaced apart conductors include polycrystalline fragments doped with a first conductivity type, and an adjacent layer contains metal or metal fragments; and The conductive film contains the first conductivity type doped polycrystalline silicon and has an adjacent -41-this paper size is applicable to China National Standard (CNS) A4 specifications (210X297 mm) 6 8ο 40 5 A BCD Metals or metal fragments. 239. A semiconductor device array arranged on a substrate, comprising: a first plurality of spaced apart conductors arranged in a first direction to a first height above the substrate; and a second plurality of The spaced-apart rail stacks are arranged at a second height in a second direction different from the first direction. Each rail stack contains: a semiconductor film, and a first surface of the rail stack contacts the first plurality of spaces. A separate conductor; a conductive film; and a localized charge storage film disposed between a second surface of the semiconductor film and the conductive film; an insulating film; a third plurality of spaced apart conductors in a first Direction is arranged to a third height above the insulation film; a fourth plurality of spaced apart guide rail stacks are arranged to a fourth height above the insulation film in a second direction different from the first direction, Each rail stack includes: a semiconductor film, and a first surface thereof is in contact with the third plurality of spaced apart conductors; a conductive film; and a localized charge storage film disposed on the semiconductor film Between a second surface and the conductive film. 240. For example, the memory array of the scope of application for patent No. 239, wherein: the second plurality of spaced apart guide rails are arranged in the first plurality -42- This paper size is applicable to China National Standard (CNS) A4 specification (210X297) (Centimeter) 540086 A B c D 六、申請專利範圍 個間隔分開的導體之上; 該隔絕膜配置於該第二複數個間隔分開的導軌堆疊之 上; 該第三複數個間隔分開的導體配置於該隔絕膜之上; 該第四複數個間隔分開的導軌堆疊配置於該第三複數 個間隔分開的導體之上。 241. 如申請專利範圍第239項之記憶體陣列,其中該等間隔分 開的導體之間的間隔包含有平面化的氧化物材料。 242. 如申請專利範圍第239項之記憶體陣列,其中該半導體膜 包含P-摻雜的多晶矽。 243. 如申請專利範圍第242項之記憶體陣列,其中該P-摻雜的 多晶矽包含N+外擴散區域,在該等間隔分開的導體與該 等間隔分開的導軌堆疊之間的接觸相交處。 244. 如申請專利範圍第239項之記憶體陣列,其中該局域電荷 儲存膜包含一電荷陷獲媒體。 245. 如申請專利範圍第244項之記憶體陣列,其中該電荷陷獲 媒體包含一介電質隔絕的浮動閘極。 246. 如申請專利範圍第244項之記憶體陣列,其中該電荷陷獲 媒體包含電性隔絕的奈米晶體。 247. 如申請專利範圍第244項之記憶體陣列,其中該電荷陷獲 媒體包含一介電質堆疊中之一電荷陷獲層。 248. 如申請專利範圍第247項之記憶體陣列,其中該介電質堆 疊包括一 0-N-0介電質堆疊。 249. 如申請專利範圍第244項之記憶體陣列,其中該導電膜包 -43- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)540086 AB c D 6. The patent application scope is on the spaced apart conductors; the insulation film is arranged on the second plurality of spaced apart rail stacks; the third plurality of spaced conductors is placed on the insulation film The fourth plurality of spaced apart guide rails are stacked on the third plurality of spaced apart conductors. 241. For example, the memory array under the scope of patent application No. 239, wherein the space between the spaced apart conductors includes a planar oxide material. 242. The memory array of claim 239, wherein the semiconductor film comprises P-doped polycrystalline silicon. 243. For example, the memory array of the patent application No. 242, wherein the P-doped polycrystalline silicon includes an N + outer diffusion region at the contact intersection between the spaced apart conductors and the spaced apart rail stacks. 244. The memory array of claim 239, wherein the local charge storage film includes a charge trapping medium. 245. The memory array of claim 244, wherein the charge trapping medium includes a dielectric-isolated floating gate. 246. The memory array of claim 244, wherein the charge trapping medium comprises an electrically isolated nanocrystal. 247. The memory array of claim 244, wherein the charge trapping medium comprises a charge trapping layer in a dielectric stack. 248. For example, the memory array of claim 247, wherein the dielectric stack includes a 0-N-0 dielectric stack. 249. If you apply for a memory array with the scope of patent application No. 244, where the conductive film package -43- This paper size applies to China National Standard (CNS) A4 specifications (210X 297 mm) 540086 A B c D 六、申請專利範圍 含導電多晶矽。 250. 如申請專利範圍第249項之記憶體陣列,其中該導電膜包 含一含導電材料之膜。 251. 如申請專利範圍第239項之記憶體陣列,其中該等間隔分 開的導體包含多晶矽。 252. 如申請專利範圍第251項之記憶體陣列,其中該等間隔分 開的導體包含一含導電材料之膜。 253. 如申請專利範圍第251項之記憶體陣列,其中該等間隔分 開的導體的多晶矽包括一第二導電率型多晶矽。 254. 如申請專利範圍第253項之記憶體陣列,其中該第二導電 率型多晶碎為N+摻雜的。 255. 如申請專利範圍第239項之記憶體陣列,其中該半導體膜 包含多晶碎。 256. 如申請專利範圍第255項之記憶體陣列,其中該半導體膜 多晶碎為P-捧雜的。 257. 如申請專利範圍第256項之記憶體陣列,其中該P-摻雜的 半導體膜包含N+外擴散區域,在該第一複數個間隔分開 的導體與該第二複數個間隔分開的導軌堆疊之間的接觸 相交處。 258. 如申請專利範圍第239項之記憶體陣列,其中: 該第一及第三複數個間隔分開的導體包含第一導電率 型摻雜的多晶矽,且有一鄰層包含金屬或金屬矽化物; 並且 該導電膜包含第一導電率型摻雜的多晶矽,且有一鄰 -44- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)540086 A B c D 6. Scope of patent application Contains conductive polycrystalline silicon. 250. The memory array of claim 249, wherein the conductive film includes a film containing a conductive material. 251. In the case of a memory array under patent application No. 239, wherein the spaced apart conductors include polycrystalline silicon. 252. If the memory array of the scope of application for patent No. 251, wherein the spaced apart conductors include a film containing a conductive material. 253. For example, the memory array of claim 251, wherein the polycrystalline silicon of the spaced-apart conductors includes a second conductivity type polycrystalline silicon. 254. The memory array according to item 253 of the application, wherein the second conductivity type polycrystalline is N + doped. 255. The memory array of claim 239, wherein the semiconductor film includes polycrystalline fragments. 256. For example, the memory array of the scope of application for patent No. 255, wherein the semiconductor film polycrystalline is P-doped. 257. For example, the memory array of the scope of application for patent No. 256, wherein the P-doped semiconductor film includes an N + outer diffusion region, and the first plurality of spaced apart conductors and the second plurality of spaced apart rail stacks are stacked. Contact between intersections. 258. For example, the memory array of the scope of application for patent No. 239, wherein: the first and third spaced apart conductors comprise a first conductivity type doped polycrystalline silicon, and an adjacent layer comprises a metal or a metal silicide; And the conductive film contains the first conductivity type doped polycrystalline silicon and has an adjacent -44-. This paper size applies to China National Standard (CNS) A4 specifications (210X 297 mm). 540086 A B c D 々、申請專利範圍 層包含金屬或金屬碎化物。 259. —種配置於一基板之上之記憶體陣列,其包含: 第一複數個間隔分開的導軌堆疊,在一第一方向配置 至該基板之上之一第一高度處,每一第一導軌堆疊皆含 一導電膜; 一局域電荷儲存膜,配置於該導電膜之上;及 一半導體膜,配置於局域電荷儲存膜之上; 第二複數個間隔分開的導體,在一異於第一方向之第 二方向配置至第一高度處之上之一第二高度處;以及 第三複數個間隔分開的導軌堆疊,在第一方向配置至 第二高度處之上之一第三高度處,每一第三導軌堆疊皆 含: 一半導體膜; 一局域電荷儲存膜,配置於該半導體膜之上;及 一導電膜,配置於該局域電荷儲存膜之上。 260. 如申請專利範圍第259項之記憶體陣列,其中該第二複數 個間隔分開的導體接觸第一及第三導軌堆疊中的半導體 膜。 261. 如申請專利範圍第260項之記憶體陣列,其中該第二複數 個間隔分開的導體接觸第一及第三導軌堆疊之半導體膜 中摻雜的源極和沒極區域。 262. —種配置於一基板之上之記憶體陣列,其包含: 第一複數個間隔分開的導軌堆疊,在一第一方向配置 -45- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)540086 A B c D 々, patent application layer The layer contains metal or metal fragments. 259. A memory array arranged on a substrate, comprising: a first plurality of spaced apart guide rail stacks, arranged in a first direction to a first height above the substrate, each first Each of the rail stacks includes a conductive film; a localized charge storage film disposed on the conductive film; and a semiconductor film disposed on the localized charge storage film; a second plurality of spaced apart conductors in a different Arranged in a second direction of the first direction to a second height above the first height; and a third plurality of spaced apart rail stacks arranged in a first direction to a third above the second height At a height, each third rail stack includes: a semiconductor film; a localized charge storage film disposed on the semiconductor film; and a conductive film disposed on the localized charge storage film. 260. The memory array of claim 259, wherein the second plurality of spaced apart conductors contact the semiconductor films in the first and third rail stacks. 261. The memory array of claim 260, wherein the second plurality of spaced apart conductors contact the doped source and non-electrode regions in the semiconductor films of the first and third rail stacks. 262. —A memory array arranged on a substrate, comprising: a first plurality of spaced apart guide rail stacks, arranged in a first direction -45- This paper size applies to China National Standard (CNS) A4 specifications ( 210 X 297 mm) 六、申請專利範圍 至該基板之上之一第一高度處,每一導軌堆疊皆含一導 電膜;一局域電荷儲存膜,配置於該導電膜之上;及一 半導體膜,配置於局域電荷儲存膜之上;以及 第二複數個間隔分開的導體,在一異於第一方向之第 二方向配置至第一高度處之上之一第二高度處,該等間 隔分開的導體覆上半導體膜含雜質之區域,該等區域形 成該等間隔分開的導體與該局域電荷儲存膜之間的電連 接。 263. 如申請專利範圍第262項之記憶體陣列,其進一步包含: 第三複數個間隔分開的導軌堆疊,在第一方向配置至 第二高度處之上之一第三高度處,每一導軌堆疊皆含一 半導體膜;一局域電荷儲存膜,配置於該導電膜之上; 及一第二半導體膜,配置於局域電荷儲存膜之上;以及 第四複數個間隔分開的導體,在第二方向配置至第三 高度處之上之一第四高度處,該等間隔分開的導體覆上 第二半導體膜含雜質之區域,該等區域形成該等間隔分 開的導體與該局域電荷儲存膜之間的電連接。 264. 如申請專利範圍第262項之記憶體陣列,其中該等間隔分 開的導體之間的間隔包含有平面化的氧化物材料。 265. —種配置於一基板之上之記憶體陣列,其包含: 第一複數個間隔分開的導軌堆疊,在一第一方向配置 至該基板之上之一第一高度處,每一導軌堆疊皆含一導 電膜;及一局域電荷儲存膜,配置於該導電膜之上; 第二複數個間隔分開的導體,在一異於第一方向之第 -46- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 六、申請專利範圍 二方向配置至第一高度處之上之一第二高度處,該等間 隔分開的導體造成與該等導軌堆疊之接觸相交處,以致 該等間隔分開的導體在該等接觸相交處直接接觸該等局 域電何儲存膜,以及 一半導體膜,在該等接觸相交處之上之一區域中配置 於該等間隔分開的導體之間,及該等局域電荷儲存膜之 上。 266. 如申請專利範圍第265項之記憶體陣列,其中該等間隔分 開的導體之間的間隔包含有平面化的氧化物材料。 267. 如申請專利範圍第265項之記憶體陣列,其中該半導體膜 包含多晶珍。 268. 如申請專利範圍第267項之記憶體陣列,其中該多晶矽半 導體膜為P-摻雜的。 269. 如申請專利範圍第268項之記憶體陣列,其中該P-摻雜的 多晶矽包含N+外擴散區域,鄰近於該等間隔分開的導體 〇 270. 如申請專利範圍第265項之記憶體陣列,其中該局域電荷 儲存膜包含一電荷陷獲媒體。 271. 如申請專利範圍第270項之記憶體陣列,其中該電荷陷獲 媒體包含一介電質隔絕的浮動閘極。 272. 如申請專利範圍第270項之記憶體陣列,其中該電荷陷獲 媒體包含電性隔絕的奈米晶體。 273. 如申請專利範圍第270項之記憶體陣列,其中該電荷陷獲 媒體包含一介電質堆疊中之一電荷陷獲層。 -47- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A BCD 六、申請專利範圍 274. 如申請專利範圍第·273項之記憶體陣列,其中該介電質堆 疊包括一 0-Ν-0介電質堆疊。 275. 如申請專利範圍第270項之記憶體陣列,其中該導電膜包 含導電多晶矽。 276. 如申請專利範圍第275項之記憶體陣列,其中該導電膜包 含一含導電材料之膜。 277. 如申請專利範圍第265項之記憶體陣列,其中該等間隔分 開的導體包含多晶珍。 278. 如申請專利範圍第277項之記憶體陣列,其中該等間隔分 開的導體包含一含導電材料之膜。 279. 如申請專利範圍第277項之記憶體陣列,其中該等間隔分 開的導體的多晶矽包括一第二導電率型多晶矽。 280. 如申請專利範圍第277項之記憶體陣列,其中該第二導電 率型多晶矽為Ν+摻雜的。 281. 如申請專利範圍第265項之記憶體陣列,其中該半導體膜 包含多晶碎。 282. 如申請專利範圍第281項之記憶體陣列,其中該半導體膜 多晶碎為Ρ-捧雜的。 283. 如申請專利範圍第282項之記憶體陣列,其中該Ρ-摻雜的 半導體膜包含Ν+外擴散區域,在該第二複數個間隔分開 的導體與該第一複數個間隔分開的導軌堆疊之間的接觸 相交處。 284. 如申請專利範圍第265項之記憶體陣列,其中: 該第一複數個間隔分開的導體包含第一導電率型摻雜 -48- 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐)6. At the first level above the substrate, each rail stack includes a conductive film; a localized charge storage film is disposed on the conductive film; and a semiconductor film is disposed on the substrate. Over a domain charge storage film; and a second plurality of spaced apart conductors arranged in a second direction different from the first direction to a second height above the first height, the spaced apart conductors are covered The upper semiconductor film contains regions of impurities that form electrical connections between the spaced-apart conductors and the local charge storage film. 263. For example, the memory array of the scope of application for patent No. 262, further comprising: a third plurality of spaced apart rail stacks arranged in the first direction to a third height above the second height, each rail The stack all includes a semiconductor film; a localized charge storage film disposed on the conductive film; and a second semiconductor film disposed on the localized charge storage film; and a fourth plurality of spaced apart conductors, The second direction is disposed to one of the fourth height above the third height. The spaced-apart conductors are covered with the regions containing impurities in the second semiconductor film. The areas form the spaced-apart conductors and the local charge. Electrical connection between storage films. 264. For example, the memory array of claim 262, wherein the space between the spaced apart conductors includes a planar oxide material. 265. A memory array disposed on a substrate, comprising: a first plurality of spaced apart rail stacks, each of which is disposed in a first direction at a first height above the substrate, All contain a conductive film; and a localized charge storage film, which is arranged on the conductive film; a second plurality of spaced apart conductors, which is different from the first direction -46- Standard (CNS) A4 specification (210 X 297 mm) 6. The patent application scope is arranged in two directions to one of the first height and the second height. The spaced-apart conductors cause the intersection with the rail stack contacts. Such that the spaced-apart conductors directly contact the local electrical storage films at the contact intersections, and a semiconductor film is disposed in the spaced-apart areas in an area above the contact intersections. Between conductors and above these local charge storage films. 266. For example, the memory array under the scope of patent application No. 265, wherein the space between the spaced apart conductors includes a planar oxide material. 267. The memory array according to claim 265, wherein the semiconductor film includes polycrystalline silicon. 268. The memory array of claim 267, wherein the polycrystalline silicon semiconductor film is P-doped. 269. For example, a memory array with a scope of patent application No. 268, wherein the P-doped polycrystalline silicon includes an N + outer diffusion region, adjacent to the spaced-apart conductors. The local charge storage film includes a charge trapping medium. 271. The memory array of claim 270, wherein the charge trapping medium includes a dielectric-isolated floating gate. 272. The memory array of claim 270, wherein the charge trapping medium comprises an electrically isolated nanocrystal. 273. The memory array of claim 270, wherein the charge trapping medium includes a charge trapping layer in a dielectric stack. -47- This paper size applies to China National Standard (CNS) A4 specification (210 X 297 mm) 540086 A BCD VI. Application scope of patent 274. For example, the memory array with scope of patent application No. 273, where the dielectric The stack includes a 0-N-0 dielectric stack. 275. The memory array of claim 270, wherein the conductive film comprises conductive polycrystalline silicon. 276. The memory array of claim 275, wherein the conductive film includes a film containing a conductive material. 277. In the case of a memory array under application No. 265, the spaced apart conductors include polycrystalline silicon. 278. In the case of a memory array under patent application No. 277, wherein the spaced apart conductors comprise a film containing a conductive material. 279. For example, the memory array of claim 277, wherein the polycrystalline silicon of the spaced apart conductors includes a second conductivity type polycrystalline silicon. 280. For example, the memory array of the scope of application for patent No. 277, wherein the second conductivity type polycrystalline silicon is N + doped. 281. The memory array of claim 265, wherein the semiconductor film includes polycrystalline fragments. 282. The memory array of claim 281, wherein the semiconductor film polycrystalline is P-doped. 283. According to the memory array of claim 282, wherein the P-doped semiconductor film includes an N + outer diffusion region, the second plurality of spaced apart conductors and the first plurality of spaced apart guide rails Where the contacts between the stacks intersect. 284. For example, the memory array of the scope of application for patent No. 265, wherein: the first plurality of spaced apart conductors include the first conductivity type doping -48- This paper is applicable to China National Standard (CNS) A4 specification (210 x 297 mm) 540086 A B c D 々、申請專利範圍 的多晶矽,且有一鄰層包含金屬或金屬矽化物;並且 該導電膜包含第一導電率型摻雜的多晶矽,且有一鄰 層包含金屬或金屬石夕化物。 285. 如申請專利範圍第265項之記憶體陣列,其中該陣列包括 一單石三維陣列。 286. —種程式化三維NMOS記憶體單元陣列之一記憶體單元 (具有二個位元資訊)之方法,該記憶體單元陣列配置於 一基板之上且包含第一複數個(Z個)記憶體級,第z個記憶 體級含第二複數個(X個)位元線導體及第三複數個(Y個) 字線導體,該等記憶體單元有一局域電荷儲存媒體,該 方法包含: 提供一第一電位、一第二電位及一第三電位’該第一 電位小於該第二電位,且該第二電位小於該第三電位; 選取一記憶體單元來程式化,其位於字線y、級z所界 定處,而配置於位元線X與x+ 1之間; 由以下,程式化該二個位元之第一個: 施該第一電位於第Z級上所有小於或等於X的位元線 施該第二電位於第Z級上所有大於X的位元線; 施該第三電位於第z級上的字線y ;及 施該第一電位於第z級上所有非y的字線及非第z級上 的所有字線及位元線;以及 由以下,程式化該二個位元之第二個: 施該第一電位於第z級上所有大於X的位元線; -49 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)540086 A B c D 々, patented polycrystalline silicon, and an adjacent layer containing metal or metal silicide; and the conductive film comprises a first conductivity type doped polycrystalline silicon, and an adjacent layer contains metal or metal silicide. 285. The memory array of claim 265, wherein the array includes a single-stone three-dimensional array. 286. A method for stylizing a memory cell (having two bit information) of a three-dimensional NMOS memory cell array, the memory cell array is arranged on a substrate and includes a first plurality (Z) of memories At the body level, the zth memory level includes a second plurality (X) bit line conductors and a third plurality (Y) word line conductors. These memory cells have a local charge storage medium. The method includes : Providing a first potential, a second potential, and a third potential, the first potential is smaller than the second potential, and the second potential is smaller than the third potential; a memory cell is selected for programming, and it is located at the word Lines y and z are defined, and are arranged between bit lines X and x + 1; the first of the two bits is stylized by: A bit line equal to X applies the second power to all bit lines greater than X on the Z level; applies the third power to the word line y on the z level; and applies the first power to the z level All non-y word lines and all word and bit lines on levels other than z; and The formula of the second two bytes of: applying the first power is greater than X, all located on the first bit line level z; -49-- This applies China National Standard Paper Scale (CNS) A4 size (210X297 mm) 540086 8 8 8 8 A BCD 六、申請專利範圍 施該第二電位於第Z級上所有小於或等於X的位元線 y 施該第二電位於第z級上的字線y ;及 施該第一電位於第z級上所有非y的字線及非第z級上 的所有字線及位元線。 287. 如申請專利範圍第286項之方法,其中該第二電位在約3 至約8伏特之範圍内,大於該第一電位。 288. 如申請專利範圍第287項之方法,其中該第三電位在約9 至約13伏特之範圍内,大於該第一電位。 289. —種程式化三維PMOS記憶體單元陣列之一記憶體單元 (具有二個位元資訊)之方法,該記憶體單元陣列配置於 一基板之上且包含第一複數個(Z個)記憶體級,第z個記憶 體級含第二複數個(X個)位元線導體及第三複數個(Y個) 字線導體,該等記憶體單元有一局域電荷儲存媒體,該 方法包含: 提供一第一電位、一第二電位及一第三電位,該第一 電位大於該第二電位,且該第二電位大於該第三電位; 選取一記憶體單元來程式化,其位於字線y、級z所界 定處,而配置於位元線X與x+ 1之間; 由以下,程式化該二個位元之第一個: 施該第一電位於第z級上所有小於或等於X的位元線 j 施該第二電位於第Z級上所有大於X的位元線; 施該第三電位於第z級上的字線y,及 -50- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)540086 8 8 8 8 A BCD Sixth, the scope of the patent application applies the second line located on the z-th bit line y less than or equal to X applies the second line on the z-th word line y; and applies the The first electric line is located on all non-y word lines on the z-th stage and all word lines and bit lines on the non-z-th stage. 287. The method of claim 286, wherein the second potential is in the range of about 3 to about 8 volts and is greater than the first potential. 288. According to the method of claim 287, the third potential is in the range of about 9 to about 13 volts, which is greater than the first potential. 289. A method of stylizing a memory cell (having two bit information) of a three-dimensional PMOS memory cell array, the memory cell array is arranged on a substrate and includes a first plurality of (Z) memories At the body level, the zth memory level includes a second plurality (X) bit line conductors and a third plurality (Y) word line conductors. These memory cells have a local charge storage medium. The method includes : Providing a first potential, a second potential, and a third potential, the first potential is greater than the second potential, and the second potential is greater than the third potential; a memory cell is selected for programming, and it is located at the word Lines y and z are defined, and are arranged between bit lines X and x + 1; the first of the two bits is stylized by: A bit line j equal to X applies the second line to all bit lines greater than X on the Z-th level; applies a third line to the word line y on the z-th level, and -50- This paper size applies to China Standard (CNS) A4 (210X 297 mm) 540086 A B c D 六、申請專利範圍 施該第一電位於第z級上所有非y的字線及非第Z級上 的所有字線及位元線;以及 由以下,程式化該二個位元之第二個: 施該第一電位於第z級上所有大於X的位元線; 施該第二電位於第z級上所有小於或等於X的位元線 j 施該第三電位於第z級上的字線y ;及 施該第一電位於第z級上所有非y的字線及非第z之級 上的所有字線及位元線。 290. 如申請專利範圍第289項之方法,其中該第二電位在約3 至約8伏特之範圍内,小於該第一電位。 291. 如申請專利範圍第290項之方法,其中該第三電位在約9 至約13伏特之範圍内,小於該第一電位。 292. —種讀出三維NMOS記憶體單元陣列之一記憶體單元(儲 存有二個位元資訊)内容之方法,該記憶體單元陣列配置 於一基板之上且包含第一複數個(Z個)記憶體級,第z個記 憶體級含第二複數個(X個)位元線導體及第三複數個(Y個) 字線導體,該等記憶體單元有一局域電荷儲存媒體,該 方法包含: 提供一第一電位、一第二電位及一第三電位,該第一 電位小於該第二電位,且該第二電位小於該第三電位; 選取一記憶體單元來讀出,其位於字線y、級z所界定 處,而配置於位元線X與x+ 1之間; 施該第二電位於第z級上所有小於或等於X的位元線; -51 - 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)540086 AB c D 6. The scope of the patent application is that the first electric line is located on all non-y word lines on the z-th level and all word lines and bit lines on the non-Z-th level; and the two bits are stylized by the following The second element: the first line is located on all bit lines greater than X on the z-th level; the second line is located on all bit lines less than or equal to X on the z-th level; the third line is located on The word line y on the z-th stage; and the first electric line is located on all non-y word lines on the z-th stage and all word lines and bit lines on the non-z-th stage. 290. The method of claim 289, wherein the second potential is in the range of about 3 to about 8 volts, which is smaller than the first potential. 291. According to the method of claim 290, the third potential is in the range of about 9 to about 13 volts, which is smaller than the first potential. 292. —A method for reading the contents of a memory cell (which stores two bit information) of a three-dimensional NMOS memory cell array, the memory cell array is arranged on a substrate and includes a first plurality (Z ) Memory level. The zth memory level contains a second plurality (X) bit line conductors and a third plurality (Y) word line conductors. These memory cells have a local charge storage medium. The method includes: providing a first potential, a second potential, and a third potential, the first potential is less than the second potential, and the second potential is less than the third potential; selecting a memory cell to read, and It is located at the line defined by word line y and level z, and is arranged between bit line X and x + 1; the second power is located at all bit lines less than or equal to X on level z; -51-this paper Standards apply to China National Standard (CNS) A4 specifications (210X 297 mm) 六、申請專利範圍 施該第一電位於第Z級上所有大於X的位元線; 施該第三電位於第z級上的字線y ;及 施該第一電位於第z級上所有非y的字線及非第z之級上 的所有字線及位元線;以及 施該第二電位於第z級上所有大於X的位元線; 施該第一電位於第z級上所有小於或等於X的位元線; 施該弟二電位於弟z級上的字線y,及 施該第一電位於第z級上所有非y的字線及非第z之級上 的所有字線及位元線。 293. 如申請專利範圍第292項之方法,其中該第二電位在約50 毫伏特至約3伏特之範圍内,大於該第一電位。 294. 如申請專利範圍第293項之方法,其中該第三電位在約1 至約5伏特之範圍内,大於該第一電位。 295. —種讀出三維PMOS記憶體單元陣列之一記憶體單元(儲 存有二個位元資訊)内容之方法,該記憶體單元陣列配置 於一基板之上且包含第一複數個(Z個)記憶體級,第z個記 憶體級含第二複數個(X個)位元線導體及第三複數個(Y個) 字線導體,該等記憶體單元有一局域電荷儲存媒體,該 方法包含: 提供一第一電位、一第二電位及一第三電位,該第一 電位大於該第二電位,且該第二電位大於該第三電位; 選取一記憶體單元來讀出,其位於字線y、級z所界定 處,而配置於位元線X與x+ 1之間; 施該第二電位於第z級上所有小於或等於X的位元線; -52- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 六、申請專利範圍 施該第一電位於第Z級上所有大於X的位元線; 施該弟二電位於弟z級上的字線y,及 施該第一電位於第z級上所有非y的字線及非第z之級上 的所有字線及位元線;以及 施該第二電位於第z級上所有大於X的位元線; 施該第一電位於第z級上所有小於或等於X的位元線; 施該第三電位於第z級上的字線y ;及 施該第一電位於第z級上所有非y的字線及非第z之級上 的所有字線及位元線。 296. 如申請專利範圍第295項之方法,其中該第二電位在約50 毫伏特至約3伏特之範圍内,小於該第一電位。 297. 如申請專利範圍第296項之方法,其中該第三電位在約1 至約5伏特之範圍内,小於該第一電位。 298. —種抹除三維NMOS記憶體單元陣列所有的記憶體單元 (每記憶體單元儲存有二個位元資訊)内容之方法,該記 憶體單元陣列配置於一基板之上且包含第一複數個(Z個) 記憶體級,第z個記憶體級含第二複數個(X個)位元線導 體及第三複數個(Y個)字線導體,該等記憶體單元有一局 域電荷儲存媒體,該方法包含: 提供一第一電位及一第二電位,該第一電位小於該第 二電位; 施該第二電位於所有的位元線;以及 施該第一電位於所有的字線。 299. 如申請專利範圍第298項之方法,其中該第二電位在約5 -53- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 六、申請專利範圍 至約15伏特之範圍内,大於該第一電位。 300. —種抹除三維PMOS記憶體單元陣列所有的記憶體單元 (每記憶體單元儲存有二個位元資訊)内容之方法,該記 憶體單元陣列配置於一基板之上且包含第一複數個(Z個) 記憶體級,第z個記憶體級含第二複數個(X個)位元線導 體及第三複數個(Y個)字線導體,該等記憶體單元有一局 域電荷儲存媒體,該方法包含: 提供一第一電位及一第二電位,該第一電位大於該第 二電位; 施該第二電位於所有的位元線;以及 施該第一電位於所有的字線。 301. 如申請專利範圍第300項之方法,其中該第二電位在約5 至約15伏特之範圍内,小於該第一電位。 302. —種抹除三維NMOS記憶體單元陣列之一記憶體單元(具 有二個位元資訊)之單一個位元之方法,該記憶體單元陣 列配置於一基板之上且包含第一複數個(Z個)記憶體級, 第z個記憶體級含第二複數個(X個)位元線導體及第三複 數個(Y個)字線導體,該等記憶體單元有一局域電荷儲存 媒體,該方法包含: 提供一第一電位、一第二電位及一第三電位,該第一 電位小於或等於該第二電位,且該第二電位小於該第三 電位; 選取一記憶體單元之一記憶體儲存位置來抹除,該記 憶體單元位於字線y、級z所界定處,配置於位元線X與 -54- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 々、申請專利範圍 χ+ 1之間,而該儲存位置鄰近位元線χ+ 1 ; 讀出一記憶體單元之一記憶體儲存位置的内容,該記 憶體單元位於字線y、級z所界定處,配置於位元線x+1與 x+2之間,而該儲存位置鄰近位元線χ+1; 儲存該等内容於一記憶體儲存器; 施該第一電位於字線y ; 施該第二電位於所有非x+ 1的位元線; 施該第二電位於字線χ+ 1, 浮動所有非y的字線; 施該第一電位於非第z級上的所有字線及位元線,或浮 動非第z級上的所有字線及位元線; 從該記憶體儲存器檢索該等内容;以及 將該等内容寫入記憶體單元之該記憶體儲存位置,該 記憶體單元位於字線y、級z所界定處,配置於位元線x+1 與x+2之間,而該儲存位置鄰近位元線x+卜 303. 如申請專利範圍第302項之方法,其中該第二電位在約0 至約5伏特之範圍内,大於該第一電位。 304. 如申請專利範圍第303項之方法,其中該第三電位在約5 至約15伏特之範圍内,大於該第一電位。 305. —種抹除三維PMOS記憶體單元陣列之一記憶體單元(具 有二個位元資訊)之單一個位元之方法,該記憶體單元陣 列配置於一基板之上且包含第一複數個(Ζ個)記憶體級, 第ζ個記憶體級含第二複數個(X個)位元線導體及第三複 數個(Υ個)字線導體,該等記憶體單元有一局域電荷儲存 -55- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 六、申請專利範圍 媒體,該方法包含: 提供一第一電位、一第二電位及一第三電位,該第一 電位大於或等於該第二電位,且該第二電位大於該第三 電位, 選取一記憶體單元之一記憶體儲存位置來抹除,該記 憶體單元位於字線y、級z所界定處,配置於位元線X與 x+ 1之間,而該儲存位置鄰近位元線x+1 ; 讀出一記憶體單元之一記憶體儲存位置的内容,該記 憶體單元位於字線y、級z所界定處,配置於位元線x+1與 χ+2之間,而該儲存位置鄰近位元線x+l; 儲存該等内容於一記憶體儲存器; 施該第一電位於字線y; 施該第二電位於所有非x+ 1的位元線,或浮動所有非 x+ 1的位元線; 施該第三電位於字線x+ 1 ; 浮動所有非y的字線; 施該第一電位於非第z級上的所有字線及位元線; 從該記憶體儲存器檢索該等内容;以及 將該等内容寫入記憶體單元之該記憶體儲存位置,該 記憶體單元位於字線y、級z所界定處,配置於位元線x+ 1 與x+2之間,而該儲存位置鄰近位元線x+l。 306. 如申請專利範圍第305項之方法,其中該第二電位在約0 至約5伏特之範圍内,小於該第一電位。 307. 如申請專利範圍第306項之方法,其中該第三電位在約5 -56- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A B c D 六、申請專利範圍 至約15伏特之範圍内,小於該第一電位。 308. —種製造三維TFT記憶體單元陣列之方法,其包含: (a) 在一基板上面配置一隔絕層; (b) 在該隔絕層上面,而在一第一方向配置第一複數個 間隔分開的導體; (c) 在該第一複數個間隔分開的導體上面配置一絕緣層 ,藉該絕緣材料以填充該等間隔分開的導體之間的間隔 (d) 平面化該絕緣層,以曝露該第一複數個間隔分開的 導體; (e) 在該第一複數個間隔分開的導體上面接觸,而在一 第二方向配置第二複數個間隔分開的導軌堆疊,該等導 軌堆疊包含第一層第一導電率型半導體材料、含一局域 電荷儲存膜之第二層,及一第三導電層。 309. 如申請專利範圍第308項之方法,其進一步包含: (f) 重複⑻、(b)、(c)、⑷及(e)必要次數,以形成必要數目 之丁FT記憶體單元級。 310. 如申請專利範圍第308項之方法,其中該平面化之步驟包 含 CMP 〇 311. 如申請專利範圍第310項之方法,其進一步包含:以CMP 來平面化該隔絕層。 312. 如申請專利範圍第308項之方法,其進一步包含: (g) 從該第一複數個間隔分開而為第二導電率型摻雜的 多晶矽導體,外擴散源極和汲極摻雜劑入於該第一層半 -57- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)6. The scope of the patent application applies the bit line where the first power is located on the z-th level greater than X; applies the word line y where the third power is located on the z-th level; and applies the first power located on the z-level all Non-y word lines and all word lines and bit lines on levels other than z; and applying the second power to all bit lines greater than X on level z; applying the first power on level z All bit lines less than or equal to X; the word line y on the z-th level of the second electric power, and the word line y on the z-th level and all non-y word lines on the z-th level All word lines and bit lines. 293. The method of claim 292, wherein the second potential is greater than the first potential in a range of about 50 millivolts to about 3 volts. 294. According to the method of claim 293, the third potential is in the range of about 1 to about 5 volts, which is greater than the first potential. 295. —A method for reading the content of a memory cell (which stores two bit information) of a three-dimensional PMOS memory cell array, the memory cell array is arranged on a substrate and includes a first plurality (Z ) Memory level. The zth memory level contains a second plurality (X) bit line conductors and a third plurality (Y) word line conductors. These memory cells have a local charge storage medium. The method includes: providing a first potential, a second potential, and a third potential, the first potential is greater than the second potential, and the second potential is greater than the third potential; selecting a memory cell to read, and It is located at the line defined by word line y and level z, and is arranged between bit line X and x + 1; the second power is located at all bit lines less than or equal to X on level z; -52- scale of this paper Applicable to China National Standard (CNS) A4 specification (210 X 297 mm) 6. Scope of patent application: The first line is located on the Z-th level and all bit lines greater than X; The second line is on the second level. Word line y, and all the non-y word lines and non-y all word lines and bit lines on level z; and all bit lines greater than X on the z-th level; all bits less than or equal to X on the z-th level Line; the third line is located on the z-th word line; and the first line is located on all the non-y word lines on the z-th level and all word lines and bit lines on the non-z-th level. 296. The method according to claim 295, wherein the second potential is in the range of about 50 millivolts to about 3 volts and is smaller than the first potential. 297. The method according to claim 296, wherein the third potential is in the range of about 1 to about 5 volts and is smaller than the first potential. 298. —A method for erasing the contents of all the memory cells in the three-dimensional NMOS memory cell array (each memory cell stores two bit information), the memory cell array is arranged on a substrate and includes a first plural number (Z) memory levels, the zth memory level contains a second plurality (X) bit line conductors and a third plurality (Y) word line conductors, these memory cells have a localized charge A storage medium, the method comprising: providing a first potential and a second potential, the first potential being less than the second potential; applying the second power to all bit lines; and applying the first power to all words line. 299. If the method of applying for the scope of patent No. 298, wherein the second potential is about 5 -53- This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) In the range of 15 volts, it is greater than the first potential. 300. —A method for erasing the contents of all the memory cells (each memory cell stores two bit information) of the three-dimensional PMOS memory cell array, the memory cell array is arranged on a substrate and includes a first plural number (Z) memory levels, the zth memory level contains a second plurality (X) bit line conductors and a third plurality (Y) word line conductors, these memory cells have a localized charge A storage medium, the method comprising: providing a first potential and a second potential, the first potential being greater than the second potential; applying the second power to all bit lines; and applying the first power to all words line. 301. The method of claim 300, wherein the second potential is in a range of about 5 to about 15 volts and is smaller than the first potential. 302. —A method for erasing a single bit of a memory cell (having two bit information) of a three-dimensional NMOS memory cell array, the memory cell array is arranged on a substrate and includes a first plurality of (Z) memory levels, the zth memory level contains a second plurality (X) bit line conductors and a third plurality (Y) word line conductors, these memory cells have a local charge storage Media, the method includes: providing a first potential, a second potential, and a third potential, the first potential is less than or equal to the second potential, and the second potential is less than the third potential; selecting a memory unit One of the memory storage locations for erasing, the memory unit is located at the word line y and level z, and is arranged at the bit lines X and -54. (Mm) 々. The scope of patent application is between χ + 1, and the storage location is adjacent to the bit line χ + 1; the content of a memory storage location of a memory unit is read out, and the memory unit is located on the word line y, Defined by level z, configured on bit line x + Between 1 and x + 2, and the storage location is adjacent to the bit line χ + 1; storing the content in a memory storage; applying the first power to the word line y; applying the second power to all non-x + 1 bit line; applying the second power on word line χ + 1, floating all non-y word lines; applying the first power on all word lines and bit lines on non-z level, or floating non- all word lines and bit lines on the z-level; retrieve the content from the memory storage; and write the content to the memory storage location of the memory unit, which is located on the word line y, level The position defined by z is arranged between the bit line x + 1 and x + 2, and the storage position is adjacent to the bit line x + bu 303. For example, in the method of the 302th aspect of the patent application, wherein the second potential is about 0 In the range of about 5 volts, it is greater than the first potential. 304. According to the method of claim 303, the third potential is in a range of about 5 to about 15 volts, which is greater than the first potential. 305. —A method for erasing a single bit of a memory cell (having two bit information) of a three-dimensional PMOS memory cell array, the memory cell array is disposed on a substrate and includes a first plurality of (Z) memory level, the ζth memory level contains a second plurality (X) bit line conductors and a third plurality (Υ) word line conductors. These memory cells have a local charge storage -55- This paper size applies Chinese National Standard (CNS) A4 specification (210X 297 mm) 6. Patent application media, the method includes: providing a first potential, a second potential, and a third potential, the first A potential is greater than or equal to the second potential, and the second potential is greater than the third potential. One of the memory storage locations of a memory cell is selected for erasing, and the memory cell is located at the boundary defined by the word line y and level z. , Located between bit line X and x + 1, and the storage position is adjacent to bit line x + 1; read out the content of a memory storage position of a memory cell, which is located on word line y, level Defined by z, placed on bit line between x + 1 and χ + 2, and the storage location is adjacent to the bit line x + 1; storing the content in a memory storage; applying the first power to the word line y; applying the second power to all Bit lines that are not x + 1, or floating all bit lines that are not x + 1; applying the third power to the word line x + 1; floating all non-y word lines; applying the first power to the non-z level All word lines and bit lines; retrieving the content from the memory storage; and writing the content to the memory storage location of the memory cell, which is located at the line defined by the word line y, level z Is disposed between the bit lines x + 1 and x + 2, and the storage position is adjacent to the bit line x + 1. 306. The method according to claim 305, wherein the second potential is in the range of about 0 to about 5 volts and is smaller than the first potential. 307. For the method of applying for the item No. 306 of the patent scope, wherein the third potential is about 5 -56- This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 AB c D 6. Application The range of the patent is about 15 volts, which is smaller than the first potential. 308. A method for manufacturing a three-dimensional TFT memory cell array, comprising: (a) disposing an insulating layer on a substrate; (b) disposing a first plurality of spaces on the insulating layer in a first direction; Separated conductors; (c) an insulating layer is disposed on the first plurality of spaced apart conductors, and the insulation material is used to fill the spaces between the spaced apart conductors (d) planarize the insulation layer to expose The first plurality of spaced apart conductors; (e) contacting the first plurality of spaced apart conductors, and disposing a second plurality of spaced apart rail stacks in a second direction, the rail stacks including the first A first conductivity type semiconductor material, a second layer containing a localized charge storage film, and a third conductive layer. 309. If the method according to the scope of application for patent No. 308, further includes: (f) repeating ⑻, (b), (c), ⑷ and (e) as many times as necessary to form the necessary number of DFT memory cell levels. 310. The method according to the scope of patent application, wherein the step of planarizing includes CMP. 311. The method according to the scope of patent application, 310, further includes: planarizing the insulation layer by CMP. 312. The method according to claim 308, further comprising: (g) a second conductivity type doped polycrystalline silicon conductor separated from the first plurality of spaces, an outer diffusion source and a drain dopant Into the first layer and half -57- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 540086 A B c D 六、申請專利範圍 導體材料。 313. 如申請專利範圍第312項之方法,其進一步包含:重複(a) 、(b)、(c)、(d)、(e)、(f)及(g)必要次數,以形成必要數目 之TFT記憶體單元級。 314. —種製造三維TF丁記憶體單元陣列之方法,其包含: (a)在一基板上面配置一隔絕層; ⑻在該隔絕層上面,而在一第一方向配置第一複數個 間隔分開的導體; (c) 在該第一複數個間隔分開的導體上面接觸,而在第 一方向配置局域電荷儲存膜導軌; (d) 在局域電荷儲存膜上面接觸,而在一第二方向配置 第二複數個間隔分開的導體,該等導體由第一導電率型 多晶碎材料形成; (e) 在該第二複數個間隔分開的導體之間,配置一第二 導電率型半導體膜; (f) 在該半導體膜上面及該第二複數個間隔分開的導體 上面配置一絕緣層,藉該絕緣材料以填充該等第二間隔 分開的導體之間的間隔; (g) 平面化該絕緣層。 315. 如申請專利範圍第314項之方法,其進一步包含: ⑻重複⑻、(b)、⑷、⑷、(e)、(f)及(g)必要次數,以形 成必要數目之TFT記憶體單元級。 316. —種製造三維TFT記憶體單元陣列之方法,其包含: (a)在一基板上面配置一隔絕層; -58- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)540086 A B c D 6. Scope of patent application Conductor materials. 313. If the method of applying for item 312 of the patent scope further comprises: repeating (a), (b), (c), (d), (e), (f), and (g) as many times as necessary to form the necessary Number of TFT memory cell levels. 314. A method for manufacturing a three-dimensional TF memory cell array, comprising: (a) disposing an insulation layer on a substrate; 上面 disposing the insulation layer on the insulation layer, and disposing a first plurality of intervals in a first direction; (C) contact on the first plurality of spaced apart conductors, and arrange the local charge storage film guide in the first direction; (d) contact on the local charge storage film, and in a second direction A second plurality of spaced apart conductors are arranged, and the conductors are formed of a first conductivity type polycrystalline shredded material; (e) A second conductivity type semiconductor film is arranged between the second plurality of spaced apart conductors. (F) an insulating layer is disposed on the semiconductor film and on the second plurality of spaced apart conductors, and the insulation material is used to fill the spaces between the second spaced apart conductors; (g) planarize the Insulation. 315. If the method according to the scope of application for patent No. 314, further includes: ⑻ repeating ⑻, (b), ⑷, ⑷, (e), (f), and (g) as many times as necessary to form the necessary number of TFT memories Unit level. 316. A method for manufacturing a three-dimensional TFT memory cell array, comprising: (a) disposing an insulating layer on a substrate; -58- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) ) 540086 8 8 8 8 A B c D 々、申請專利範圍 (b) 在該隔絕層上面,而在一第一方向配置第一複數個 間隔分開的導體; (c) 在該第一複數個間隔分開的導體上面,配置一第一 局域電荷儲存膜; (d) 在該局域電荷儲存膜上面,配置第一層第一導電率 型半導體材料; (e) 在第一層半導體材料上面,而在一第二方向配置第 二複數個間隔分開的導體,該等導體由第二導電率型摻 雜的半導體材料形成; (f) 在該第二複數個間隔分開的導體上面配置一絕緣層 ,藉該絕緣材料以填充該等第二間隔分開的導體之間的 間隔; (g) 平面化以曝露該等第二間隔分開的導體; ⑻在該等第二間隔分開的導體上面,配置第二層第一 導電率型半導體材料; (i)在第二層半導體材料上面,配置一第二局域電荷儲 存膜; (J)在第二局域電荷儲存膜上面,而在第一方向配置第 一複數個間隔分開的導體;以及 (k)在該第一及第二層第一導電率型半導體材料中與該 等間隔分開的導體相交處之間,形成外擴散區域。 317.如申請專利範圍第316項之方法,其進一步包含: ⑴重複(c)、⑹、(e)、(f)、(g)、⑻、(i)、①及(k)必要次 數,以形成必要數目之TFT記憶體單元級。 -59- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐)540086 8 8 8 8 AB c D 々, the scope of patent application (b) on the insulation layer, and the first plurality of spaced apart conductors are arranged in a first direction; (c) the first plurality of spaced apart conductors On the conductor, a first local charge storage film is arranged; (d) On the local charge storage film, a first layer of first conductivity type semiconductor material is arranged; (e) On the first layer of semiconductor material, and A second plurality of spaced apart conductors are arranged in a second direction, the conductors are formed of a semiconductor material doped with a second conductivity type; (f) an insulating layer is arranged on the second plurality of spaced apart conductors, and The insulating material fills the spaces between the second spaced apart conductors; (g) Planes to expose the second spaced apart conductors; 配置 A second layer is arranged on the second spaced apart conductors A first conductivity type semiconductor material; (i) a second localized charge storage film is disposed on the second layer of semiconductor material; (J) a second localized charge storage film is disposed on the second localized charge storage film, and the first is disposed in the first direction Multiple rooms Conductors separated; the intersection between the conductor and (k) the first and second layers of a first conductivity type semiconductor material separate from the regular intervals, are formed outside the diffusion region. 317. The method of claiming scope 316 of the patent application, further comprising: ⑴ repeating (c), ⑹, (e), (f), (g), ⑻, (i), ①, and (k) as many times as necessary, To form the necessary number of TFT memory cell levels. -59- This paper size applies to China National Standard (CNS) A4 (210X297 mm) 六、申請專利範圍 318. —種製造TFT記憶體單元陣列之方法,其包含: 在一基板上面配置一隔絕層; 在該隔絕層上面,而在一第一方向配置第一複數個導 軌堆疊,該等導軌堆疊含一導電膜層;一局域電荷儲存 膜層,配置於該導電膜面層上;及一第一導電率型半導 體膜層,配置於該局域電荷儲存膜層上面; 在該等導軌堆疊上面,配置一氧化物層; 遮罩該氧化物層; 蝕刻該氧化物層; 移除該氧化物層; 佈植第二導電率型雜質,經由該氧化物層中所蝕刻的 孔徑而入於該半導體膜層; 配置一導電膜進該孔徑中;以及 平面化該導電層。 319. —種製造TFT記憶體單元陣列之方法,其包含: 配置一隔絕層在一基板上面; 配置一第一導電型的非晶碎層在該隔絕層上面; 配置一氮化珍CMP阻擔層在該非晶^夕層上面; 遮罩該氮化矽層; 蝕刻進該隔絕層内而形成該遮罩所界定的孔徑; 沈積第二導電型的半導體材料之傳導層於該孔徑内及 上方; 平面化該導電層,迄於該CMP阻擋層; 在該CMP阻擋層上面,配置一區域電荷儲存膜;以及 -60- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A BCD 六、申請專利範圍 配置一傳導膜於該電荷儲存膜上。 320. —種配置於一基板之上之記憶體陣列,其包含: 一絕緣體層; 第一複數個間隔分開的導體,在一第一方向配置於該 絕緣體層中; 一些第一導電率型半導體區域,配置於該等間隔分開 的導體鄰近區面之間且接觸之;以及 第二複數個導軌堆疊,在一第二方向配置於該第一複 數個間隔分開的導體之上且接觸之,每一導軌堆疊含一 局域電荷儲存膜及一配置於局域電荷儲存膜之上的導電 膜。 321. 如申請專利範圍第320項之記憶體陣列,其中該半導體膜 包含多晶碎。 322. 如申請專利範圍第321項之記憶體陣列,其中該多晶矽為 P-摻雜的。 323. 如申請專利範圍第322項之記憶體陣列,其中該P-摻雜的 多晶矽包含N+外擴散區域,在該等間隔分開的導體與該 等半導體區域之間的接點處。 324. 如申請專利範圍第320項之記憶體陣列,其中該局域電荷 儲存膜包含一電荷陷獲媒體。 325. 如申請專利範圍第324項之記憶體陣列,其中該電荷陷獲 媒體包含一介電質隔絕的浮動閘極。 326. 如申請專利範圍第324項之記憶體陣列,其中該電荷陷獲 媒體包含電性隔絕的奈米晶體。 -61 - 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)6. Application scope 318. A method for manufacturing a TFT memory cell array, comprising: disposing an insulating layer on a substrate; and disposing a first plurality of guide rail stacks on the insulating layer in a first direction, The rail stacks include a conductive film layer; a localized charge storage film layer disposed on the conductive film surface layer; and a first conductivity type semiconductor film layer disposed on the localized charge storage film layer; An oxide layer is disposed on the stacks of the guide rails; the oxide layer is masked; the oxide layer is etched; the oxide layer is removed; a second conductivity-type impurity is implanted and passed through the oxide layer An aperture is inserted into the semiconductor film layer; a conductive film is disposed in the aperture; and the conductive layer is planarized. 319. A method for manufacturing a TFT memory cell array, comprising: disposing an isolation layer on a substrate; disposing a first conductive amorphous layer on the isolation layer; disposing a nitride CMP barrier Layer on the amorphous layer; mask the silicon nitride layer; etch into the insulating layer to form the aperture defined by the mask; deposit a conductive layer of a second conductive type semiconductor material in and above the aperture ; Planarize the conductive layer so far to the CMP barrier layer; configure a regional charge storage film on the CMP barrier layer; and -60- this paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) ) 540086 A BCD 6. The scope of the patent application is to configure a conductive film on the charge storage film. 320. A memory array disposed on a substrate, comprising: an insulator layer; a first plurality of spaced apart conductors disposed in the insulator layer in a first direction; some first conductivity type semiconductors A region arranged between the spaced apart conductors adjacent to and in contact with the area; and a second plurality of guide rail stacks arranged in a second direction on the first plurality of spaced apart conductors and in contact with each other, each A rail stack includes a localized charge storage film and a conductive film disposed on the localized charge storage film. 321. The memory array according to item 320 of the application, wherein the semiconductor film includes polycrystalline fragments. 322. The memory array according to the scope of patent application No. 321, wherein the polycrystalline silicon is P-doped. 323. For example, the memory array of claim 322, wherein the P-doped polycrystalline silicon includes N + outer diffusion regions at the junctions between the spaced-apart conductors and the semiconductor regions. 324. The memory array of claim 320, wherein the local charge storage film includes a charge trapping medium. 325. The memory array of claim 324, wherein the charge trapping medium includes a dielectric-isolated floating gate. 326. The memory array of claim 324, wherein the charge trapping medium comprises an electrically isolated nanocrystal. -61-This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 六、申請專利範圍 327. 如申請專利範圍第324項之記憶體陣列,其中該電荷陷獲 媒體包含一介電質堆疊中之一電荷陷獲層。 328. 如申請專利範圍第327項之記憶體陣列,其中該介電質堆 疊包括一 0-N-0介電質堆疊。 329. 如申請專利範圍第324項之記憶體陣列,其中該導電膜包 含導電多晶矽。 330. 如申請專利範圍第329項之記憶體陣列,其中該導電膜包 含一含導電材料之膜。 331. 如申請專利範圍第320項之記憶體陣列,其中該等間隔分 開的導體包含多晶矽。 332. 如申請專利範圍第331項之記憶體陣列,其中該等間隔分 開的導體包含一含導電材料之膜。 333. 如申請專利範圍第331項之記憶體陣列,其中該等間隔分 開的導體的多晶矽包括一第二導電率型多晶矽。 334. 如申請專利範圍第333項之記憶體陣列,其中該第二導電 率型多晶矽為N+摻雜的。 335. 如申請專利範圍第320項之記憶體陣列,其中該半導體膜 包含多晶碎。 336. 如申請專利範圍第335項之記憶體陣列,其中該第一導電 率型為P-摻雜的。 337. 如申請專利範圍第320項之記憶體陣列,其進一步包含: 一隔絕膜,配置於該第二複數個導軌堆疊上面; 第三複數個間隔分開的導體,在一第一方向配置於該 隔絕膜中; -62- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X297公釐) 々、申請專利範圍 複數個第一導電率型半導體區域,配置於該等間隔分 開的導體鄰近區面之間且接觸之;以及 第四複數個導軌堆疊,在一第二方向配置於該第三複 數個間隔分開的導體之上且接觸之,每一導軌堆疊含一局 域電荷儲存膜及一配置於局域電荷儲存膜之上的導電膜。 338. — 種丁FT CMOS,其包含: 一閘極電極; 一第一絕緣層,鄰近該閘極電極之第一側; 一第一半導體層,具有第一導電率型,而配置於第一 絕緣層上對立於閘極電極的另一側上; 第二導電率型之第一源極和汲極區域,配置於第一半 導體層中; 第一源極和汲極電極,接觸第一源極和汲極區域,而 配置於第一半導體層上對立第一絕緣層的另一側上; 一第二絕緣層,鄰近該閘極電極之第二側; 一第二半導體層,具有第二導電率型,而配置於第二 絕緣層上對立於閘極電極的另一側上; 第一導電率型之第二源極和汲極區域,配置於第二半 導體層中;以及 第二源極和汲極電極,接觸第二源極和汲極區域,而 配置於第二半導體層上對立第二絕緣層的另一側上。 339·如申請專利範圍第338項之TFT CMOS,其進一步包含: 一半導體基板; 一層間絕緣層,在該基板與該TFT CMOS之間; -63- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X297公釐) 540086 A BCD 六、申請專利範圍 一第一平面絕緣填料層,配置於第一源極和沒極電極 之間;以及 一第二平面絕緣填料層,配置於第二源極和汲極電極 之間。 340. 如申請專利範圍第339項之丁FT CMOS,其中: 第一源極和汲極電極包含第二導電率型多晶矽導軌, 其係在一第一平上面而在層間絕緣層之上延伸; 第一半導體層包括一多晶碎層,其係在一第二平上面 而在第一源極和沒極電極之上延伸; 該閘極電極包含第二導電率型之第一多晶矽層、一在 第一多晶碎層上面的碎化物層及第一導電率型之第二多 晶碎層,其中閘極電極係在一第三平上面而在第一絕緣 層之上延伸; 第二半導體層包括一多晶矽層,其係在一第四平上面 而在閘極電極之上延伸; 第二源極和汲極電極包含第一導電率型多晶碎導軌, 其係在一第五平上面而在第二半導體層之上延伸;其中 第一以至第五平面都互不重疊。 341. 如申請專利範圍第340項之TFT CMOS,其中: 該閘極電極、第一絕緣層、第一半導體層、第二絕緣 層及第二半導體層包含一導軌堆疊,其係在一平行於基 板之平上面垂直於第一及第二源極和汲極電極而延伸; 並且 該閘極電極、第一絕緣層、第一半導體層、第二絕緣 -64- 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐)6. Scope of patent application 327. For the memory array of patent application No. 324, wherein the charge trapping medium includes a charge trapping layer in a dielectric stack. 328. For example, the memory array of claim 327, wherein the dielectric stack includes a 0-N-0 dielectric stack. 329. The memory array of claim 324, wherein the conductive film comprises conductive polycrystalline silicon. 330. The memory array of claim 329, wherein the conductive film includes a film containing a conductive material. 331. For example, the memory array of the scope of application for item 320, wherein the spaced apart conductors include polycrystalline silicon. 332. In the case of the memory array of claim 331, the spaced apart conductors include a film containing a conductive material. 333. For example, the memory array of claim 331, wherein the spaced-apart conductor polycrystalline silicon includes a second conductivity type polycrystalline silicon. 334. The memory array according to item 333 of the application, wherein the second conductivity type polycrystalline silicon is N + doped. 335. The memory array of claim 320, wherein the semiconductor film includes polycrystalline fragments. 336. The memory array of claim 335, wherein the first conductivity type is P-doped. 337. For example, the memory array with the scope of application patent No. 320, further comprising: an insulation film disposed on the second plurality of rail stacks; a third plurality of spaced apart conductors disposed on the first direction In the insulation film; -62- This paper size is applicable to Chinese National Standard (CNS) A4 specification (210 X297 mm) 々. Patent application range: Multiple first conductivity type semiconductor regions, which are arranged in the adjacent areas of the conductors separated by these intervals And a fourth plurality of rail stacks arranged in a second direction on and contacting the third plurality of spaced apart conductors, each rail stack including a local charge storage film and a A conductive film disposed on a local charge storage film. 338. — Kind of FT CMOS, comprising: a gate electrode; a first insulating layer adjacent to the first side of the gate electrode; a first semiconductor layer having a first conductivity type and disposed on the first The insulating layer is opposite to the other side of the gate electrode; the first source and drain regions of the second conductivity type are arranged in the first semiconductor layer; the first source and drain electrodes contact the first source And a drain region, which are disposed on the other side of the first semiconductor layer opposite to the first insulating layer; a second insulating layer adjacent to the second side of the gate electrode; a second semiconductor layer having a second Conductivity type, and is disposed on the second insulating layer opposite to the other side of the gate electrode; the second source and drain regions of the first conductivity type are disposed in the second semiconductor layer; and the second source The electrode and the drain electrode contact the second source and drain regions, and are disposed on the other side of the second semiconductor layer opposite to the second insulating layer. 339. If the TFT CMOS of the patent application No. 338, further includes: a semiconductor substrate; an interlayer insulating layer between the substrate and the TFT CMOS; -63- This paper size applies to China National Standard (CNS) A4 Specifications (210 X297 mm) 540086 A BCD VI. Patent application scope-a first planar insulating filler layer disposed between the first source electrode and the non-polar electrode; and a second planar insulating filler layer disposed at the second source Between the electrode and the drain electrode. 340. For example, the FT CMOS of claim 339, wherein: the first source electrode and the drain electrode include a second conductivity type polycrystalline silicon guide rail, which extends above a first plane and above the interlayer insulation layer; The first semiconductor layer includes a polycrystalline broken layer, which extends above a second plane and extends above the first source and non-electrode electrodes; the gate electrode includes a first polycrystalline silicon layer of a second conductivity type A fragmented material layer on the first polycrystalline fragmented layer and a second polycrystalline fragmented layer of the first conductivity type, wherein the gate electrode extends above the first insulating layer on a third plane; The two semiconductor layers include a polycrystalline silicon layer, which extends above the gate electrode on a fourth plane; the second source and drain electrodes include a first conductivity type polycrystalline broken track, which is on a fifth Extending above the second semiconductor layer; the first to fifth planes do not overlap each other. 341. For example, the TFT CMOS of the scope of application for patent No. 340, wherein: the gate electrode, the first insulating layer, the first semiconductor layer, the second insulating layer, and the second semiconductor layer include a rail stack, which is parallel to The flat top surface of the substrate extends perpendicular to the first and second source and drain electrodes; and the gate electrode, the first insulating layer, the first semiconductor layer, and the second insulation -64- This paper standard applies to Chinese national standards ( CNS) A4 size (210 x 297 mm) 540086 A B c D 六、申請專利範圍 層及第二半導體層在一垂直於基板且平行於源極至汲極 方向之平上面對準。 342. 如申請專利範圍第340項之TFT CMOS,其進一步包含: 一第一電荷儲存區域,其包含第一絕緣層; 一第二電荷儲存區域,其包含第二絕緣層; 343. 如申請專利範圍第342項之TFT CMOS,其中: 第一電荷儲存區域包含一 0-N-0堆疊、隔絕的奈米晶體 或一浮動閘極,在第一絕緣層與一控制閘極介電質之間 ;並且 第二電荷儲存區域包含一 0-N-0堆疊、隔絕的奈米晶體 或一浮動閘極,在第二絕緣層與一控制閘極介電質之間。 344. 如申請專利範圍第340項之TFT CMOS,其中第一絕緣層包 含一電荷儲存區域的一部份,而第二絕緣層不包含一電 荷儲存區域的一部份。 345. —種單石三維陣列,含複數個由一或更多個層間絕緣層 所垂直分離的裝置級,其中每一裝置級包含複數個申請 專利範圍第344項之丁FT CMOS裝置。 346. —種配置於一基板之上之半導體裝置陣列,其包含: 第一複數個間隔分開的導體,在一第一方向配置至基 板之上之一第一高度處;以及 第二複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至第一高度處之上之一第二高度處,每 一導軌堆疊皆含: 一第一導電率型之第一半導體膜,含複數個第二導 -65- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)540086 A B c D 6. Scope of patent application The layer and the second semiconductor layer are aligned on a plane perpendicular to the substrate and parallel to the source-to-drain direction. 342. If the TFT CMOS of the scope of application for the patent No. 340, further includes: a first charge storage region including a first insulating layer; a second charge storage region including a second insulating layer; TFT CMOS of scope item 342, wherein: the first charge storage region includes a 0-N-0 stack, an isolated nanocrystal, or a floating gate between the first insulating layer and a control gate dielectric And the second charge storage region includes a 0-N-0 stack, an isolated nanocrystal, or a floating gate, between the second insulating layer and a control gate dielectric. 344. For example, the TFT CMOS under the scope of application for patent No. 340, wherein the first insulating layer includes a part of a charge storage area, and the second insulating layer does not include a part of a charge storage area. 345. — A monolithic three-dimensional array, including a plurality of device stages vertically separated by one or more interlayer insulating layers, each of which includes a plurality of application FT CMOS CMOS devices. 346. An array of semiconductor devices arranged on a substrate, comprising: a first plurality of spaced apart conductors arranged in a first direction to a first height above the substrate; and a second plurality of spaces The separate rail stacks are arranged at a second height above the first height in a second direction different from the first direction, and each rail stack includes: a first semiconductor film of a first conductivity type, Contains multiple second guides -65- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 540086 A B c D 々、申請專利範圍 電率型之源極和汲極區域,而接觸該第一複數個間隔 分開的導體; 一第一局域電荷儲存膜,配置於第一半導體膜之上; 一閘極線,配置於第一局域電荷儲存膜之上; 一第二局域電荷儲存膜,配置於該閘極線之上; 一第二導電率型之第二半導體膜,含複數個第一導 電率型之源極和汲極區域;及 第三複數個間隔分開的導體,接觸該第一導電率型之 源極和汲極區域,其中該第三複數個間隔分開的導體配 置至第二複數個間隔分開的導軌堆疊之上之一第三高度 處。 347. 如申請專利範圍第346項之陣列,其中該等間隔分開的導 體之間的間隔包含一平面的沈積絕緣層。 348. 如申請專利範圍第347項之陣列,其中該第一及第二半導 體膜包含多晶碎層。 349. 如申請專利範圍第348項之陣列,其中該第一及第二電荷 儲存膜包含一電荷陷獲媒體。 350. 如申請專利範圍第349項之陣列,其中該電荷陷獲媒體包 含一介電質隔絕的浮動閘極、電性隔絕的奈米晶體或 0-N-0介電質堆疊。 351. 如申請專利範圍第350項之陣列,其中該閘極線包含一第 二導電率型之第一多晶矽層,鄰近第一電荷儲存膜;一 石夕化物層,在第一多晶石夕層上面;及一第一導電率型之 第二多晶矽層,在該矽化物層之上而鄰近第二電荷儲存 -66- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)540086 AB c D 々, the source and drain regions of the patent application rate type, and contact the first plurality of spaced apart conductors; a first localized charge storage film disposed on the first semiconductor film; A gate line disposed on the first local charge storage film; a second local charge storage film disposed on the gate line; a second semiconductor film of a second conductivity type including a plurality of A source and drain region of a first conductivity type; and a third plurality of spaced apart conductors contacting the source and drain regions of the first conductivity type, wherein the third plurality of spaced apart conductors are arranged to A third height above one of the second plurality of spaced apart rail stacks. 347. The array of the scope of application for patent No. 346, wherein the space between the spaced-apart conductors includes a planar deposited insulating layer. 348. The array according to the scope of application for patent No. 347, wherein the first and second semiconductor films include polycrystalline debris layers. 349. The array of claim 348, wherein the first and second charge storage films include a charge trapping medium. 350. The array of the scope of application for patent No. 349, wherein the charge trapping medium includes a dielectric-isolated floating gate, electrically isolated nanocrystals, or a 0-N-0 dielectric stack. 351. For example, the array of the scope of application for patent No. 350, wherein the gate line includes a first polycrystalline silicon layer of a second conductivity type, adjacent to the first charge storage film; a petrochemical layer, on the first polycrystalline silicon Above the layer; and a second polycrystalline silicon layer of the first conductivity type, above the silicide layer, and adjacent to the second charge storage -66- This paper size applies to China National Standard (CNS) A4 specifications (210 X (297 mm) 540086 A8 B8 C8 申請專利範圍 j52.如申請專利範圍第351項之陣列,其中: S第一杈數個間隔分開的導體包含第二導電率型之多 晶碎層:並且 孩第三複數個間隔分開的導體包含第一導電率型之多 晶碎層。540086 A8 B8 C8 patent application scope j52. For the array of patent application scope item 351, in which: the first spaced apart conductors include polycrystalline fragments of the second conductivity type: and the third plurality of spaces The separate conductors include polycrystalline fragments of a first conductivity type. 353·如申請專利範圍第攻項之陣列,其中該第一及第三複數 個間隔分開的導體進一步包含金屬或矽化物層。 354·如申#專利範圍第353項之陣列,其中該等第二導電率型 之源極和;;及極區域包含外擴散區域。 355·如申叫專利範圍第346項之陣列,其中一丁π eeprqm CMOS裝置係形成於第一及第三複數個間隔分開的導體 與該閘極線之每一相交處。 356·—種單石三維陣列,含複數個由一或更多個層間絕緣層353. The array of claim 1, wherein the first and third spaced apart conductors further comprise a metal or silicide layer. 354. An array according to item 353 of the scope of patent #Russian, wherein the source sums of the second conductivity types; and the electrode regions include outer diffusion regions. 355. An array as claimed in patent application No. 346, wherein a π eeprqm CMOS device is formed at each intersection of the first and third spaced apart conductors with the gate line. 356 · —a single-stone three-dimensional array containing a plurality of interlayer insulation layers 所垂直分離的裝置級,其中每一裝置級包含申請專利範 圍第346項之陣列。 357. 如申#專利範圍第356項之陣列,其中該陣列包含三或更 多個裝置級。 358. 如申請專利範圍第357項之陣列,其進一步包含一驅動器 包路’安排於基板中而在陣列以下,且至少部份地垂直 對準陣列。 359. 如申請專利範圍第358項之陣列,其中: 電荷儲存膜包含至少一個能儲存電荷的絕緣層;並且 該驅動器電路係配接而在同一級之一間隔分開的導體 -67- 本紙張尺度適财國g家標準(CNS) Α4·(21()χ297公爱)— 六、申請專利範圍 與一閘極線之間提供一充份的電壓,以形成該導體與該 閘極線間的導電鍵。 360. 如申請專利範圍第359項之陣列,其中在至少一個導體與 至少一個閘極線間至少有一個導電鏈形成。 361. 如申請專利範圍第360項之陣列,其中該陣列包含至少一 個邏輯電路。 362. 如申請專利範圍第361項之陣列,其中該至少為一個之邏 輯電路包括反向器或NAND閘。 363. 如申請專利範圍第360項之陣列,其中該陣列包含一靜態 隨機存取記憶體。 364. —種包含複數個電荷儲存裝置及複數個無熔絲裝置之電 路,其中該電路用於程式化以做為一閘極陣列、一邏輯 裝置或一記憶體裝置。 365. 如申請專利範圍第364項之電路,其中該複數個電荷儲存 裝置及該複數個無熔絲裝置包含同一組裝置,當施一第 一程式化電壓於該等裝置,則裝置作用為電荷儲存裝置 :當施一高於第一電壓之第二程式化電壓於該等裝置而 足以形成一導電鏈而穿過一電荷儲存區域,則裝置作用 為無熔絲。 366. 如申請專利範圍第365項之電路,其中該等無熔絲裝置包 括:内有一導電鏈形成而穿過電荷儲存區域之裝置。 367. 如申請專利範圍第365項之電路,其中該等電荷儲存裝置 包括含一電荷儲存區域之半導體二極體。 368. 如申請專利範圍第367項之電路,其中: -68- 本紙張尺度適用中國國>家標準(CNS) A4規格(210 X 297公釐) 540086 A B c D 六、申請專利範圍 該等二極體包括多晶矽或非晶系矽二極體,安排於一 三維單石陣列之中,該陣列含至少三個由層間絕緣層所 分離的裝置級,該陣列係配置於一基板之上;並且 該電荷儲存區域包含一絕緣層堆疊,位於該等二極體 的p摻雜的與η摻雜的區域之間,或鄭近該等二極體的ρ摻 雜的及η摻雜的區域。 369. 如申請專利範圍第365項之電路,其中該等電荷儲存裝置 包括EEPROM電晶體。 370. 如申請專利範圍第369項之電路,其中: 該等電晶體包括多晶碎或非晶系碎薄膜電晶體’安排 於一三維單石陣列之中,該陣列含至少三個由層間絕緣 層所分離的裝置級,該陣列係配置於一基板之上;並且 該電荷儲存區域包含一絕緣層堆疊,位於該等薄膜電 晶體的通道與控制閘極之間。 371. 如申請專利範圍第370項之電路,其中該三維單石陣列至 少一個裝置級包含: 第一複數個間隔分開的導體,在一第一方向配置至基 板之上之一第一高度處;以及 第二複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至一第二高度處,每一導軌堆疊皆含一 半導體膜,接觸該第一複數個間隔分開的導體;一局域 電荷儲存膜,配置於該第一半導體膜之上;及一導電膜 ,配置於該局域電荷儲存膜之上。 372. 如申請專利範圍第365項之電路,其進一步包含一驅動器 -69- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)The vertically separated device stages, each of which includes an array of patent application scope item 346. 357. An array as described in Patent Application No. 356, wherein the array includes three or more device stages. 358. For example, the array of the scope of patent application No. 357 further includes a driver package path 'arranged in the substrate below the array, and at least partially vertically aligned with the array. 359. The array of the scope of application for patent No. 358, wherein: the charge storage film includes at least one insulating layer capable of storing electric charges; and the driver circuit is a conductor that is mated and spaced apart at one level of the same level -67- paper size Applicable country standards (CNS) Α4 · (21 () χ297 public love) — 6. Provide a sufficient voltage between the scope of the patent application and a gate line to form a conductor between the conductor and the gate line. Conductive key. 360. The array according to the scope of patent application No. 359, wherein at least one conductive chain is formed between at least one conductor and at least one gate line. 361. For example, the array of the scope of patent application No. 360, wherein the array includes at least one logic circuit. 362. The array of the scope of application for patent No. 361, wherein the at least one logic circuit includes an inverter or a NAND gate. 363. For example, the array of the patent application No. 360, wherein the array includes a static random access memory. 364. A circuit comprising a plurality of charge storage devices and a plurality of non-fuse devices, wherein the circuit is used for programming as a gate array, a logic device or a memory device. 365. If the circuit in the scope of application for patent No. 364, wherein the plurality of charge storage devices and the plurality of non-fuse devices include the same group of devices, when a first stylized voltage is applied to the devices, the device functions as a charge Storage device: When a second stylized voltage higher than the first voltage is applied to these devices to form a conductive chain and pass through a charge storage area, the device functions as a fuseless device. 366. For the circuit in the scope of application for patent No. 365, the non-fuse devices include: a device formed by a conductive chain passing through the charge storage area. 367. The circuit of claim 365, wherein the charge storage devices include a semiconductor diode including a charge storage region. 368. If you apply for a circuit in the scope of patent application item 367, of which: -68- This paper size is applicable to China National Standard (CNS) A4 specification (210 X 297 mm) 540086 AB c D Diodes include polycrystalline silicon or amorphous silicon diodes, arranged in a three-dimensional monolithic array, the array containing at least three device levels separated by an interlayer insulating layer, the array being arranged on a substrate; And the charge storage region includes a stack of insulating layers located between the p-doped and n-doped regions of the diodes, or near the p-doped and n-doped regions of the diodes. . 369. For the circuit in the scope of patent application No. 365, wherein the charge storage devices include EEPROM transistors. 370. If the circuit of the scope of application for patent No. 369, wherein: the transistors include polycrystalline broken or amorphous broken thin film transistors' arranged in a three-dimensional monolithic array, the array contains at least three interlayer insulation At the device level separated by layers, the array is arranged on a substrate; and the charge storage region includes a stack of insulating layers between the channels of the thin film transistors and the control gate. 371. The circuit of claim 370, wherein at least one device level of the three-dimensional monolithic array includes: a first plurality of spaced apart conductors arranged in a first direction to a first height above the substrate; And a second plurality of spaced apart rail stacks arranged at a second height in a second direction different from the first direction, each rail stack contains a semiconductor film that contacts the first plurality of spaced apart conductors A localized charge storage film disposed on the first semiconductor film; and a conductive film disposed on the local charge storage film. 372. If the circuit in the scope of application for patent No. 365, it further includes a driver -69- This paper size applies to China National Standard (CNS) A4 specification (210 X 297 mm) 540086 A B CD 六、申請專利範圍 電路,其係配接而·提供第一程式化電壓給一第一組電荷 儲存裝置,提高該第一組電荷儲存裝置之一閾值電壓, 藉而關掉該第一組電荷儲存裝置;並且,提供一第二程 式化電壓給一第二組電荷儲存裝置,形成一導電鏈而穿 過該第二組電荷儲存裝置之一電荷儲存區域,以轉換該 第二組電荷儲存裝置成無熔絲裝置。 373. 如申請專利範圍第372項之電路,其中該電路包含一場可 程式化閘陣列或一可程式化邏輯電路。 374. 如申請專利範圍第373項之電路,其中該電路係程式化而 作用為一反向器、一 NAND閘或一 SRAM。 375. 如申請專利範圍第373項之電路,其中在該電路中每邏輯 閘之面積為4(F(x+ I))2至5(F(x+ I))2,此處F為最小特徵尺寸 ,而X為邏輯閘上的輸入數目。 376. —種程式化一電路之方法,其包含: 提供一含複數個電荷儲存裝置之電路; 施一第一程式化電壓於一第一組電荷儲存裝置,提高 該第一組電荷儲存裝置之一閾值電壓,藉而關掉該第一 組電荷儲存裝置;以及 施一第二程式化電壓給一第二組電荷儲存裝置,形成 一導電鏈而穿過該第二組電荷儲存裝置之一電荷儲存區 域,以轉換該第二組電荷儲存裝置成無熔絲裝置。 377. 如申請專利範圍第376項之方法,其中該第一及第二組電 荷儲存裝置包含同一組電荷儲存裝置。 378. 如申請專利範圍第377項之方法,其中該等電荷儲存裝置 -70- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐)540086 AB CD VI. Patent application circuit, which is connected to provide a first stylized voltage to a first group of charge storage devices, increase a threshold voltage of the first group of charge storage devices, and thereby turn off the first A set of charge storage devices; and providing a second stylized voltage to a second set of charge storage devices to form a conductive chain passing through a charge storage region of the second set of charge storage devices to convert the second group The charge storage device becomes a non-fuse device. 373. For example, the circuit in the scope of patent application No. 372, wherein the circuit includes a programmable gate array or a programmable logic circuit. 374. For example, the circuit of the patent application No. 373, wherein the circuit is programmed to function as an inverter, a NAND gate, or a SRAM. 375. For the circuit under the scope of patent application No. 373, the area of each logic gate in the circuit is 4 (F (x + I)) 2 to 5 (F (x + I)) 2, where F is the minimum feature size , And X is the number of inputs on the logic gate. 376. A method of programming a circuit, comprising: providing a circuit including a plurality of charge storage devices; applying a first stylized voltage to a first group of charge storage devices to improve the first group of charge storage devices A threshold voltage to turn off the first group of charge storage devices; and applying a second stylized voltage to a second group of charge storage devices to form a conductive chain and pass a charge through the second group of charge storage devices A storage area to convert the second set of charge storage devices into a fuseless device. 377. The method according to the scope of application for patent No. 376, wherein the first and second sets of charge storage devices comprise the same set of charge storage devices. 378. For the method of applying for the scope of patent No. 377, in which the charge storage devices -70- This paper size is applicable to China National Standard (CNS) A4 specification (210X297 mm) 々、申請專利範圍 包括含一電荷儲存區域之半導體二極體。 379. 如申請專利範圍第378項之方法,其中: 該等二極體包括多晶矽或非晶系矽二極體,安排於一 三維單石陣列之中,該陣列含至少三個由層間絕緣層所 分離的裝置級,該陣列係配置於一基板之上;並且 該電荷儲存區域包含一絕緣層堆疊,位於該等二極體 的p摻雜的與η摻雜的區域之間,或鄰近該等二極體的ρ摻 雜的及η摻雜的區域。 380. 如申請專利範圍第379項之方法,其中該等電荷儲存裝置 包括EEPROM電晶體。 381. 如申請專利範圍第380項之方法,其中: 該等電晶體包括多晶矽或非晶系矽薄膜電晶體,安排 於一三維單石陣列之中,該陣列含至少三個由層間絕緣 層所分離的裝置級,該陣列係配置於一基板之上;並且 該電荷儲存區域包含一絕緣層堆疊,位於該等薄膜電 晶體的通道與控制閘極之間。 382. 如申請專利範圍第376項之電路,其中該電路係程式化而 作用為一邏輯閘。 383. 如申請專利範圍第376項之電路,其中該電路係程式化而 作用為一靜態隨機存取1己憶體。 384. —種半導體裝置,其包含: 一半導體活性區域; 一電荷儲存區域,鄰近於該半導體活性區域; 一第一電極;以及 -71 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 540086 A B c D 六、申請專利範圍 一第二電極;其中 當一第一程式化電壓施於該第一及第二電極之間,則 電荷係儲存於該電荷儲存區域中;且當一高於第一電壓 之第二程式化電壓施於該第一及第二電極之間,則一導 電鏈形成而穿過該電荷儲存區域,在該第一及第二電極 之間形成一導電路徑。 385. 如申請專利範圍第384項之裝置,其中該第一程式化電壓 提高該裝置之一閾值電壓,藉而關掉該裝置。 386. 如申請專利範圍第384項之裝置,其中該等裝置包括含一 電荷儲存區域之半導體二極體。 387. 如申請專利範圍第386項之裝置,其中: 該二極體包括多晶碎或非晶系碎二極體,安排於一三 維單石陣列之中,該陣列含至少三個由層間絕緣層所分 離的裝置級,該陣列係配置於一基板之上;並且 該電荷儲存區域包含一絕緣層堆疊,位於該二極體的 半導體活性區域之p摻雜的與η摻雜部份之間,或鄰近該 二極體的半導體活性區域之ρ摻雜的及η摻雜的部份。 388. 如申請專利範圍第385項之裝置,其中該電荷儲存裝置包 括一 EEPROM電晶體。 389. 如申請專利範圍第388項之裝置,其中: 該電晶體的半導體活性區域包括一多晶矽或非晶系矽 薄膜,配置於一絕緣層上; 該電晶體安排於一三維單石陣列之中,該陣列含至少 三個由層間絕緣層所分離的裝置級,該陣列係配置於一 -72- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)(2) The scope of patent application includes semiconductor diodes with a charge storage area. 379. The method according to item 378 of the patent application, wherein: the diodes include polycrystalline silicon or amorphous silicon diodes arranged in a three-dimensional monolithic array, the array containing at least three interlayer insulating layers In the separated device level, the array is disposed on a substrate; and the charge storage region includes a stack of insulating layers between the p-doped and n-doped regions of the diodes, or adjacent to the Equi-diode ρ-doped and η-doped regions. 380. The method of claiming scope 379, wherein the charge storage devices include EEPROM transistors. 381. The method of applying for item 380 of the patent scope, wherein: the transistors include polycrystalline silicon or amorphous silicon thin film transistors arranged in a three-dimensional monolithic array containing at least three interlayer insulating layers In a separate device level, the array is disposed on a substrate; and the charge storage region includes a stack of insulating layers between the channels of the thin film transistors and the control gate. 382. For the circuit in the scope of application for item 376, the circuit is programmed and functions as a logic gate. 383. For example, the circuit in the scope of patent application No. 376, wherein the circuit is programmed and functions as a static random access memory. 384. A semiconductor device comprising: a semiconductor active region; a charge storage region adjacent to the semiconductor active region; a first electrode; and -71-this paper size applies to China National Standard (CNS) A4 specifications (210 X 297 mm) 540086 AB c D 6. Patent application scope-a second electrode; where a first stylized voltage is applied between the first and second electrodes, the charge is stored in the charge storage area; And when a second stylized voltage higher than the first voltage is applied between the first and second electrodes, a conductive chain is formed to pass through the charge storage region and is formed between the first and second electrodes. A conductive path. 385. For the device under the scope of patent application No. 384, wherein the first stylized voltage increases a threshold voltage of the device, thereby turning off the device. 386. The device under the scope of patent application No. 384, wherein the devices include a semiconductor diode including a charge storage region. 387. The device under the scope of application for patent No. 386, wherein: the diode includes polycrystalline or amorphous broken diodes arranged in a three-dimensional monolithic array, the array containing at least three interlayer insulation In the device-level separated device array, the array is disposed on a substrate; and the charge storage region includes a stack of insulating layers between the p-doped and n-doped portions of the semiconductor active region of the diode. Or the p-doped and n-doped portions of the semiconductor active region adjacent to the diode. 388. The device of claim 385, wherein the charge storage device comprises an EEPROM transistor. 389. The device of the scope of application for patent No. 388, wherein: the semiconductor active region of the transistor includes a polycrystalline silicon or amorphous silicon film disposed on an insulating layer; the transistor is arranged in a three-dimensional monolithic array The array contains at least three device levels separated by an interlayer insulation layer. The array is configured in a -72- This paper size applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 540086 A B c D 六、申請專利範圍 基板之上;並且 該電荷儲存區域包含一絕緣層堆疊,位於該半導體活 性區域中之一通道與該電晶體之一控制閘極之間。 390. 如申請專利範圍第384項之裝置,其中該裝置安排於一場 可程式化閘陣列或一可程式化邏輯裝置中。 391. —種製造配置於一基板之上之半導體裝置陣列之方法, 其包含: 形成第一複數個間隔分開的導體,在一第一方向配置 至該基板之上之一第一高度處; 在該等第一導體上面及其間形成一第一絕緣層; 平面化該第一絕緣層以曝露該等第一導體; 形成一含下列數層之堆疊:一第一導電率型之第一半 導體層,接觸該第一複數個間隔分開的導體;一第一局 域電荷儲存膜,配置於第一半導體層之上;一閘極線, 配置於第一局域電荷儲存膜之上;一第二局域電荷儲存 膜,配置於該閘極線之上;及一第二導電率型之第二半 導體層,在第二局域電荷儲存膜之上; 圖型化該數層之堆疊,以形成第二複數個間隔分開的 導軌堆疊,在一異於第一方向之第二方向配置至第一高 度處之上之一第二高度處; 在該等導軌堆疊上面及其間形成一第二絕緣層; 平面化該第二絕緣層; 在第二絕緣層中形成複數個渠溝; 在該等渠溝之中及該第二絕緣層上面形成一導電層; -73- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐)540086 A B c D 6. The scope of the patent application is on the substrate; and the charge storage region includes an insulating layer stack located between a channel in the semiconductor active region and a control gate of the transistor. 390. For the device under the scope of patent application No. 384, the device is arranged in a programmable gate array or a programmable logic device. 391. A method of manufacturing a semiconductor device array arranged on a substrate, comprising: forming a first plurality of spaced apart conductors, arranged in a first direction to a first height above the substrate; A first insulating layer is formed on and between the first conductors; the first insulating layer is planarized to expose the first conductors; a stack including the following layers is formed: a first semiconductor layer of a first conductivity type Contacting the first plurality of spaced apart conductors; a first localized charge storage film disposed on the first semiconductor layer; a gate line disposed on the first localized charge storage film; a second A local charge storage film is disposed on the gate line; and a second semiconductor layer of a second conductivity type is on the second local charge storage film; the stack of the layers is patterned to form A second plurality of spaced apart guide rail stacks are disposed at a second height above the first height in a second direction different from the first direction; a second insulating layer is formed on and between the rail stacks Planarization The second insulating layer; forming a plurality of trenches in the second insulating layer; forming a conductive layer among the trenches and above the second insulating layer; -73- This paper size applies to Chinese national standards (CNS ) A4 size (210X297 mm) 540086 A8 B8 C8 D8 六、申請專利範圍 以及 平面化該導電層,以形成第三複數個間隔分開的導體 ,配置至該第二複數個間隔分開的導軌堆疊之上之一第 三高度處。 392. 如申請專利範圍第391項之方法,其中該第一及第二半導 體層包含多晶碎層。 393. 如申請專利範圍第392項之方法,其中該第一及第二電荷 儲存膜包含一電荷陷獲媒體。 394. 如申請專利範圍第393項之方法,其中該電荷陷獲媒體包 含一介電質隔絕的浮動閘極、電性隔絕的奈米晶體或 0-N-0介電質堆疊。 395. 如申請專利範圍第395項之方法,其中該閘極線包含一第 二導電率型之第一多晶矽層,鄰近第一電荷儲存膜;一 石夕化物層,在第一多晶碎層上面;及一第一導電率型之 第二多晶矽層,在該矽化物層之上而鄰近第二電荷儲存 膜。 396. 如申請專利範圍第391項之方法,其進一步包含:從該等 第一導體,外擴散第二導電率型摻雜劑入於該第一半導 體層,以形成源極或汲極區域。 397. 如申請專利範圍第391項之方法,其進一步包含:佈植第 一導電率型離子入於該等渠溝.,而在該第二半導體層中 形成源極和汲極區域。 398. 如申請專利範圍第391項之方法,其進一步包含:在形成 第二絕緣層之前,先在導軌堆疊的側壁上形成側壁間隔 -74- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝540086 A8 B8 C8 D8 6. Scope of patent application and planarization of the conductive layer to form a third plurality of spaced apart conductors, arranged to a third height above the second plurality of spaced apart rail stacks. 392. The method according to claim 391, wherein the first and second semiconductor layers include a polycrystalline debris layer. 393. The method according to claim 392, wherein the first and second charge storage films include a charge trapping medium. 394. The method of claim 393, wherein the charge trapping medium comprises a dielectric-isolated floating gate, electrically isolated nanocrystals, or a 0-N-0 dielectric stack. 395. The method of claim 395, wherein the gate line includes a first polycrystalline silicon layer of a second conductivity type, which is adjacent to the first charge storage film; Above the layer; and a second polycrystalline silicon layer of a first conductivity type, above the silicide layer, adjacent to the second charge storage film. 396. The method of claiming scope 391, further comprising: diffusing a second conductivity type dopant from the first conductors into the first semiconductor layer to form a source or drain region. 397. If the method according to the scope of patent application No. 391, the method further comprises: implanting first conductivity type ions into the trenches, and forming source and drain regions in the second semiconductor layer. 398. If the method according to the scope of application for patent No. 391, further comprises: forming a side wall space on the side wall of the guide rail stack before forming the second insulating layer -74- This paper standard applies to China National Standard (CNS) A4 specifications ( 210 X 297 mm) 540086 A B c D 六、申請專利範圍 物。 399. 如申請專利範圍第391項之方法,其中第二複數個導軌堆 疊係配置而直交於第一及第三複數個間隔分開的導體。 400. 如申請專利範圍第391項之方法,其進一步包含:單石性 地形成複數個附加的陣列裝置級,以形成含至少三個裝 置級之三維單石陣列。 401. —種配置於一基板之上之快閃記憶體陣列,其包含: 第一複數個間隔分開的導電位元線,在一第一方向配 置至基板之上之一第一高度處;以及 第二複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至一第二高度處,每一導軌堆疊皆含: 複數個半導體島,其第一表面接觸該第一複數個間 隔分開的導電位元線; 一導電字線;及 一些電荷儲存區域,配置於該等半導體島之第二表 面與該字線之間。 402·如申請專利範圍第401項之陣列,其中該等半導體島包含 第一導電率型多晶矽。 403. 如申請專利範圍第402項之陣列,其中該等多晶矽島包含 第二導電率型外擴散源極和汲極區域,在該等間隔分開 的導電位元線與該等間隔分開的導軌堆疊之間的接觸相 交處。 404. 如申請專利範圍第403項之陣列,其中該等間隔分開的導 電位元線包含第二導電率型多晶矽,而接觸源極和沒極 -75- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)540086 A B c D Six, patent application scope. 399. The method of claim 391, wherein the second plurality of guide rail stacks are arranged to intersect the first and third plurality of spaced apart conductors. 400. The method of claim 391, further comprising: monolithically forming a plurality of additional array device stages to form a three-dimensional monolithic array including at least three device stages. 401. A flash memory array arranged on a substrate, comprising: a first plurality of spaced apart conductive bit lines arranged in a first direction to a first height above the substrate; and A second plurality of spaced apart rail stacks are arranged at a second height in a second direction different from the first direction, and each rail stack includes: a plurality of semiconductor islands, a first surface of which contacts the first plurality Spaced apart conductive bit lines; a conductive word line; and some charge storage regions, disposed between the second surface of the semiconductor islands and the word line. 402. The array according to item 401 of the patent application scope, wherein the semiconductor islands include a first conductivity type polycrystalline silicon. 403. For example, the array of the scope of patent application No. 402, wherein the polycrystalline silicon islands include a second conductivity type external diffusion source and drain region, and conductive bit lines separated at the intervals are stacked with the separated guide rails Contact between intersections. 404. For an array with the scope of patent application No. 403, wherein the spaced apart conductive bit lines include the second conductivity type polycrystalline silicon, and contact the source and the non-electrode -75- This paper applies Chinese National Standard (CNS) A4 size (210 X 297 mm) A B c D 540086 六、申請專利範圍 區域。 405. 如申請專利範圍第404項之陣列,其進一步包含一金屬或 金屬矽化物層,而接觸該等位元線。 406. 如申請專利範圍第401項之陣列,其中該等間隔分開的導 電位元線之間的間隔包含一平面化的絕緣材料。 407. 如申請專利範圍第406項之陣列,其中該等電荷儲存區域 包含一介電質隔絕的浮動閘極、電性隔絕的奈米晶體或 一 0-N-0介電質堆疊。 408. 如申請專利範圍第407項之陣列,其中·· 該等電荷儲存區域包含一浮動閘極,在一穿隧介電質 與一控制閘極介電質之間; 該穿隧介電質與該浮動閘極的橫側對準半導體島的橫 側;並且 該控制閘極介電質在半導體島之間延伸,且在半導體 島間接觸該平面化的絕緣材料。 409. 如申請專利範圍第407項之陣列,其中該電荷儲存區域包 含一浮動閘極,由半球狀晶粒多晶矽所製,有質地粗化 的表面,而位於一穿隧介電質與一控制閘極介電質之間 〇 410. 如申請專利範圍第401項之陣列,其中該字線包含一第二 導電率型多晶矽層,及一接觸該多晶矽層之金屬或金屬 矽化物層。 411. 如申請專利範圍第401項之陣列,其中該等導軌堆疊配置 於該等位元線之上。 -76- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 540086 A8 B8 C8 D8 六、申請專利範圍 412·如申請專利範圍第4〇1項之陣列,其中該等導軌堆疊配置 於該等位元線之下。 413·如申請專利範圍第401項之陣?|J,其中該字線及該電荷儲 存區域從半導體島中的汲極區域偏移。 414·如申請專利範圍第413項之陣列,其中有一絕緣層位於一 偏移區域中的半導體島與字線之間。A B c D 540086 VI. Patent application area. 405. For example, an array with a scope of patent application No. 404, which further comprises a metal or metal silicide layer, and contacts the bit lines. 406. For example, the array of the scope of application for patent No. 401, wherein the interval between the spaced-apart conductive line includes a planar insulating material. 407. For example, the array of the scope of application for patent No. 406, wherein the charge storage regions include a dielectric-isolated floating gate, electrically isolated nano-crystals, or a 0-N-0 dielectric stack. 408. If the array of the scope of application for patent No. 407, wherein the charge storage regions include a floating gate between a tunneling dielectric and a control gate dielectric; the tunneling dielectric The lateral side of the semiconductor island is aligned with the lateral side of the floating gate; and the control gate dielectric extends between the semiconductor islands and contacts the planarized insulating material between the semiconductor islands. 409. For example, the array of patent application No. 407, wherein the charge storage region includes a floating gate, which is made of hemispherical grain polycrystalline silicon, has a roughened surface, and is located in a tunneling dielectric and a control Between the gate dielectrics 410. For example, the array of the scope of application patent No. 401, wherein the word line includes a second conductivity type polycrystalline silicon layer, and a metal or metal silicide layer contacting the polycrystalline silicon layer. 411. For the array of the scope of application for patent No. 401, the guide rails are stacked on the bit lines. -76- This paper size applies to China National Standard (CNS) A4 specification (210X297 mm) 540086 A8 B8 C8 D8 VI. Application for patent scope 412 · For the array of patent scope No. 401, where the guide rails are stacked Configured below these bit lines. 413 · How about the 401th item in the scope of patent application? J, where the word line and the charge storage region are offset from the drain region in the semiconductor island. 414. The array according to item 413 of the patent application, wherein an insulating layer is located between the semiconductor island and the word line in an offset region. 415·如申請專利範圍第4〇1項之陣列,其中該等半導體島位於 導軌堆璺與位元線之相交處。 416·如申請專利範圍第415項之陣列,其中TFT EEPROMs係形 成於導軌堆疊與位元線之相交處。 417·如申請專利範圍第416項之陣列,其進一步包含存取電晶 體,位於導軌堆疊與位元線之相交處。 418.如申請專利範圍第417項之陣列,其中: 該等半導體島包含存取電晶體與EEPR〇M相鄰的通道 區域’在共用的源極和汲極區域之間; m 該等字線形成該等EEPROMs的控制閘極以及該等存取 電晶體的閘極電極; 一第一絕緣層形成一共用的,EEPROMs的控制閘極介 電質以及存取電晶體的閘極絕緣層;並且 一浮動閘極及一穿隧介電質位於字線與EEPROMs的通 道區域之間。 419·如申請專利範圍第416項之陣列,其中該陣列中之一 EEPROM單元在以下情形時為程式化:其源極位元線接地 ’其沒極位元線或係浮動抑或接地,且有一高的正電壓 -77- 本紙張尺度適用中國國家檩準(CNS) A4規格(210 X 297公釐) 六、申請專利範圍 脈衝施於該選取的EEPROM單元的字線,而同一裝置級上 所有其他的位元線任其浮動或放在一稍正的電壓,而同 一裝置級上所有其他的字線接地。 420. 如申請專利範圍第419項之陣列,其中複數個EEPROM單 元同時程式化。 421. 如申請專利範圍第420項之陣列,其中程式化電壓為10至 20伏特。 422. 如申請專利範圍第419項之陣列,其中: 該陣列中之一 EEPROM單元之抹除,係藉:將其字線脈 衝至一高的負值,而其源極和汲極位元線接地;或者 該陣列中之一EEPROM單元之抹除,係藉:將其字線接 地,而其源極和沒極至少其一脈衝至一高的正值。 423. 如申請專利範圍第422項之陣列,其中: 該陣列中之複數個EEPROM單元之同時抹除,係藉:將 複數個字線脈衝至一高的負值,而所有的位元線接地; 或者 該陣列中之複數個EEPROM單元之同時抹除,係藉:將 彼等的字線接地,而彼等的源極和沒極至少其一脈衝至 一高的正值。 424. —種單石三維陣列,含複數個置級,其中每一裝置級包 含申請專利範圍第415項之陣列。 425. 如申請專利範圍第424項之陣列,其中在陣列中每位元之 單元尺寸皆約8F2/N至約11F2/N,此處F為一最小特徵尺寸 ,而N為陣列中的裝置層數目。 -78- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 々、申請專利範圍 426. 如申請專利範圍第·424項之陣列,其進一步包含: 一層間絕緣層,在第二複數個間隔分開的導軌堆疊之 上; 第三複數個間隔分開的導電位元線,在一第一方向配 置至基板之上之一第三高度處;以及 第四複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至一第四高度處,每一導軌堆疊皆含: 複數個半導體島,其第一表面接觸該第三複數個間 隔分開的導電位元線; 一導電字線;及 一些電荷儲存區域,配置於該等半導體島之第二表 面與該字線之間。 427. 如申請專利範圍第426項之陣列,其進一步包含: 一層間絕緣層,在第四複數個間隔分開的導軌堆疊之 上; 第五複數個間隔分開的導電位元線,在一第一方向配 置至該層間絕緣層之上之一第五高度處;以及 第六複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至一第六高度處,每一導軌堆疊皆含: 複數個半導體島,其第一表面接觸該第五複數個間 隔分開的導電位元線; 一導電字線;及 一些電荷儲存區域,配置於該等半導體島之第二表 面與該字線之間。 -79- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 540086 A B c D 六、申請專利範圍 428. 如申請專利範圍第·424項之陣列,其中該等導軌堆疊的字 線、電荷儲存區域及半導體島在一垂直於基板且平行於 源極至沒極方向之平上面對準。 429. 如申請專利範圍第428項之陣列,其中該等導軌堆疊的字 線、電荷儲存區域及半導體島在二個垂直於基板且平行 於源極至沒極方向之平上面對準。 430. —種製造配置於一基板之上之快閃記憶體陣列之方法, 其包含: 形成第一複數個間隔分開的導體,在一第一方向配置 至基板之上之一第一高度處; 在該等第一導體之間形成一第一絕緣層; 形成一含下列數層之堆疊:一第一半導體層及一電荷 儲存膜; 圖型化該堆疊,以形成複數個第一導軌堆疊,皆含一 半導體導軌及一電荷儲存導軌且有至少一個對準的橫緣 y 在該等半導體導軌中形成源極和汲極區域; 形成一第二導電層;以及 圖型化該第二導電層及該等第一導軌堆疊,以形成複 數個第二導軌堆疊,皆含一字線、電荷儲存區域島及半 導體島,其中該等第二導軌堆疊在一垂直於基板且平行 於源極至没極方向之平上面對準。 431. 如申請專利範圍第430項之方法,其中: 該在第一導體間形成第一絕緣層之步驟包含:在第一 -80- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)415. If the array is in the scope of patent application No. 401, the semiconductor islands are located at the intersection of the rail stack and the bit line. 416. The array according to the scope of patent application No. 415, wherein the TFT EEPROMs are formed at the intersection of the rail stack and the bit line. 417. The array according to item 416 of the patent application scope, further comprising an access transistor, which is located at the intersection of the rail stack and the bit line. 418. The array according to the scope of application for patent No. 417, wherein: the semiconductor islands include a channel region adjacent to the access transistor and EEPROM 'between a common source and drain region; m the word lines Forming the control gates of the EEPROMs and the gate electrodes of the access transistors; a first insulation layer forming a common, control gate dielectric of the EEPROMs and the gate insulation layer of the access transistors; and A floating gate and a tunneling dielectric are located between the word line and the channel area of the EEPROMs. 419. If the array of the scope of application for patent No. 416, one of the EEPROM cells in the array is stylized when its source bit line is grounded, its bit line is either floating or grounded, and there is a High positive voltage -77- This paper size is applicable to China National Standard (CNS) A4 specification (210 X 297 mm) 6. Patent application range Pulses are applied to the word line of the selected EEPROM cell, and all on the same device level The other bit lines are allowed to float or be placed at a slightly positive voltage, while all other word lines on the same device level are grounded. 420. For the array of patent application No. 419, a plurality of EEPROM cells are programmed at the same time. 421. For an array with a scope of patent application No. 420, the programmed voltage is 10 to 20 volts. 422. For example, the array of the scope of patent application No. 419, wherein: The erasure of an EEPROM cell in the array is by: pulsing its word line to a high negative value, and its source and drain bit lines Ground; or the erasure of an EEPROM cell in the array by: grounding its word line, and at least one of its source and impulse pulses to a high positive value. 423. If the array of the scope of application for patent No. 422, wherein: the plurality of EEPROM cells in the array are erased at the same time, by: pulse a plurality of word lines to a high negative value, and all bit lines are grounded Or simultaneously erase the plurality of EEPROM cells in the array by: grounding their word lines, and at least one of their source and impulse pulses to a high positive value. 424. A single-stone three-dimensional array, including a plurality of stages, each of which includes an array in the scope of patent application No. 415. 425. For example, the array of the scope of application for patent No. 424, in which the unit size of each bit in the array is about 8F2 / N to about 11F2 / N, where F is a minimum feature size and N is a device layer in the array number. -78- This paper size is in accordance with Chinese National Standard (CNS) A4 specification (210 X 297 mm). 々Applicable patent scope 426. For an array of patent application scope item No. 424, it further includes: an interlayer insulation layer. A second plurality of spaced apart guide rail stacks; a third plurality of spaced apart conductive bit lines arranged in a first direction to a third height above the substrate; and a fourth plurality of spaced apart guide rails The stack is arranged at a fourth height in a second direction different from the first direction, and each rail stack includes: a plurality of semiconductor islands, the first surface of which is in contact with the third plurality of spaced apart conductive bit lines A conductive word line; and some charge storage regions, disposed between the second surface of the semiconductor islands and the word line. 427. For example, the array of the scope of application for patent No. 426, further comprising: an interlayer insulating layer on the fourth plurality of spaced apart guide rail stacks; the fifth plurality of spaced apart conductive bit lines on a first The direction is arranged to a fifth height above the interlayer insulation layer; and the sixth plurality of spaced apart guide rail stacks are arranged in a second direction different from the first direction to a sixth height, each guide rail is stacked Both include: a plurality of semiconductor islands, the first surface of which is in contact with the fifth plurality of spaced apart conductive bit lines; a conductive word line; and some charge storage regions arranged on the second surface of the semiconductor islands and the word Between the lines. -79- This paper size applies to China National Standard (CNS) A4 specification (210X297 mm) 540086 AB c D 6. Application for patent scope 428. For an array with patent scope item No. 424, among which the word lines of these guide rails are stacked The charge storage region and the semiconductor island are aligned on a plane that is perpendicular to the substrate and parallel to the source-to-electrode direction. 429. For the array of the scope of application for patent No. 428, the word lines, charge storage areas and semiconductor islands of the guide rail stacks are aligned on two planes perpendicular to the substrate and parallel to the source-to-animation directions. 430. A method of manufacturing a flash memory array arranged on a substrate, comprising: forming a first plurality of spaced apart conductors, arranged in a first direction to a first height above the substrate; Forming a first insulating layer between the first conductors; forming a stack including the following layers: a first semiconductor layer and a charge storage film; patterning the stack to form a plurality of first rail stacks, Both contain a semiconductor rail and a charge storage rail and have at least one aligned lateral edge y forming a source and drain region in the semiconductor rails; forming a second conductive layer; and patterning the second conductive layer And the first guide rails are stacked to form a plurality of second guide rail stacks, each including a word line, a charge storage area island, and a semiconductor island, wherein the second guide rails are stacked perpendicular to the substrate and parallel to the source electrode Align the polar plane. 431. If the method of applying for the scope of patent No. 430, wherein: the step of forming a first insulating layer between the first conductors includes: in the first -80- this paper size applies the Chinese National Standard (CNS) A4 specification (210X297) %) 六、申請專利範圍 導體之上及其間形成第一絕緣層,且平面化第一絕緣層 以曝露第一導體;並且 該形成數層之堆疊之步騾包含:在曝露的第一導體及 平面化的第一絕緣層上形成該數層之堆疊。 432. 如申請專利範圍第431項之方法,其中該形成源極和汲極 區域之步驟包含:從第一複數個間隔分開的導體,外擴 散第二導電率型摻雜劑入於第一導電率型之半導體島。 433. 如申請專利範圍第430項之方法,其中: 該形成第一絕緣層之步驟包含:在第二導軌堆疊的半 導體島上形成第一絕緣層;並且 該形成第一導體之步驟包含:在第一絕緣層中形成渠 溝,在該等渠溝之中及第一絕緣層上面沈積一第二導電 層,且平面化第二導電層。 434. 如申請專利範圍第430項之方法,其中: 該第一導體包含一多晶碎層及一金屬或金屬碎化物層; 並且 該字線包含一多晶碎層及一金屬或金屬碎化物層。 435. 如申請專利範圍第430項之方法,其中該等電荷儲存區域 島包含一介電質隔絕的浮動閘極、電性隔絕的奈米晶體 或0-N-0介電質堆疊。 436. 如申請專利範圍第435項之方法,其中: 形成該堆疊之步驟包含:在第一半導體層上面形成一 穿隧介電質層及一浮動閘極層;並且 圖型化該堆疊之步驟包含:在該浮動閘極層上面形成 -81 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 540086 A BCD 六、申請專利範圍 一抗光蝕遮罩並蝕刻該堆疊,以形成複數個第一導軌堆 疊,其含半導體導軌及電荷儲存導軌且有至少一個對準 的橫緣,而該等電荷儲存導軌含穿隧介電質及浮動閘極 0 437. 如申請專利範圍第436項之方法,其進一步包含:在第一 導軌堆疊的浮動閘極上面形成一控制閘極介電質層,其 中該控制閘極介電質層延伸而超乎第一導軌堆疊的橫緣 〇 438. 如申請專利範圍第437項之方法,其中該圖型化第二導電 層及第一導軌堆疊之步驟進一步包含:圖型化控制閘極 介電質層,而使控制閘極介電質配置於字線與第一絕緣 層之間;並且,控制閘極介電質在一垂直於基板且平行 於源極至汲極方向之平上面對準半導體島、穿隧介電質 、浮動閘極及控制閘極。 439. 如申請專利範圍第435項之方法,其中: 形成該堆疊之步驟包含:在第一半導體層上面形成一 穿隧介電質層及一浮動閘極層;並且 圖型化該堆疊之步驟包含:蝕刻該堆疊,以形成複數 個第一導軌堆疊,其含半導體導軌及電荷儲存導軌且有 至少一個對準的橫緣,該等半導體導軌具有第一寬度, 該等電荷儲存導軌含穿隧介電質及浮動閘極而寬度小於 該第一寬度; 以至於第一導軌堆疊包含: 一個對準的半導體導軌、穿隧介電質與浮動閘極橫 -82- 本纸張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐)6. A first insulation layer is formed on and between the conductors in the scope of patent application, and the first insulation layer is planarized to expose the first conductor; and the step of forming several layers of stacks includes: the exposed first conductor and the planarization A stack of these layers is formed on the first insulating layer. 432. The method of claiming scope 431 of the patent application, wherein the step of forming the source and drain regions comprises: diffusing a first plurality of spaced apart conductors, and diffusing a second conductivity type dopant into the first conductivity Rated semiconductor island. 433. If the method of applying for patent No. 430, wherein: the step of forming a first insulating layer includes: forming a first insulating layer on a semiconductor island on which a second rail is stacked; and the step of forming a first conductor includes: A trench is formed in an insulating layer, a second conductive layer is deposited in the trenches and above the first insulating layer, and the second conductive layer is planarized. 434. The method according to claim 430, wherein: the first conductor includes a polycrystalline debris layer and a metal or metal debris layer; and the word line includes a polycrystalline debris layer and a metal or metal debris material Floor. 435. The method of claim 430, wherein the charge storage area islands include a dielectrically isolated floating gate, electrically isolated nanocrystals, or a 0-N-0 dielectric stack. 436. The method of claiming item 435, wherein: the step of forming the stack includes: forming a tunneling dielectric layer and a floating gate layer on the first semiconductor layer; and patterning the stack. Contains: -81 formed on the floating gate layer-This paper size applies to Chinese National Standard (CNS) A4 specifications (210 X 297 mm) 540086 A BCD VI. Patent application scope-Photoresistive mask and etch the stack To form a plurality of first rail stacks, which contain semiconductor rails and charge storage rails and have at least one aligned transverse edge, and these charge storage rails contain tunneling dielectrics and floating gates 0 437. Such as applying for a patent The method of scope item 436, further comprising: forming a control gate dielectric layer on the floating gates of the first rail stack, wherein the control gate dielectric layer extends beyond a horizontal direction of the first rail stack. Yuan 438. For example, the method of claim 437, wherein the step of patterning the second conductive layer and the stacking of the first rail further includes: patterning the gate dielectric layer to control The gate dielectric is disposed between the word line and the first insulating layer; and, the gate dielectric is controlled to align with the semiconductor island and tunnel through a plane perpendicular to the substrate and parallel to the source-to-drain direction. Dielectrics, floating gates and control gates. 439. The method of claiming item 435, wherein: the step of forming the stack includes: forming a tunneling dielectric layer and a floating gate layer on the first semiconductor layer; and patterning the stack. Including: etching the stack to form a plurality of first rail stacks, which include semiconductor rails and charge storage rails and have at least one aligned lateral edge, the semiconductor rails have a first width, and the charge storage rails include tunneling The dielectric and floating gates are smaller than the first width; so that the first rail stack includes: an aligned semiconductor rail, tunneling dielectric, and floating gates -82- This paper size applies to China Standard (CNS) A4 (210 x 297 mm) 540086 AB c D 六、申請專利範圍 緣;及 半導體導軌之一曝露的部份。 440. 如申請專利範圍第439項之方法,其中圖型化該堆疊之步 騾包含: 在該堆疊上面形成一第一抗光蝕遮罩,其有第一寬度 y 用該第一抗光蝕遮罩,蝕刻第一半導體層、穿隧介電 質層及浮動閘極層; 在浮動閘極層上面形成一第二抗光蝕遮罩,其有小於 第一寬度之第二寬度;以及 用該第二抗光蝕遮罩,蝕刻穿隧介電質層及浮動閘極 層,但第一半導體層除外。 441. 如申請專利範圍第439項之方法,其中圖型化該堆疊之步 驟包含: 在該堆疊上面形成一第一抗光姓遮罩,其有第一寬度 用該第一抗光蝕遮罩,蝕刻穿隧介電質層及浮動閘極 層,以曝露第一半導體層的一部份; 在浮動閘極層上面及第一半導體層曝露的部份上面, 形成一第二抗光蝕遮罩,其有大於第一寬度之第二寬度 :以及 用該第二抗光蝕遮罩,蝕刻第一半導體層。 442. 如申請專利範圍第439項之方法,其進一步包含:在浮動 閘極層上面及第一導軌堆疊的半導體導軌曝露的部份上 -83- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)540086 AB c D 6. Scope of patent application; and an exposed part of one of the semiconductor guide rails. 440. The method of claiming scope 439 of the patent application, wherein the step of patterning the stack includes: forming a first photoresist mask on the stack, which has a first width y and uses the first photoresist A mask, which etches the first semiconductor layer, the tunneling dielectric layer, and the floating gate layer; forming a second photoresist mask on the floating gate layer, which has a second width smaller than the first width; and The second photoresist mask etches the tunnel dielectric layer and the floating gate layer, except for the first semiconductor layer. 441. For the method of applying for the scope of patent No. 439, wherein the step of patterning the stack includes: forming a first photoresistive mask on the stack, which has a first width using the first photoresistive mask To etch through the tunnel dielectric layer and the floating gate layer to expose a portion of the first semiconductor layer; a second photoresist mask is formed on the floating gate layer and on the exposed portion of the first semiconductor layer A mask having a second width greater than the first width; and etching the first semiconductor layer with the second photoresist mask. 442. If the method of the scope of application for patent No. 439, further includes: on the floating gate layer and the exposed part of the semiconductor rail stacked on the first rail -83- This paper standard applies to China National Standard (CNS) A4 (210X297 mm) 540086 A8 B8 C8 _ _ D8 六、申請專利範圍 面’形成一控制閘極介電質層,其中該控制閘極介電質 層係在半導體導軌之一曝露的部份上面作用為存取電晶 體的閘極介電質。 443·如申請專利範圍第442項之方法,其中該控制閘極介電質 層延伸而超乎第一導軌堆疊的橫緣。 444. 如申請專利範圍第443項之方法,其中該圖型化第二導電 層及第一導軌堆疊之步驟進一步包含:圖型化控制閘極 介電質層’而使控制閘極介電質配置於字線與第一絕緣 層之間;並且,控制閘極介電質在一垂直於基板且平行 於源極至汲極方向之平上面對準半導體島、穿隧介電質 、浮動閘極及控制閘極。 445. 如申請專利範圍第439項之方法,其進一步包含:在半導 體導軌之一曝露的部份與字線之間,形成一第二絕緣層 ’以形成一偏移區域。 446·如申請專利範圍第445項之方法,其進一步包含:在半導 體導軌之間,形成第二絕緣層,以隔絕該等半導體導軌 〇 447·如申請專利範圍第430項之方法,其進一步包含:單石性 地形成複數個附加的陣列裝置級,以形成具有至少三個 裝置級之三維單石陣列。 448·如申請專利範圍第447項之方法,其進一步包含:在裝置 級間皆形成一層間絕緣層。 449·一種配置於一基板之上之電荷儲存裝置,其包含: 一第一層過渡金屬結晶矽,配置於—基板之上;540086 A8 B8 C8 _ _ D8 6. The scope of patent application is to form a control gate dielectric layer, wherein the control gate dielectric layer is used to access the transistor on an exposed part of one of the semiconductor guide rails. Gate dielectric. 443. The method of claim 442, wherein the control gate dielectric layer extends beyond the lateral edge of the first rail stack. 444. According to the method of applying for item 443 of the patent scope, the patterning step of stacking the second conductive layer and the first guide rail further includes: patterning the gate dielectric layer to control the gate dielectric layer Arranged between the word line and the first insulating layer; and, the gate dielectric is aligned on the semiconductor island, the tunnel dielectric, and floats on a plane perpendicular to the substrate and parallel to the source-drain direction. Gate and control gate. 445. The method according to claim 439, further comprising: forming a second insulating layer ′ between an exposed portion of one of the semiconductor rails and the word line to form an offset region. 446. The method according to the scope of patent application for item 445, further comprising: forming a second insulation layer between the semiconductor guide rails to isolate the semiconductor track 447. The method, including the scope of patent application 430, further comprising: : Monolithically forming a plurality of additional array device stages to form a three-dimensional monolithic array having at least three device stages. 448. The method of claiming scope 447 of the patent application, further comprising: forming an interlayer insulating layer between the device levels. 449. A charge storage device disposed on a substrate, comprising: a first layer of transition metal crystalline silicon disposed on a substrate; 540086 A B c D 六、申請專利範圍 一 p-n接面;以及 一局域電荷儲存膜,配置於該第一層鄰近。 450. —種單石三維陣列,含複數個申請專利範圍第449項之裝 置級,其中該p-n接面包括:一源極區域與通道之間的接 面,或一汲極區域與通道之間的接面。 451. —種配置於一基板之上之記憶體陣列,其包含: 第一複數個間隔分開的導體,在一第一方向配置至基 板之上之一第一高度處;以及 第二複數個間隔分開的導軌堆疊,在一異於第一方向 之第二方向配置至一第二高度處,每一導軌堆疊皆含: 一第一導電率型之半導體膜,接觸該第一複數個間 隔分開的導體; 一局域電荷儲存膜,配置於該半導體膜鄰近;及 一導電膜,配置於該局域電荷儲存膜鄰近; 每一該半導體膜用一過渡金屬謗導橫向結晶製程而至 少部份地結晶。 452. —種製造電荷儲存裝置之方法,其包含: 在一基板之上提供一第一非晶系矽或多晶矽層; 提供一過渡金屬催化劑,進該第一層; 在該第一層中形成一 p-n接面;以及 形成一局域電荷儲存膜,配置於該第一層鄰近。 453. 如申請專利範圍第452項之方法,其中係在約400°C至約 7〇〇°C溫度範圍内從事結晶;其進一步包含:以約750°C至 975°C之更高的退火溫度範圍,來結晶該第一層。 -85- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)540086 A B c D 6. Scope of patent application-p-n junction; and a local charge storage film, which is arranged adjacent to the first layer. 450. —A single-stone three-dimensional array, including a plurality of device scopes of patent application No. 449, wherein the pn junction includes: a junction between a source region and a channel, or a junction between a drain region and a channel Butt. 451. A memory array arranged on a substrate, comprising: a first plurality of spaced apart conductors arranged in a first direction to a first height above the substrate; and a second plurality of spaces The separated rail stacks are arranged at a second height in a second direction different from the first direction, and each rail stack includes: a semiconductor film of a first conductivity type that contacts the first plurality of spaced apart A conductor; a localized charge storage film disposed adjacent to the semiconductor film; and a conductive film disposed near the localized charge storage film; each of the semiconductor films at least partially using a transition metal to conduct a lateral crystallization process crystallization. 452. A method of manufacturing a charge storage device, comprising: providing a first amorphous silicon or polycrystalline silicon layer on a substrate; providing a transition metal catalyst into the first layer; forming in the first layer A pn junction; and forming a local charge storage film disposed adjacent to the first layer. 453. The method of claiming range 452 of the patent application, wherein the crystallization is performed in a temperature range of about 400 ° C to about 700 ° C; further comprising: annealing at a higher temperature of about 750 ° C to 975 ° C Temperature range to crystallize the first layer. -85- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) A B c D 540086 六、申請專利範圍 454. 如申請專利範圍第·452項之方法,其進一步包含:形成一 閘極,配置於該局域電荷儲存膜鄰近。 455. 如申請專利範圍第454項之方法,其進一步包含:形成複 數個含過渡金屬結晶矽TFTs之裝置級。 456. —種半導體裝置,含一單石三維電荷儲存裝置陣列,該 陣列含複數個裝置級,其中二個連續的裝置級之間有至 少一個表面藉化學機械研磨法而平面化。 457. 如申請專利範圍第456項之半導體裝置,其中該陣列包含 四或更多個裝置級。 458. 如申請專利範圍第457項之半導體裝置,其中每一電荷儲 存裝置包含一導柱式TFT EEPROM。 459. 如申請專利範圍第457項之半導體裝置,其中每一電荷儲 存裝置包含具有一電荷儲存區域之導柱式二極體。 460. 如申請專利範圍第457項之半導體裝置,其中每一電荷儲 存裝置包含一自對準TFT EEPROM。 461. 如申請專利範圍第457項之半導體裝置,其中每一電荷儲 存裝置包含一導軌堆疊式TFT EEPROM。 462. 如申請專利範圍第457項之半導體裝置,其中每一裝置級 中的絕緣或導電層表面藉化學機械研磨法而平面化。 463. 如申請專利範圍第457項之半導體裝置,其中位於二級間 的層間絕緣層表面藉化學機械研磨法而平面化。 464. 如申請專利範圍第457項之半導體裝置,其中該藉化學機 械研磨法而平面化的表面峰至峰粗度為4000埃或更小。 465. 如申請專利範圍第457項之半導體裝置,其進一步包含至 -86- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) C8 D8 六、申請專利範圍 /局部形成於基板中之驅動器電路,而在陣列以下、陣 列以内或陣列以上。 466.如申請專利範圍第465項之半導體裝置,其中驅動器電路 包含感測放大器及電荷泵至少其一,形成於基板中而在 陣列以下。 蚁-種製造單石三維電荷儲存裝置陣列之方法,其包、 ^成含有複數個第一電荷儲存裝置之一基本裝置^; 單石形成至少一上裝置級,包含有複數個第二電荷儲 存裝置’在下裝置級上,此類至少一上裝置級層會直接 置於下裝置級層上;以及 藉化學機械研磨法,在下裝置級與至少一上裝置級之 間平面化至少一表面。 468·如申請專利範圍第467項之方法,其進一步包本: 形成含有下裝置級與至少一上裝置級之四或更多個裝 置級;以及 藉化學機械研磨法,在至少三個連續的裝置級之間皆 平面化至少一個表面。 469·如申請專利範圍第468項之方法,其中各第一與第二電荷 儲存裝置係選自下列各物組成之群··導柱式吓丁 EEpR〇M 、具有一電荷儲存區域之導柱式二極體、自對準开丁 EEPROM,及導軌堆疊式TFT EEPROM 〇 470,如申請專利範圍第468項之方法,其中平面化該下裝置 級與至少一上裝置級間之至少一表面之步驟包含有藉化 學機械研磨法平面化該下裝置及中一絕緣層之一表面。 -87- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) A BCD 540086 六、申請專利範圍 471. 如申請專利範圍第468項之方法,其中平面化該下裝置 級與至少一上裝置級間之至少一表面之步驟包含有藉化 學機械研磨法平面化該下裝置及中一導電層之一表面。 472. 如申請專利範圍第4 6 8項之方法,其中平面化該下裝置 級與至少一上裝置級間之至少一表面之步驟包含有藉化 學機械研磨法平面化位於該下裝置即予該至少一上裝置 級間之一層間絕緣層之一表面。 473. 如申請專利範圍第468項之方法,其進一步包含:形成驅 動器電路至少局部在基板中之,而在陣列以下、陣列以 内或陣列以上。 474. 如申請專利範圍第473項之方法,其中驅動器電路包含感 測放大器及電荷泵至少其一,形成於基板中而在陣列以 下。 本紙張尺度適用中國國家標準(CNS) A4規格(210x 297公釐)A B c D 540086 6. Scope of Patent Application 454. For the method of applying for the scope of patent application No. · 452, it further includes: forming a gate electrode arranged near the local charge storage film. 455. The method of claim 454, further comprising: forming a plurality of device grades containing transition metal crystalline silicon TFTs. 456. A semiconductor device comprising a monolithic three-dimensional charge storage device array, the array comprising a plurality of device stages, of which at least one surface between two consecutive device stages is planarized by chemical mechanical polishing. 457. The semiconductor device of claim 456, wherein the array includes four or more device stages. 458. For the semiconductor device under the scope of application for patent No. 457, each of the charge storage devices includes a pillar type TFT EEPROM. 459. The semiconductor device of claim 457, wherein each charge storage device comprises a post-type diode having a charge storage region. 460. For the semiconductor device under the scope of application No. 457, each of the charge storage devices includes a self-aligned TFT EEPROM. 461. For the semiconductor device under the scope of patent application No. 457, each of the charge storage devices includes a rail-stacked TFT EEPROM. 462. In the case of a semiconductor device under patent application No. 457, the surface of the insulating or conductive layer in each device level is planarized by chemical mechanical polishing. 463. In the case of the semiconductor device under the scope of application for patent No. 457, the surface of the interlayer insulating layer located between the two levels is planarized by a chemical mechanical polishing method. 464. The semiconductor device according to the scope of application for patent No. 457, wherein the surface peak-to-peak roughness planarized by the chemical mechanical polishing method is 4000 angstroms or less. 465. For the semiconductor device with the scope of patent application No. 457, it further contains -86- This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) C8 D8 6. The scope of patent application / partially formed The driver circuits in the substrate are below the array, within the array, or above the array. 466. The semiconductor device of claim 465, wherein the driver circuit includes at least one of a sense amplifier and a charge pump, and is formed in the substrate and below the array. An ant-type method for manufacturing a monolithic three-dimensional charge storage device array, which comprises a basic device containing a plurality of first charge storage devices; a monolith forms at least one upper device level and includes a plurality of second charge storage devices The device is on the lower device level, such at least one upper device level layer is directly placed on the lower device level layer; and at least one surface is planarized between the lower device level and the at least one upper device level by a chemical mechanical polishing method. 468. The method according to the scope of application for patent No. 467, which further includes: forming four or more device levels including a lower device level and at least one upper device level; and by chemical mechanical polishing method, at least three consecutive At least one surface is planarized between the device levels. 469 · The method according to the scope of application for patent No. 468, wherein each of the first and second charge storage devices is selected from the group consisting of: a guide post type ErpROM, a guide post having a charge storage area Type diode, self-aligned Kai EEPROM, and rail-stacked TFT EEPROM 〇470, such as the method of patent application No. 468, wherein the planarization of at least one surface between the lower device level and at least one upper device level The steps include planarizing the lower device and a surface of the first insulating layer by chemical mechanical polishing. -87- This paper size applies Chinese National Standard (CNS) A4 specification (210X 297 mm) A BCD 540086 VI. Application for patent scope 471. For the method of applying for patent scope No. 468, which planarizes the lower device level and The step of at least one surface between at least one upper device stage includes planarizing a surface of the lower device and a middle conductive layer by chemical mechanical polishing. 472. If the method of applying scope of patent No. 468, wherein the step of planarizing at least one surface between the lower device stage and at least one upper device stage includes planarizing the lower device by chemical mechanical polishing, the At least one surface of an interlayer insulation layer between device stages. 473. The method according to claim 468, further comprising: forming a driver circuit at least partially in the substrate, and below the array, within the array, or above the array. 474. The method of claim 473, wherein the driver circuit includes at least one of a sense amplifier and a charge pump, formed in a substrate and below the array. This paper size applies to China National Standard (CNS) A4 (210x 297 mm)
TW90119945A 2000-08-14 2001-08-13 Dense arrays and charge storage devices, and methods for making same TW540086B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US63957900A 2000-08-14 2000-08-14
US63970200A 2000-08-14 2000-08-14
US63974900A 2000-08-17 2000-08-17
US74512500A 2000-12-21 2000-12-21
US27985501P 2001-03-28 2001-03-28

Publications (1)

Publication Number Publication Date
TW540086B true TW540086B (en) 2003-07-01

Family

ID=29587907

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90119945A TW540086B (en) 2000-08-14 2001-08-13 Dense arrays and charge storage devices, and methods for making same

Country Status (1)

Country Link
TW (1) TW540086B (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI493659B (en) * 2010-04-13 2015-07-21 Ibm Nanowire circuits in matched devices
TWI582907B (en) * 2008-06-13 2017-05-11 桑迪士克科技有限責任公司 Non-volatile memory arrays comprising rail stacks with a shared diode component portion for diodes of electrically isolated pillars
US9716153B2 (en) 2007-05-25 2017-07-25 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
TWI629788B (en) * 2012-07-01 2018-07-11 賽普拉斯半導體公司 Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
TWI631692B (en) * 2017-01-20 2018-08-01 旺宏電子股份有限公司 Memory device and manufacturing method thereof
US10079314B2 (en) 2007-05-25 2018-09-18 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9716153B2 (en) 2007-05-25 2017-07-25 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
US9741803B2 (en) 2007-05-25 2017-08-22 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
US10079314B2 (en) 2007-05-25 2018-09-18 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
US10263087B2 (en) 2007-05-25 2019-04-16 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
TWI582907B (en) * 2008-06-13 2017-05-11 桑迪士克科技有限責任公司 Non-volatile memory arrays comprising rail stacks with a shared diode component portion for diodes of electrically isolated pillars
TWI493659B (en) * 2010-04-13 2015-07-21 Ibm Nanowire circuits in matched devices
TWI629788B (en) * 2012-07-01 2018-07-11 賽普拉斯半導體公司 Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
TWI631692B (en) * 2017-01-20 2018-08-01 旺宏電子股份有限公司 Memory device and manufacturing method thereof

Similar Documents

Publication Publication Date Title
US20200251492A1 (en) Dense arrays and charge storage devices
US6566195B2 (en) Method and structure for an improved floating gate memory cell
US10957703B2 (en) Method of reducing charge loss in non-volatile memories
US8022466B2 (en) Non-volatile memory cells having a polysilicon-containing, multi-layer insulating structure, memory arrays including the same and methods of operating the same
US20040213048A1 (en) Nonvolatile memory having bit line discharge, and method of operation thereof
TW540086B (en) Dense arrays and charge storage devices, and methods for making same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees