TW444335B - Process for forming self-aligned multi-level interconnect structure - Google Patents

Process for forming self-aligned multi-level interconnect structure Download PDF

Info

Publication number
TW444335B
TW444335B TW88101808A TW88101808A TW444335B TW 444335 B TW444335 B TW 444335B TW 88101808 A TW88101808 A TW 88101808A TW 88101808 A TW88101808 A TW 88101808A TW 444335 B TW444335 B TW 444335B
Authority
TW
Taiwan
Prior art keywords
layer
forming
self
patent application
scope
Prior art date
Application number
TW88101808A
Other languages
Chinese (zh)
Inventor
Hsu-Li Cheng
Erik S Jeng
Original Assignee
Vanguard Int Semiconduct Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard Int Semiconduct Corp filed Critical Vanguard Int Semiconduct Corp
Priority to TW88101808A priority Critical patent/TW444335B/en
Application granted granted Critical
Publication of TW444335B publication Critical patent/TW444335B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention provides a process for forming self-aligned multi-level interconnect structure, which comprises the steps of: (a) providing a semiconductor substrate; (b) forming a conductive layer on the semiconductor substrate; (c) forming a plug above the conductive layer to expose part area of the conductive layer; (d) removing part of the conductive layer so that the remained conductive layer is used as a first wire and the first wire is self-aligned with the plug; (e) covering a dielectric layer on the semiconductor substrate and plug, wherein the dielectric material has a low step coverage capability for forming voids in the dielectric layer between the first wires; (f) planarizing the dielectric layer to expose the top of the plug; and (g) forming a second conductive wire on the top of the plug. The present process is able to not only form the self-aligned multi-level interconnect structure, but also decrease the capacitance coupling effect between the first wires. Furthermore, it is able to block the conductive ingredient from entering the voids in the dielectric layer and thus prevent the multi-level interconnect structure from being damaged.

Description

4443 3 5 f2·'發明說明(l) ' " 本案係關於一種形成多重内連線結構之製程,尤指一 種形成自對準多重内連線結構之製程。 隨著半導體產業的急速發展’積體電路的積集度也逐 漸增加,當晶片的表面無法提供足夠的面積製作大量的元 件,就必須使用多重内連線以聯繫各個元件,即利用多層 結構,在同樣面積的晶片上完成更複雜的設計及提供更完 善的功能。當然,為了盡可能的縮小半導體裝置的體積, 除了使用多層結構之外,縮小元件間之距離也是半導體業 者努力研發的目標,好達到今日對半導體裝置的小體積高 性能之要求’因而有各式精密技術的產生,對線寬的要求 也從微米到次微米,甚至推展到深次微米的領域。 但是在元件間距離縮小的同時,相鄰導線間的電容搞 合效應卻帶來了問題,當導線間的距離愈短,毫無疑問地 電容耦合效應就會愈大,當線寬小於0.3微米時,有9096的 電容是來自於導線間的電容,足見其不可忽視的程度,為 了減低導線間的耦合效應,開始有人在導線及導線之間填 入低介電常數(low-k)之材料,其介電常數小於3,最好是 小於2 ’像是於四乙氧基矽烷(tetraethylorthosiiane, TE0S)中加入氟可將其介電常數降至3.3,這種介電材料已 被應用於0,3 5微米的製程,有人預測未來的介電材料會朝 向使用聚合物及氣膠(aerogels)發展。 上述利用研發介電材料來降低電容耦合效應的方法已 屬於材料之領域’現在已有一種新的製程被提出,即使不 使用新的介電材料也可以降低導線間的電容耦合效應,就4443 3 5 f2 · 'Explanation (l)' " This case is about a process for forming a multiple interconnect structure, especially a process for forming a self-aligned multiple interconnect structure. With the rapid development of the semiconductor industry, the accumulation degree of integrated circuits has gradually increased. When the surface of the wafer cannot provide enough area to make a large number of components, multiple interconnects must be used to connect the various components, that is, using a multilayer structure. Complete more complex designs and provide more complete functions on the same area of the chip. Of course, in order to reduce the size of the semiconductor device as much as possible, in addition to using a multilayer structure, reducing the distance between components is also a goal of the semiconductor industry to research and develop, so as to meet today's requirements for small size and high performance of semiconductor devices. With the generation of precision technology, the requirements for line width also range from micron to sub-micron, and even extend to the field of deep sub-micron. However, as the distance between components decreases, the capacitance-combining effect between adjacent wires brings problems. When the distance between wires is shorter, there is no doubt that the capacitive coupling effect will be greater. When the line width is less than 0.3 microns At that time, the capacitance of 9096 is from the capacitance between the wires, which is to a degree that cannot be ignored. In order to reduce the coupling effect between the wires, some people began to fill low-k materials between the wires and the wires. , Its dielectric constant is less than 3, preferably less than 2 'For example, adding tetraethylorthosiiane (TEOS) to reduce the dielectric constant to 3.3, this dielectric material has been applied to 0 In the 35 micron process, some people predict that the future dielectric materials will develop toward the use of polymers and aerogels. The above-mentioned method for reducing the capacitive coupling effect by using the research and development of a dielectric material belongs to the field of materials. Now a new process has been proposed. Even without using a new dielectric material, the capacitive coupling effect between the wires can be reduced.

第4頁 4443 3 5 I五、發明說明(2) 是在導線間的介電材料中形成孔隙(air gap)(J. G. Fleming and E. R. Osmun, "Use of Air Gap Structures to Lower Intralevel Capacitance", DUMIC Conference,pl39-146, 1997),即介電材料中有一部份 是被空氣所取代’因為空氣的介電常數很低(約為1 ), 則無疑地可大幅減低導線間的電容耦合效應。其多重内連 線之結構顯示於第一圖,半導體基材11中包含金氧半場效 電晶體(metal oxide semiconductor field effect transistor,MOSFET)元件(並未繪出),不同層之金氧 半場效電晶體元件各與導線12及13採歐姆式接觸,兩者之 間有一插塞(plug )14將導線12及13連接起來,以溝通不同 層之金氧半場效電晶體元件,另外,除了特定部份的連接 之外’各金氧半場效電晶體元件必須以介電層1 5隔開,以 防短路或漏電,在介電層1 5之中則顯示有一孔隙1 6,可以 降低相鄰導線1 2間的電容耦合效應。 加入孔隙雖可以降低電容耦合效應,但是在製作過程 中卻有隱藏的危機,請參閱第二圖,其顯示一般多重内連 線之製程步驟。請先參閱第二圖(A),首先在包含金氧半 場效電晶體元件的半導體基材11上形成導線12,導線丨2的 材質多為鋁’因為鋁的電阻率低’而且與二氧化矽層間的 附著性良好;接著沈積一層介電層丨5,以隔離不同層的金 氧半場效電晶體元件,介電層15的材質多為二氧化矽,其 介電常數約為4,可在其間形成孔隙1 6 ;然後在介電層i 5 上形成一層光阻層丨7,經圖樣轉移後(pattern)可定義出Page 4443 3 5 I. Explanation of the invention (2) is to form an air gap in the dielectric material between the wires (JG Fleming and ER Osmun, " Use of Air Gap Structures to Lower Intralevel Capacitance ", DUMIC Conference, pl39-146, 1997), that is, part of the dielectric material is replaced by air 'because the dielectric constant of air is very low (about 1), it will undoubtedly greatly reduce the capacitive coupling effect between the wires. The structure of multiple interconnections is shown in the first figure. The semiconductor substrate 11 includes metal oxide semiconductor field effect transistor (MOSFET) elements (not shown), and the metal oxide half field effect of different layers The transistor elements are in ohmic contact with the wires 12 and 13 with a plug 14 connecting the wires 12 and 13 to communicate the metal-oxide-semiconductor half-field effect transistor elements in different layers. In addition, except for the specific Outside of the partial connection, each metal-oxide-semiconductor half-effect transistor element must be separated by a dielectric layer 15 to prevent short circuit or leakage. A dielectric 16 is shown in the dielectric layer 15 to reduce adjacent Capacitive coupling effect between wires 12. Although the addition of pores can reduce the capacitive coupling effect, there are hidden risks in the manufacturing process. Please refer to the second figure, which shows the general process steps of multiple interconnects. Please refer to the second figure (A). First, a conductive wire 12 is formed on a semiconductor substrate 11 including a metal-oxide-semiconductor field-effect transistor element. The material of the conductive wire 2 is mostly aluminum 'because the resistivity of aluminum is low' and the The adhesion between the silicon layers is good; then a dielectric layer 5 is deposited to isolate the different layers of gold-oxygen half field effect transistor elements. The material of the dielectric layer 15 is mostly silicon dioxide, and its dielectric constant is about 4, which can be A pore 16 is formed therebetween; then a photoresist layer 丨 7 is formed on the dielectric layer i 5. After pattern transfer (pattern) can be defined

4443 3 5 五、發明說明(3) 介層窗的位置。接著請參閱第二圖(B),以非等向性蝕刻 移除部份的介電層15 ’形成介層窗18。再請參閱第二圊 (C),於介層窗18内及介電層15上沈積一層導電層,其材 質多為鎮;接著#刻掉介電層15上方的導電層,只保留介 層®18内的導電層’即形成插塞14 ’最後於插塞14上形成 --導線13 ’就成為如第一圖之多重内連線結構。這種製程的 不妥處就在於如果光阻層17的定義不精確,使得蝕刻出的 介層窗1 8與孔隙1 6相通,則填入導電層時導電材料勢必會 進入孔隙1 6,則整個多重内連線的結構就會被破獲,而有 短路及漏電等情形發生。 另外’採用於介層窗内填入導電材料還有—個缺點, 當線寬愈來愈細’要完全將介層窗填滿並不是那麼容易, 階梯覆蓋(step coverage)能力不足會使得插塞中出現孔 洞’這會使得元件於操作中出現可信度的問題,因此美國 專利號5, 6 63, 1 08提出先形成導線及其上方之插塞,再於 相一之導線與插塞間填入介電層’如此即可避免上述問 題,但是这種方法在生成導線與插塞時仍有對準上的問 題。 職是之故,本發明鑑於習知技術之缺失,並一本鍥而 不捨、精益求精之研究精神,為改善習知技術中導線間電k 容耦合效應過大及製程中無法有效對準的問題,終研發出 本案形成自對準多重内連線結構之製程以期能有效解決 習知技術中所存在的缺失。4443 3 5 V. Description of the invention (3) Position of the interlayer window. Next, referring to the second figure (B), a portion of the dielectric layer 15 'is removed by anisotropic etching to form a dielectric window 18. Please refer to the second step (C). A conductive layer is deposited in the dielectric window 18 and the dielectric layer 15. The material is mostly town. Then #etch away the conductive layer above the dielectric layer 15 and leave only the dielectric layer. The conductive layer in the ®18 is formed as the plug 14 'and finally formed on the plug 14-the wire 13' becomes a multiple interconnect structure as shown in the first figure. The disadvantage of this process is that if the definition of the photoresist layer 17 is inaccurate, so that the etched interlayer window 18 is in communication with the aperture 16, the conductive material will inevitably enter the aperture 16 when the conductive layer is filled. The entire structure of multiple interconnects will be cracked, and short circuits and leakages will occur. In addition, 'the use of filling conductive materials in the interlayer window has another drawback—as the line width becomes thinner', it is not easy to completely fill the interlayer window. Insufficient step coverage capabilities will cause Holes appear in the plug. This will cause the reliability of the component during operation. Therefore, U.S. Patent No. 5, 6 63, 1 08 proposes to first form a wire and the plug above it, and then place a phase between the wire and the plug. Filling the dielectric layer 'can avoid the above problems, but this method still has alignment problems when generating wires and plugs. Due to the lack of conventional technology, the present invention has a spirit of perseverance and excellence in research. In order to improve the problem of excessive capacitive coupling effect between wires and the inability to effectively align in the conventional technology, the final research and development The process of forming a self-aligned multiple interconnect structure in the present case is expected to effectively solve the shortcomings in the conventional technology.

$ 6頁 4443 35 I五、發明說明(4) 連線結構之製程,可以形成自對準之插塞與導線,避免因 對準不佳而導致短路或漏電的情形發生。 本案之另一目的’即在於提供一種形成自對準多重内 連線結構之製程,可以有效降低導線間之電容耦合效應。 本案之又一目的’即在於提供一種形成自對準多重内 連線結構之製程,所得之插塞與導線間有完全的接觸面, 降低接觸電阻,有利於導電。 根據本案之目的’欲形成一種多重内連線結構,其製 程包括下列步驟:(a)提供内含金氧半場效電晶體元件之 半導體基材;(b)在半導體基材上方生成第一導電層;(c) 在第一導電層上方形成插塞柱;(d)將未被插塞柱覆蓋的 部份第一導線移除,保留下來的第一導電層即為第一導 線,如此第一導線可自對準於插塞柱在前述步驟所 得之半成品上方形成一層介電層;(f)平坦化此介電層, 以利後續之製程,同時露出插塞柱的頂部;以及(g )在插 塞柱的頂部形成第二導線。 依據上述構想’步驟(c)中的插塞柱係為鎢插塞,其 形成包括步驟:(cl)在第一導電層上方形成—層金屬鎢 層,(c2)在金屬鎢上形成一層第一光阻層;(c3)以第一光 阻層為遮罩,蝕刻未被第一光阻層覆蓋的部份金屬鎢層, 保留下來的金屬鎢層即為鎢插塞:以及(c4)移除第一光阻 層。 依據上述構想,步驟(d)中第一導線的形成包括步 驟.(dl)在插塞柱上方形成一層第二光阻層;(d2)以第二$ 6 pages 4443 35 I. Description of the invention (4) The process of the connection structure can form self-aligned plugs and wires to avoid short circuits or leakage caused by poor alignment. Another object of this case is to provide a process for forming a self-aligned multiple interconnect structure, which can effectively reduce the capacitive coupling effect between the wires. Another object of the present case is to provide a process for forming a self-aligned multiple interconnect structure. The obtained plug has a complete contact surface with the wire, reduces the contact resistance, and facilitates electrical conduction. According to the purpose of this case, 'to form a multiple interconnect structure, the manufacturing process includes the following steps: (a) providing a semiconductor substrate containing a metal-oxygen half field effect transistor element; (b) generating a first conductive layer over the semiconductor substrate Layer; (c) forming a plug post over the first conductive layer; (d) removing a portion of the first conductive line that is not covered by the plug post, and the remaining first conductive layer is the first conductive line, so A wire can be self-aligned with the plug post to form a dielectric layer over the semi-finished product obtained in the previous step; (f) planarize the dielectric layer to facilitate subsequent processes while exposing the top of the plug post; and (g ) A second wire is formed on the top of the plug post. According to the above conception, the plug post in step (c) is a tungsten plug, and its formation includes steps: (cl) forming a metal tungsten layer over the first conductive layer, and (c2) forming a first layer on the metal tungsten. A photoresist layer; (c3) using the first photoresist layer as a mask to etch a part of the metal tungsten layer not covered by the first photoresist layer, and the remaining metal tungsten layer is a tungsten plug; and (c4) Remove the first photoresist layer. According to the above concept, the formation of the first conductive line in step (d) includes the step. (Dl) forming a second photoresist layer on the plug post; (d2) using the second

4443 3 54443 3 5

光阻層為遮罩’蝕刻未被第二光阻層覆蓋的部份第一導 層’保留下來的第一導電層即為第一導線;以及(d3)移除 第二光阻層。另外,在步驟(dl)中所形成之第二光 一定要全部覆蓋住插塞柱》 依據上述構想,步驟(f)中介電層之平坦化包括步 驟:(fi)在介電層上方形成一層溝填層,並於第一導線間 形成空氣間隙,再使介電層局部平坦化;以及(f2)蝕刻溝 填層直到露出插塞柱的頂部,達到全面性平坦化。 依據上述構想,步驟(g)中第二導線之形成包括步 驟.(gl)在介電層上方形成一層第二導電層;(g2)在第二 導電層上方形成一層第三光阻層;(g3)以第三光阻層為遮 罩,蝕刻未被第三光阻層覆蓋的部份第二導電層,保留下 來的第二導電層即為第二導線;(g4)移除第三光阻層。 依據上述構想,各層之材料及形成方法分述如下:導 電層的材質較佳為鋁、鋁銅合金或鋁銅矽合金,可利用磁 控直流濺鍍法生成,厚度約為5 〇 〇 〇埃至丨〇 〇 〇 〇埃之間,蝕 刻時則利用四氣化矽/氣、三氣化硼/氣、三溴化硼/氣、 或四氣化碳/氣等混合氣體進行反應性離子蝕刻;插塞之 材質較佳為鎢,可利用化學氣相沈積法生成,厚度約為 500j埃^至100 〇〇埃之間,蝕刻時則利用四氟化碳/氧、三氟 化氮/氧、或六敗化硫/氧等混合氣體進行反應性離子蝕 刻;介電層的材質較佳為二氧化矽、氮化矽、氮氧化矽、 磷矽玻璃、或硼磷矽玻璃,可利用常壓化學氣相沈積法、 低壓化學氣相沈積法、或電漿加強化學氣相沈積法生成;The photoresist layer is a first conductive wire that is masked, and a portion of the first conductive layer that is not covered by the second photoresist layer is etched is the first conductive line; and (d3) the second photoresist layer is removed. In addition, the second light formed in step (dl) must completely cover the plug pillar. According to the above conception, the planarization of the dielectric layer in step (f) includes the steps: (fi) forming a layer above the dielectric layer. A trench filling layer, forming an air gap between the first wires, and then partially flattening the dielectric layer; and (f2) etching the trench filling layer until the top of the plug pillar is exposed to achieve comprehensive planarization. According to the above concept, the formation of the second wire in step (g) includes the steps. (Gl) forming a second conductive layer over the dielectric layer; (g2) forming a third photoresist layer over the second conductive layer; g3) Use the third photoresist layer as a mask to etch a portion of the second conductive layer that is not covered by the third photoresist layer, and the remaining second conductive layer is the second wire; (g4) remove the third light Barrier layer. According to the above conception, the materials and forming methods of the layers are described as follows: The material of the conductive layer is preferably aluminum, aluminum-copper alloy or aluminum-copper-silicon alloy, which can be generated by magnetron DC sputtering method and has a thickness of about 5000 Angstroms. Between 丨 〇〇〇〇〇〇〇〇, when etching, the use of four gaseous silicon / gas, boron trie gas / boron tribromide / gas, or four gaseous carbon / gas and other mixed gases for reactive ion etching The material of the plug is preferably tungsten, which can be generated by chemical vapor deposition with a thickness of about 500 Angstroms to 100 Angstroms, and carbon tetrafluoride / oxygen and nitrogen trifluoride / oxygen are used for etching. Or hexadecane sulfur / oxygen mixed gas for reactive ion etching; the material of the dielectric layer is preferably silicon dioxide, silicon nitride, silicon oxynitride, phosphosilicate glass, or borophosphosilicate glass. Pressure chemical vapor deposition, low pressure chemical vapor deposition, or plasma enhanced chemical vapor deposition;

第8頁 4443 3 5 發明說明(6) 溝填層則為旋塗式玻璃氧化層或高密度電漿氧化層,以回 姓法或化學機械研磨法進行钱刻。 依據上述構想’介電層之材質可使用具低階梯覆蓋能 力的介電材料,以便在相鄰的第一導線間形成孔隙,降低 導線間的電容耦合效應。 依據上述構想’除了所述主要的多層結構外,還可包 含下列輔助層: 1.在半導體基材與含鋁層之間形成阻障層,避免發生尖峰 現象’可為鈦/氮化欽層或欽鶴合金層,如為欽/氛化欽 層’則先以磁控直流濺鍍法形成一層金屬鈦層,其厚度介 於1 0 0埃至5 〇 0埃之間,再以氮化反應法或反應性直流濺鍍 法在金屬鈦層上形成一層氮化鈦層,其厚度係介於5〇〇埃 至1 0 0 0埃之間;如為鈦鎢合金層,則利用磁控直流濺鍍法 生成。 2. 在金屬鎢層與導電層間形成一層黏著層,以強化導電層 與金屬鎢層間的附著能力’其同樣可為鈦/氮化鈦層或鈦 鎮合金層,形成方法如前述阻障層》 3. 在插塞柱上方形成一層姓刻停止層’在平坦化時可作為 蝕刻終點的判定。 ‘ 4. 在導電層與光阻層間形成一層反反射層,避免光阻層在 曝光時因導電層之光澤而影響其曝光準確性,可為一氮化 欽層’利用氮化反應法或反應性濺鍍法生成。 本案得藉由下列圖式及較佳實施例之詳細說明,俾得 更深入之瞭解:Page 8 4443 3 5 Description of the invention (6) The trench filling layer is a spin-on glass oxide layer or a high-density plasma oxide layer, and the money is engraved by the method of surname or chemical mechanical polishing. According to the above-mentioned concept, the material of the dielectric layer can make the dielectric material with a low step coverage ability, so as to form a void between adjacent first wires, and reduce the capacitive coupling effect between the wires. According to the above idea 'in addition to the main multilayer structure, the following auxiliary layers may be included: 1. Form a barrier layer between the semiconductor substrate and the aluminum-containing layer to avoid spikes' may be a titanium / nitride layer Or Qinhe alloy layer, if it is Qin / ambient Qin layer, then a metal titanium layer is formed by magnetron DC sputtering method, the thickness is between 100 angstroms and 5,000 angstroms, and then nitriding The reaction method or reactive DC sputtering method forms a titanium nitride layer on the metal titanium layer, and the thickness is between 500 angstroms and 100 angstroms; if it is a titanium tungsten alloy layer, a magnetron is used. Generated by DC sputtering. 2. Form an adhesive layer between the metal tungsten layer and the conductive layer to enhance the adhesion between the conductive layer and the metal tungsten layer. 'It can also be a titanium / titanium nitride layer or a titanium town alloy layer. The formation method is as the aforementioned barrier layer.' 3. A layer of engraved stop layer is formed above the plug post, which can be used as the end point of etching during planarization. '4. Form a reflective layer between the conductive layer and the photoresist layer to prevent the photoresist layer from affecting the accuracy of the exposure due to the gloss of the conductive layer during exposure. It can be a nitride layer. Spontaneous sputtering. This case can be understood in more detail through the following drawings and detailed description of the preferred embodiment:

第9頁 4443 3 5 五、發‘明說明(7) 第一圖係為典型多重内連線之結構示意圊; 第二圊(A )〜(C )係為習知形成多重内連線結構之主要 步驟示意圖;以及 第三圊(A )〜(I )係為利用本發明形成多重内連線結構 之 主 要 步 驟示意 圖 〇 圖 中 主要元 件 標 示 如 下: 2 > 1 1 :半導體基材 3 ' 4 :鎢金屬層 3a 4 a 1 · 插 塞柱 7、 8 ' 1 6 : :孔隙 9 _· 14 :: 插 塞 17 18 丨: 介 層窗 61 62 64 :黏著 層 63 65 • 反 反射層 本 發 明揭露 了 —— 種 形 成自 程 ί 可 以 降低導 線 間 之 電 容搞 可 避 免 習 知方法 中 因 對 準 問題 請 參 閱 第 三圖, 第 三 圖 為 利用 之 主 要 步 驟示意 圖 〇 10 :導電層 、10a、12、13 :導線 15 :介電層 溝填層 、51、52、53、54 :光阻層 :阻障層 :蝕刻停止層 對準多重内連線結構之製 合效應,同時其自對準結構 而導致短路或漏電之現象。 本發明形成多重内連線結構 首先請先參閱第三圖(A),於含金氧半場效電晶體元 件(未繪於圊上)之半導體基材2上形成一層導電層3,厚 度約為5000埃至10000埃,這層導電層3之後會成為導線, 導電層3的材料多為鋁,這是因為鋁的導電性良好,而且 對二氧化矽的附著力不錯,但是因為鋁會有電致遷移Page 94443 3 5 V. Explanatory Notes (7) The first picture is a schematic diagram of a typical multi-connector structure; the second (A) ~ (C) is a conventional multi-connector structure. The schematic diagram of the main steps; and the third step (A) ~ (I) are schematic diagrams of the main steps for forming a multiple interconnect structure using the present invention. The main components in the figure are marked as follows: 2 > 1 1: Semiconductor substrate 3 ′ 4: Tungsten metal layer 3a 4 a 1 · Plug post 7, 8 '1 6:: Pore 9 _ · 14 :: Plug 17 18 丨: Via window 61 62 64: Adhesive layer 63 65 • Anti-reflective layer The invention was revealed-a self-forming process can reduce the capacitance between the wires and avoid the alignment problem in the conventional method. Please refer to the third figure. The third figure is a schematic diagram of the main steps of utilization. 10: conductive layer, 10a, 12, 13: Wire 15: Dielectric layer trench filling layer, 51, 52, 53, 54: Photoresist layer: Barrier layer: Etch stop layer Alignment effect of multiple interconnect structures, and its self-alignment Structure which led to the phenomenon of short circuit or leakage. To form a multiple interconnect structure according to the present invention, please first refer to the third figure (A). A conductive layer 3 is formed on a semiconductor substrate 2 containing a gold-oxygen half field effect transistor element (not shown on the cymbal). The thickness is approximately 5000 Angstroms to 10,000 Angstroms, this layer of conductive layer 3 will become a wire later. The material of conductive layer 3 is mostly aluminum. This is because aluminum has good conductivity and good adhesion to silicon dioxide, but because aluminum has electricity Migration

第10頁 1443 3 5 五、發明說明(8) (electromigration)的問題,所以多用含銅或铜矽之鋁合 金’導電層3的形成是利用磁控直流激链法(magnetron DC sputter ing) ’溫度約控制在室溫到5〇〇 °c之間。另外,因 為矽在400 °C左右時對鋁有一定的固態溶解度,為了避免 矽藉擴散作用進入鋁層,而造成尖峰(Spike)現象,產生 短路’所以要先在半導體基材2 (多為矽基材)與導電層3 之間形成一層阻障層(barrier layer)61,阻障層61的材 料多為鈦/氮化鈦或鈦鎢金屬,首先利用磁控直流濺鍍法 形成一層金屬鈦層,厚度約為1〇〇埃至5〇〇埃,接下來,可 將晶片置於含氮氣或氨氣的環境中,藉高溫使鈦金屬氮化 成11化鈦’或是利用反應性滅錄(reactive sputtering) 直接在金屬鈦層上沈積一層氮化鈦,厚度約為500埃到 1 0 0 0埃之間’至於鈦鎢合金層則是利用磁控直流濺鍍法生 成。 接著要形成插塞,插塞的材料多為鋁或鎢,因為鎢的 高熔點’同時内應力又不大’使其成為現今業界的主要插 塞材料。先利用化學氣相沈積法(c h e m i c a丨v a ρ 〇 r deposition,CVD),反應氣體為六氟化鎢,在300 eC到550 °C及1托耳到100托耳之間生成5000埃到loooo埃的鎢金屬 層4。同時,為了增加鎢與其他金屬間的附著能力,在鎢 金屬層4與導電層3之間先形成一層黏著層(glue layer)62,黏著層多為鈦/氮化鈦層或鈦鎢合金層,因此 形成方法及條件與前述的阻障層6 1 —樣。沈積了一層鎢金 屬層4之後’可再沈積一層氮化鈦層作為後續步驟蝕刻停Page 10 1443 3 5 V. Explanation of the invention (8) (electromigration), so the use of copper or copper-silicon-containing aluminum alloy 'Conductive layer 3 is formed using magnetron DC sputter ing' The temperature is controlled between room temperature and 500 ° C. In addition, because silicon has a certain solid solubility for aluminum at about 400 ° C, in order to prevent silicon from entering the aluminum layer by diffusion, causing a spike (spike) phenomenon and a short circuit, it is necessary to firstly use the semiconductor substrate 2 (mostly A barrier layer 61 is formed between the silicon substrate) and the conductive layer 3. The material of the barrier layer 61 is mostly titanium / titanium nitride or titanium tungsten. First, a layer of metal is formed by magnetron DC sputtering method. The titanium layer has a thickness of about 100 Angstroms to 500 Angstroms. Next, the wafer can be placed in an environment containing nitrogen or ammonia, and the titanium metal can be nitrided to Ti11 by high temperature, or by reactive quenching. (Reactive sputtering) A layer of titanium nitride is deposited directly on the metal titanium layer, with a thickness of about 500 Angstroms to 100 Angstroms. As for the titanium tungsten alloy layer, it is generated by magnetron DC sputtering. Next, a plug is formed, and the material of the plug is mostly aluminum or tungsten, because tungsten's high melting point 'while the internal stress is not large' makes it the main plug material in the industry today. First, chemical vapor deposition (chemica 丨 va ρ οr deposition, CVD) was used, and the reaction gas was tungsten hexafluoride, which produced 5000 angstroms to loooo angstroms at 300 eC to 550 ° C and 1 to 100 torr. Of tungsten metal layer 4. At the same time, in order to increase the adhesion between tungsten and other metals, a glue layer 62 is first formed between the tungsten metal layer 4 and the conductive layer 3, and the adhesion layer is mostly a titanium / titanium nitride layer or a titanium tungsten alloy layer. Therefore, the formation method and conditions are the same as the foregoing barrier layer 6 1. After depositing a tungsten metal layer 4, a titanium nitride layer can be deposited as an etching step for subsequent steps.

M43 3 5 I五、發明說明(9) ' ' --- 止層63,其形成方法與條件同前,關於其用途將於之後說 明。然後,為了定義插塞的圖樣,在蝕刻停止層63上方形 成一層光阻層51 ’經圖樣轉移後即如第三圖所示。 請參閱第三圖(B),以光阻層51為遮軍蝕刻鎢金屬層 4 ’在此之前當然需先將待蝕刻鎢金屬層4上方之部分蝕刻 停止層63移除,其方法就不在此赘述。蝕刻鎢金屬層4是 利用反應性離子#刻法(reactive i〇n etching,RIE), 反應氣體可為四氟化碳/氧、三氟化氮/氧、或六氟化硫/ •氧等氟化物與氧氣的混合氣體,與鎢反應後生成六氟化鶴 揮發掉’保留下來的嫣金屬層就成為插塞柱4&了,再將光 阻層5 1移除。 s素參閱第二圖(C) ’形成插塞柱4a之後,接下來的步 驟就是形成下方導線,首先於插塞柱4a上方形成一層光阻 層52 ’依據所欲形成導線的圖案而定義光阻層52,光阻層 通常會覆蓋住整個插塞柱4a,同時覆蓋住一小部份的下方 導電層3 ’但隨著線寬的縮短’定義光阻層有時無法那麼 精確,因此有時光阻層53沒有全部覆蓋住插塞柱4a。 接著請參閲第三圖(D) ’以光阻層52與53為遮罩蝕刻 導電層3 ’利用反應性離子蝕刻法,反應氣體可為四氣化 矽/氣、三氣化硼/氣、三溴化硼/氣、或四氣化碳/氣等氣 化物(溴化物)與氯氣之混合氣體,與鋁反應生成三氣化 銘後揮發’保留下來的導電層就是導線3a,再將光阻層52 及5 3移除。當光阻層53之定義精確度不夠時,此時沒有被 光阻層53覆蓋的插塞住4a部份只有上方的氮化鈦層會被姓M43 3 5 I V. Description of the invention (9) '' --- The formation method and conditions of the stop layer 63 are the same as above, and its use will be described later. Then, in order to define the pattern of the plug, a photoresist layer 51 'is square-shaped on the etch stop layer 63, and the pattern is transferred as shown in the third figure. Please refer to the third figure (B), using the photoresist layer 51 as a mask to etch the tungsten metal layer 4 ′ Before this, of course, it is necessary to remove a part of the etching stop layer 63 above the tungsten metal layer 4 to be etched. This is repeated. The tungsten metal layer 4 is etched using reactive ion etching (RIE). The reaction gas can be carbon tetrafluoride / oxygen, nitrogen trifluoride / oxygen, or sulfur hexafluoride / • oxygen. A mixed gas of fluoride and oxygen reacts with tungsten to form a hexafluoride crane to volatilize. The retained metal layer becomes the plug column 4 & and the photoresist layer 51 is removed. Refer to the second figure (C). After forming the plug post 4a, the next step is to form the lower wire. First, a photoresist layer 52 is formed above the plug post 4a. The light is defined according to the pattern of the wire to be formed. The resist layer 52, the photoresist layer usually covers the entire plug post 4a, and at the same time covers a small part of the lower conductive layer 3 'but as the line width is shortened' the definition of the photoresist layer is sometimes not so precise, so there are The photoresist layer 53 does not completely cover the plug post 4a. Then refer to the third figure (D) 'Etching the conductive layer 3 with the photoresist layers 52 and 53 as masks' Using a reactive ion etching method, the reaction gas can be four gaseous silicon / gas, three gaseous boron / gas The mixed gas of gaseous compounds (bromide), boron tribromide / gas, or tetracarbonated carbon / gas, and chlorine gas reacts with aluminum to form a three-gasification inscription, and then volatilizes. The photoresist layers 52 and 53 are removed. When the definition of the photoresist layer 53 is not accurate enough, at this time, the portion of the plug 4a that is not covered by the photoresist layer 53 is only the upper titanium nitride layer.

第12頁 4443 3 5 f五、發明說明(ίο) 刻掉’插塞柱4 a並不會受到影響,因為用以蝕刻鋁及鎮的 反應氣體並不一樣。由第三圖(D)可以看出,所得的插塞 柱4a與導線3a有最大的接觸面積,可降低接觸面的電阻, 特別有利於導電’而且插塞柱4a與導線3a也以自對準方式 形成,不會有對準方面的問題。 請再參閱第三圖(E),接著要在相鄰導線3a及插塞柱 4a間填入介電層7,用以隔離上下兩層之導線,可作為介 電層7的材料有很多種,已知的有二氧化矽、氮化矽、氮 氧化石夕、填石夕玻璃(phosphosilicate glass,PSG)、以及 棚鱗石夕玻璃(borophosphosil icate glass,BPSG )等 等,形成的方法包括常壓化學氣相沈積法(atmospheric pressure chemical vapor deposition > APCVD)、低壓化 學氣相沈積法(low pressure chemical vapor deposition,LPCVD)、以及電漿加強化學氣相沈積法 (plasma enhanced chemical vapor deposition , PECVD) 等等,如生成二氧化矽層可使用低壓化學氣相沈積法或電 漿加強化學氣相沈積法(400 °C );生成氮化矽層可使用低 壓化學氣相沈積法或電漿加強化學氣相沈積法,如使用低 壓化學氣相沈積法時,溫度約為70 0 °C到800 °C,壓力約為 0. 1托耳到1托耳,如使用電漿加強化學氣相沈積法,溫度 可降低至450 °c以下;生成氮氧化矽層也可使用低壓化學 氣相沈積法或電漿加強化學氣相沈積法,使用低壓化學氣 相沈積法時,溫度高於8 5 0 t:,使用電漿加強化學氣相沈 積法,溫度可降低至4 5 0 t:以下;生成磷矽玻璃層可使用Page 12 4443 3 5 f Fifth, the description of the invention (ίο) Engraving the 'plug post 4a' will not be affected because the reaction gas used to etch aluminum and the town is not the same. It can be seen from the third figure (D) that the obtained plug post 4a has the largest contact area with the lead wire 3a, which can reduce the resistance of the contact surface, which is particularly beneficial to conduction. Moreover, the plug post 4a and the lead wire 3a are also self-aligned. The alignment method is formed without alignment problems. Please refer to the third figure (E) again, and then fill a dielectric layer 7 between the adjacent wires 3a and the plug posts 4a to isolate the upper and lower wires. There are many kinds of materials that can be used as the dielectric layer 7. There are known silicon dioxide, silicon nitride, oxynitride, phosphosilicate glass (PSG), and borophosphosil icate glass (BPSG), etc., and the formation method includes atmospheric pressure. Atmospheric pressure chemical vapor deposition (APCVD), low pressure chemical vapor deposition (LPCVD), and plasma enhanced chemical vapor deposition (PECVD) And so on. For example, low-pressure chemical vapor deposition or plasma-enhanced chemical vapor deposition (400 ° C) can be used to generate the silicon dioxide layer; low-pressure chemical vapor deposition or plasma-enhanced chemical vapor can be used to generate the silicon nitride layer. Vapor deposition, such as when using low-pressure chemical vapor deposition, the temperature is about 70 0 ° C to 800 ° C, the pressure is about 0.1 Torr to 1 Torr, such as the use of plasma to strengthen chemical vapor deposition , The temperature can be lowered below 450 ° c; the silicon oxynitride layer can also be formed by low pressure chemical vapor deposition or plasma enhanced chemical vapor deposition. When using low pressure chemical vapor deposition, the temperature is higher than 8 5 0 t : Using plasma-enhanced chemical vapor deposition method, the temperature can be reduced to 4 5 0 t: below; the generated phosphosilicate glass layer can be used

第13頁 4443 3 5 五、發明說明(II) 常壓化學氣相沈積法或電漿加強化學氣相沈積法,使用常 壓化學氣相沈積法時,溫度約控制在4 0 0 t:左右,如果使 用電漿加強化學氣相沈積法,反應溫度與使用常壓化學氣 相沈積法差不多;生成硼磷矽玻璃層可使用常壓化學氣相 沈積法或電漿加強化學氣相沈積法,其溫度條件與形成磷 石夕玻璃層類似。另外使用介電材料時要選擇具低階梯覆蓋 能力的材料’即我們希望填塞不良的情形發生,如此大多 數的介電材料會沈積在表面’而在相鄰導線3 a間形成孔隙 8 ’其内部的空氣可降低導線3a間的電容耦合效應,原理 則已經在前文敘述過了。 請參閱第三圖(F),其顯示平坦化之步驟,在介電層7 亡=及凹槽内填入一層溝填(gap,f iu)層9,其材料可以 塗式玻璃(spin on glass,S〇g)氧化物(二氧化矽) 、度電漿(high density plasma,HDP)氧化物。旋塗 破璃法疋先將液態介電材料旋塗於晶片上,如石夕酸鹽 ^Uicate)或矽氧烷(sii〇Xane),利用介電材料的流動性 *真補凹Pa處,然後經過熱處理去除溶劑,即形成類似二 =化矽之材料;高密度電漿法是一種新式的化學氣相沈& 線i利用這種方法所生成的溝填層9較為緻密’尤其是當 、見愈來愈小時,高密度電漿法可提供較好的階梯覆蓋能 接著請參閱第三圖(G 為了達到全面平坦性,避免 ^於曝光光阻層時會有聚焦上的困擾,而影響微影影像 ,的精確度與解析度,必須將插塞柱“上方的介電材料Page 13 4443 3 5 V. Description of the invention (II) Atmospheric pressure chemical vapor deposition method or plasma enhanced chemical vapor deposition method. When atmospheric pressure chemical vapor deposition method is used, the temperature is controlled to about 400 t: about If the plasma enhanced chemical vapor deposition method is used, the reaction temperature is similar to the atmospheric pressure chemical vapor deposition method; the borophosphosilicate glass layer can be formed using the atmospheric chemical vapor deposition method or the plasma enhanced chemical vapor deposition method. Its temperature conditions are similar to the formation of a phosphate rock glass layer. In addition, when using dielectric materials, we must choose materials with low step coverage. That is, we hope that the poor filling will occur, so that most of the dielectric materials will be deposited on the surface 'and form pores 8 between adjacent wires 3a. The internal air can reduce the capacitive coupling effect between the wires 3a. The principle has been described above. Please refer to the third figure (F), which shows a planarization step. A dielectric layer 7 is filled with a gap (f iu) layer 9 in the groove. The material can be coated on glass (spin on glass (Sog) oxide (silicon dioxide), high density plasma (HDP) oxide. The spin-on glass-breaking method first spin-coated a liquid dielectric material on the wafer, such as lysate (Uicate) or siioxane, and uses the fluidity of the dielectric material * to fill the concave Pa. Then the solvent is removed by heat treatment to form a material similar to silicon dioxide; the high-density plasma method is a new type of chemical vapor deposition & line i. The trench filling layer 9 produced by this method is denser, especially when Seeing that it is getting smaller and smaller, the high-density plasma method can provide better step coverage. Then please refer to the third figure (G In order to achieve comprehensive flatness, avoid focusing problems when exposing the photoresist layer, and Affects the accuracy and resolution of the lithographic image, the dielectric material above the plug column must be

第Μ頁 4443 3 5 五、發明說明(12) 均勻地移除,移除的方法有兩種,一種稱為回蚀法,一種 稱為化學機械研磨法(chemical mechanical p〇liShing, CMP)。前者就是利用蝕刻法將插塞柱4a上方的介電材料移 除,這種方法會因為插塞柱4 a間的間距而影響其平坦度; 後者是現今業界較常使用的方式’利用磨平的方式達到平 坦化的目的,此時’前段步驟所形成的蝕刻停止層6 3即派 上用場’因氮化鈦與鎢在電漿光譜上的差異,很容易判斷 何時姓刻或磨到插塞柱4a,一旦偵測到研磨終點即停止研 磨,之後利用刷洗、噴洗、及超音波清洗將殘餘在晶片上 的研漿徹底清除。 平坦化之後即可著手形成上層導線,請參閱第三圖 (H),跟形成下層導線3& 一樣,其步驟為依序形成黏著層 64 (鈦/氮化鈦層或鈦鎢合金層)、導電層1〇 (鋁、鋁銅 合金、或鋁銅矽合金)及反反射層65,黏著層64及導電層 1 0的形成在前文已詳細敘述過,因此不再贅述,至於必須 形成反反射層65 ’這是因為鋁本身的金屬光澤,會影響到 其上方光阻層的曝光,冑而影響微影的精確度,所以 在光阻層與銘之:形成一廣反反射層65,其材質多為氮化 鈦’形成方法則與之前所提到形成氮化鈦之方法相同。秋 後依據所欲形成的上層導線圖樣形成光阻層54。 最後請=第三圖⑴,以光阻層54為遮罩,姓刻未 部份導電層10,餘刻方法是利用反應性 乳體可為四氣化矽/氣、三氣化爛/氣、 二〉臭化刪/氣、或四氣/卜破/齑莖备η · 乳化%1/氣4氣化物(溴化物)與氣氣Page M 4443 3 5 V. Description of the invention (12) There are two methods for uniform removal, one is called etch back method, and the other is chemical mechanical polishing (CMP). The former is to remove the dielectric material above the plug posts 4a by using an etching method. This method will affect the flatness due to the spacing between the plug posts 4a; the latter is a more commonly used method in the industry today. The method achieves the purpose of flattening. At this time, 'the etch stop layer 6 3 formed in the previous step comes in handy'. Because of the difference in the plasma spectrum of titanium nitride and tungsten, it is easy to judge when the last name is carved or ground. The plug column 4a stops polishing once the polishing end point is detected, and then thoroughly cleans the remaining slurry on the wafer by brushing, spraying, and ultrasonic cleaning. After flattening, you can start to form the upper wire. Please refer to the third figure (H). The steps are the same as forming the lower wire 3 & The formation of the conductive layer 10 (aluminum, aluminum-copper alloy, or aluminum-copper-silicon alloy) and the anti-reflective layer 65, the adhesive layer 64, and the conductive layer 10 have been described in detail above, so they are not repeated here, and it is necessary to form a reflective Layer 65 'This is because the metallic luster of aluminum itself will affect the exposure of the photoresist layer above it, which will affect the accuracy of the lithography. Therefore, a photoreflection layer 65 is formed in the photoresist layer and its inscription: The material is mostly titanium nitride. The formation method is the same as the method of forming titanium nitride mentioned earlier. After the autumn, a photoresist layer 54 is formed according to a desired upper-layer wiring pattern. Finally please = the third picture ⑴, using the photoresist layer 54 as a mask, the last part of the conductive layer 10 is engraved, the remaining method is to use reactive milk can be four gas silicon / gas, three gas rot / gas , Two> stinking delete / qi, or four-qi / bu-broken / stem-stem preparation η · Emulsification% 1 / qi 4 gas (bromide) and gas

第15頁 4443 3 5 五、發明說明(〗3) ~—- 之混合氣體’保留下來的導電層就是導線1 〇a,再將光阻 層移除’至此’形成多重内連線結構之製程告一段落, 而獲致一自對準多重内連線的完整結構。 本發明所揭露的製程,其優點包括可生成自對準的導 線2插塞’使得導線與插塞間有最大的接觸面積;另外, 於介電層内形成孔隙以降低導線間的電容耦合效應,又不 會因導電材料之填入而導致短路或漏電;再則,先形成插 塞柱使得插塞柱間的溝槽有較大的高寬比,這樣更容易形 成具有孔隙的介電層;而且之後會成為插塞的鎢金屬層是 在還未進行任何蝕刻步驟的情況下就已沈積在導電層上, 因此不必清理鎢金屬層與導電層的介面,反觀傳統方法是 將鎢金屬沈積在經蝕刻的介層窗中,蝕刻介層窗必會有殘 餘物殘留在介層窗中’為了避免插塞與導線間的接觸性降 低’就必須多一清理介層窗之步驟’由此可見本製程還可 簡化原有之製程。 綜上所述,本案之特徵在於形成多重内連線時,一反 傳統生成下層導線、介電層、插塞、上層導線 是先生成插塞,接著生成下層導線,再生成介電層,最後 生成上層導線,不但可簡化原有製程,還可改善多重内連 線於結構上的缺陷,實為—極具應用價值之製程。 本案得任熟悉此技藝之人士任施匠思而為諸般修飾然皆 不脫如附申請專利範圍所欲保護者D ?Page 15 4443 3 5 V. Description of the invention (〖3) ~~-The mixed gas' remaining conductive layer is the wire 10a, and then the photoresist layer is removed 'to this' to form a multiple interconnect structure This brings us to the complete structure of a self-aligned multiple interconnect. The advantages of the process disclosed in the present invention include the ability to generate self-aligned wire 2 plugs' so that the wire has the largest contact area between the plugs; in addition, a void is formed in the dielectric layer to reduce the capacitive coupling effect between the wires. Without causing short circuit or leakage due to the filling of conductive materials; further, first forming plug posts so that the trenches between the plug posts have a high aspect ratio, which makes it easier to form a dielectric layer with pores ; And the tungsten metal layer that will become a plug is deposited on the conductive layer without any etching step, so there is no need to clean the interface between the tungsten metal layer and the conductive layer. In contrast, the traditional method is to deposit tungsten metal In the etched via window, residues of the etch via window must be left in the via window. 'In order to avoid the reduction of the contact between the plug and the wire', an additional step of cleaning the via window is necessary. ' It can be seen that this process can also simplify the original process. To sum up, this case is characterized in that when forming multiple interconnects, the lower-layer wires, dielectric layers, plugs, and upper-layer wires are generated traditionally first by forming plugs, then by lower-layer wires, and then by dielectric layers. Generating upper-layer wires can not only simplify the original process, but also improve the structural defects of multiple interconnects, which is a process with great application value. In this case, anyone who is familiar with this skill can use any of the techniques to modify it, but it is not as good as the person who wants to protect the scope of the patent application.

第16頁Page 16

Claims (1)

4443 3 5 六、申請專利範圍 1 · 一種形成自對準多重肉# & & k ^ _ 里内連線結構之製程’其係包括步 驟, (a) 提供一半導趙基材; (b) 於該半導體基材上方形成一第一導電層. (c) 於該第一導電層上方形成—插塞柱,並暴露出該第一 導電層之部分區域; (d) 移除該第一導電層之部份區域而形成一第一導線,並 暴露出該半導體基材之部分區域’則所形成之該第一導線 係自對準於該插塞柱; (e) 於該半導體基材之部分區域上方及該插塞柱上方形成 —介電層; (f) 平坦化該介電層,而暴露出該插塞柱之頂部;以及 (S)於該插塞柱之頂部上方形成一第二導線,俾以完成該 自對準多重内連線結構。 2 *如申請專利範圍第1項所述形成自對準多重内連線結 構之製程’其中該第一導電層之材質係從鋁、鋁銅合金、 紹銅石夕合金所組成之群體中選出之一。 3 ‘如申請專利範圍第2項所述形成自對準多重内連線結 構之製程,其中該第—導電層之形成係以磁控直流濺鍍法 為之,其厚度係介於5000埃至10000埃之間。 4.如申請專利範圍第2項所述形成自對準多重内連線結 構之製程’其中於該步驟(b)之前,該製程更包含一步驟 (bl)於該半導體基材與該第一導電層之間形成一阻障層, 以避免尖峰現象之發生。 麵4443 3 5 VI. Scope of patent application 1 · A process for forming self-aligned multiple meats & k ^ _ interconnected structure 'includes steps, (a) providing half of the guide substrate; (b) in A first conductive layer is formed over the semiconductor substrate. (C) A plug-pillar is formed over the first conductive layer, and a part of the first conductive layer is exposed; (d) the first conductive layer is removed A part of the first conductive line is formed, and a part of the semiconductor substrate is exposed, the first conductive line formed is self-aligned with the plug post; (e) a portion of the semiconductor substrate A dielectric layer is formed over the region and over the plug pillar; (f) planarizing the dielectric layer to expose the top of the plug pillar; and (S) forming a second over the top of the plug pillar Wires to complete the self-aligned multiple interconnect structure. 2 * The process for forming a self-aligned multiple interconnect structure as described in item 1 of the scope of the patent application, wherein the material of the first conductive layer is selected from the group consisting of aluminum, aluminum-copper alloy, and copper-copper stone alloy. one. 3 'The process of forming a self-aligned multiple interconnect structure as described in item 2 of the scope of the patent application, wherein the first conductive layer is formed by a magnetron DC sputtering method, and the thickness is between 5000 Angstroms and Between 10,000 Angstroms. 4. The process of forming a self-aligned multiple interconnect structure as described in item 2 of the patent application scope, wherein before the step (b), the process further includes a step (bl) between the semiconductor substrate and the first A barrier layer is formed between the conductive layers to avoid spikes. surface 4443 3 ο 六、申請專利範圍 5·如申請專利範圍第4項所述形成自對準多重内連線結 構之製程,其中該阻障層係為一鈦/氮化鈦層或一鈦鎢合 金層。 6 *如申請專利範圍第5項所述形成自對準多重内連線結 構之製程,其中該鈦/氮化鈦層之形成係包括下列步驟: (bll)以磁控直流濺鍍法於該半導體基材上形成一金屬鈦 層,其厚度係介於100埃至500埃之間;以及 (b 1 2 )以氮化反應法或反應性直流濺鍍法於該金屬鈦層上 形成一氮化鈦層,其厚度係介於50 0埃至1 000埃之間,所 形成之雙層結構即形成該鈦/氮化鈦層。 7 ·如申請專利範圍第1項所述形成自對準多重内連線結 構之製程,其中該插塞柱係為一鎢插塞。 8 .如申請專利範圍第7項所述形成自對準多重内連線結 構之製程,其中於步驟(c)中,該鎮插塞之形成係包括下 列步驟: (cl)於該第一導電層上方形成一金屬鎢層; (c2)於該金屬鎢層上方形成一第一光阻層’並暴露出該金 屬鎢層之部分區域; (c3)以該第一光阻層為遮罩,蝕刻該金屬鎢層之部分區 域,以形成該鎢插塞;以及 (c4)移除該第一光阻層。 9 ·如申請專利範圍第8項所述形成自對準多重内連線蜂 構之製程,其中該金屬鎢層係以化學氣相沈積法為之,= 厚度係介於500 0埃至1 0 0 0 0埃之間。 ^4443 3 ο 6. Patent application scope 5. The process of forming a self-aligned multiple interconnect structure as described in item 4 of the patent application scope, wherein the barrier layer is a titanium / titanium nitride layer or a titanium tungsten alloy Floor. 6 * The process for forming a self-aligned multiple interconnect structure as described in item 5 of the scope of the patent application, wherein the formation of the titanium / titanium nitride layer includes the following steps: (bll) using magnetron DC sputtering method Forming a titanium metal layer on a semiconductor substrate, the thickness of which is between 100 angstroms and 500 angstroms; and (b 1 2) forming a nitrogen layer on the titanium metal layer by a nitriding reaction method or a reactive DC sputtering method The titanium layer has a thickness between 50 angstroms and 1,000 angstroms, and the formed double-layer structure forms the titanium / titanium nitride layer. 7. The process of forming a self-aligned multiple interconnect structure as described in item 1 of the scope of the patent application, wherein the plug post is a tungsten plug. 8. The process of forming a self-aligned multiple interconnect structure as described in item 7 of the scope of patent application, wherein in step (c), the formation of the ball plug comprises the following steps: (cl) the first conductive Forming a metal tungsten layer over the layer; (c2) forming a first photoresist layer 'over the metal tungsten layer and exposing a part of the metal tungsten layer; (c3) using the first photoresist layer as a mask, Etching a portion of the metal tungsten layer to form the tungsten plug; and (c4) removing the first photoresist layer. 9 · The process for forming a self-aligned multiple interconnected honeycomb structure as described in item 8 of the scope of the patent application, wherein the metal tungsten layer is formed by chemical vapor deposition, and the thickness is between 500 angstroms and 10 angstroms. 0 0 0 Angstroms. ^ 第18頁 4443 3 5 六、申請專利範圍 10·如申請專利範圍第8項所述形成自對準多重内連線 結構之製程,其中於該步驟(Cl)之前,該製程更包含一步 驟(ell)於該第一導電層與該金屬鶴層之間形成一黏著 層,以強化該第一導電層與該金屬鎢層間的附著能力β 11·如申請專利範圍第1〇項所述形成自對準多重内連 線結構之製程’其中該黏著層係為一氮化鈦層或一鈦鶴合 金層。 12.如申請專利範圍第11項所述形成自對準多重内連 線結構之製程,其中該氮化鈦層之形成係以反應性直流 鑛法為之。 ‘ 1 3 .如申請專利範圍第χ i項所述形成自對準多重内 線結構之製裡,其中該鈦鎢合金層之形成係以磁控直流濺 鍵法為之。 1 4 ‘如申請專利範圍第8項所述形成自對準多 結構之製程’其中該金屬鎢層之敍刻係以反應性離子蚀刻 法為之,反應性離子蝕刻氣體係自四氟化碳/氧、二 氮/氧、以及六氟化硫/氧所組成之群體中選出之— 化 i 5 .如申請專利範圍第項所述形成自對肉 結構之製程,其中該步驟(d)更包括下列步驟:η運線 (dl)於該插塞柱上方形成一第二光阻層 ^ 尽 並暴露出· 導電層之部份區域; ♦路mis第一 (d2)以該第二光阻層為遮罩,蝕刻該第一 域,剩餘之該第一導電層即形成該第一導之部份區 U3)移除該第二光阻層。 夂 444335 P、申請專利範圍 " " ""~ 16.如申請專利範圍第15項所述形成自對準多重内連 線結構之製程,其中該第一導電層之蝕刻係以反應性離子 银刻法為之,反應性離子蝕刻氣體係自四氯化矽/氣、三 氣化爛/氣、三溴化棚/氣、以及四氯化碳/氣所組成之群 體中選出之一。 17·如申請專利範圍第1項所述形成自對準多重内連線 結構之製程,其中該步驟(d)更包括下列步驟: (d4)於該插塞柱上方形成一第三光阻層,並暴露出該插塞 柱之部份區域及該第一導電層之部份區域; (d5)以該第三光阻層為遮罩,蝕刻該第一導電層之部份區 域’剩餘之該第一導電層即形成該第一導線;以及 (d6)移除該第三光阻層。 18.如申請專利範圍第1項所述形成自對準多重内連線 結構,製程’其中該介電層之材料係自二氧化矽 '氮化 矽、氮氧化矽、磷矽玻璃、以及硼磷矽玻璃所纟且成之群體 中選出之一。 ’ 19 如申請專利範圍第18項所述形成自對準多重内連 線結構之製程,其中該介電層之形成係自常壓化學氣相沈 ^法、低壓化學氣相沈積法、以及電漿加強化學氣相沈積 法所組成之群體中選出之一為之。 2 0 如申請專利範圍第1 8項所述形成自對準多重内連 复、°構之製程’其中該介電層之形成係利用具低階梯覆蓋 能力之材料為之,俾使該介電層於該第一導線盍 隙’以降低該第一導線間之電容耦合效應。Page 18 4443 3 5 VI. Scope of Patent Application 10 · The process of forming a self-aligned multiple interconnect structure as described in item 8 of the scope of patent application, before the step (Cl), the process further includes a step ( ell) forming an adhesive layer between the first conductive layer and the metal crane layer to enhance the adhesion between the first conductive layer and the metal tungsten layer β 11 formed as described in item 10 of the scope of the patent application Process for aligning multiple interconnect structures' wherein the adhesive layer is a titanium nitride layer or a titanium crane alloy layer. 12. The process for forming a self-aligned multiple interconnect structure as described in item 11 of the scope of the patent application, wherein the titanium nitride layer is formed by a reactive DC method. ‘13. The system for forming a self-aligned multiple internal structure as described in item χi of the scope of the patent application, wherein the titanium-tungsten alloy layer is formed by a magnetron DC sputtering method. 1 4 'The process of forming a self-aligned multi-structure as described in item 8 of the scope of the patent application', wherein the engraving of the metal tungsten layer is based on a reactive ion etching method, and the reactive ion etching gas system is made of carbon tetrafluoride. Selected from the group consisting of oxygen / oxygen, dinitrogen / oxygen, and sulfur hexafluoride / oxygen — chemical i 5. The process of forming a self-meat structure as described in the scope of the patent application, wherein step (d) is more The method includes the following steps: forming a second photoresist layer over the plug pillar (n) and exposing a part of the conductive layer; ♦ the first mis (d2) of the second photoresist The layer is a mask. The first domain is etched, and the remaining first conductive layer forms part of the first conductive region U3) to remove the second photoresist layer.夂 444335 P. Patent application scope " " " " ~ 16. The process of forming a self-aligned multiple interconnect structure as described in item 15 of the patent application scope, wherein the etching of the first conductive layer is performed by reaction The silver ion engraving method is used for this purpose. The reactive ion etching gas system is selected from the group consisting of silicon tetrachloride / gas, tri-gas decomposition / gas, tribromide greenhouse / gas, and carbon tetrachloride / gas. One. 17. The process of forming a self-aligned multiple interconnect structure as described in item 1 of the scope of patent application, wherein step (d) further includes the following steps: (d4) forming a third photoresist layer on the plug post And expose a part of the plug pillar and a part of the first conductive layer; (d5) using the third photoresist layer as a mask, etching a part of the first conductive layer; The first conductive layer forms the first wire; and (d6) removes the third photoresist layer. 18. Form a self-aligned multiple interconnect structure as described in item 1 of the scope of the patent application. The process 'wherein the material of the dielectric layer is self-silicon dioxide' silicon nitride, silicon oxynitride, phosphosilicate glass, and boron One of the groups selected by the phosphosilicate glass. '19 The process of forming a self-aligned multiple interconnect structure as described in item 18 of the scope of the patent application, wherein the formation of the dielectric layer is performed by a normal pressure chemical vapor deposition method, a low pressure chemical vapor deposition method, and One of the groups selected by the slurry enhanced chemical vapor deposition method was selected. 20 The process of forming a self-aligned multiple interconnected complex structure as described in item 18 of the scope of the application for patent, wherein the formation of the dielectric layer is made of a material with low step coverage capability to make the dielectric Layer on the first wire gap to reduce the capacitive coupling effect between the first wires. 第20頁 4443 3 5Page 20 4443 3 5 21 如申請專利範圍第1項所述形成自對準多重内連線 結構之製程’其中該步驟(c)更包括一步驟於該插塞 柱上方形成一蝕刻停止層,以作為蝕刻程序終點之判定。 2 2 ·如申請專利範圍第2 1項所述形成自對準多重内連 線結構之製程,其中該蝕刻停止層係為一氮化鈦層。 2 3 *如申請專利範圍第2 1項所述形成自對準多重内連 線結構之製程’其中該步驟(f)係包括下列步驟: (fl)於該介電層上方形成—溝填層,填入該介電層之不平 坦處,以及 (f 2 )移除部份之該溝填層至該蝕刻停止層為止,以暴露出 該插塞柱之頂部。 24 ‘如申請專利範圍第2 3項所述形成自對準多重内連 線結構之製程,其中該溝填層係為一旋塗式玻璃氧化層或 一高密度電漿氧化層。 2 5 .如申請專利範圍第2 3項所述形成自對準多重内連 線結構之製程,其中該步驟(f2)係以一回蝕法或一化學機 械研磨法為之。 2 6 ·如申請專利範圍第1項所述形成自對準多重内連線 結構之製程’其中該步驟(g)係包括下列步驟: (gl)於經平坦化之該介電層上方形成一第二導電層; (g2)於該第二導電層上方形成一第四光阻層,並使該第四 光阻層對準於該插塞柱; (g3)以該第四光阻層為遮罩,蝕刻該第二導電 部分區 域,所剩餘之第二導電層即 4443 3 5 厂六、申^-----一-- (g4)移险 2 了 *該第四光阻層。 線蚌椹如申請專利範圍第2 6項所述形成自對準多重内連 金了 之製程,其中該第二導電層之材質係從鋁、鋁銅合 28 '麵矽合金所組成之群體中選出之一。 線辞如申請專利範圍第2 7項所述形成自對準多重内連 铲之製程,其中該第二導電層之形成係以磁控直流濺 〇马之’其厚度係介於5〇〇〇埃至10000埃之間。 ·如_請專利範圍第2 7項所述形成自對準多重内連 $結構之製程,其中於該步驟(gl)之前’該製程更包含一 /驟(gll)於該第二導電層與該插塞柱之間形成—阻障 層’以避免尖峰現象之發生。 3〇 ’如申請專利範圍第2 9項所述形成自對準多重内連 線結構之製程,其中該阻障層係為一鈦/氮化鈦層或一鈦 鎢合金層。 31·如申請專利範圍第27項所述形成自對準多重内連 線結構之製程’其中於該步騾(g2)之前更包括一步驟 (g21)於該第四光阻層與該第二導電層之間形成一反反射 層’以避免於微影時影響該第四光阻層曝光之精確度。 32 ·如申請專利範圍第3 1項所述形成自對準多重内連 線結構之製程,其中該反反射層係為一氮化鈦層。 3 3 ·如申請專利範圍第3 2項所述形成自對準多重内連 線結構之製程,其中該氮化鈦層之形成係以氮化反應法或 反應性直流濺鍍法為之。 3 4 *如申請專利範圍第1項所述形成自對準多重内連線21 The process of forming a self-aligned multiple interconnect structure as described in item 1 of the scope of the patent application, wherein step (c) further includes a step of forming an etch stop layer over the plug post as the end of the etching process. determination. 2 2 · The process for forming a self-aligned multiple interconnect structure as described in item 21 of the patent application, wherein the etch stop layer is a titanium nitride layer. 2 3 * The process of forming a self-aligned multiple interconnect structure as described in item 21 of the scope of the patent application, wherein step (f) includes the following steps: (fl) forming a trench filling layer over the dielectric layer , Filling in the unevenness of the dielectric layer, and (f 2) removing part of the trench filling layer to the etch stop layer to expose the top of the plug pillar. 24 ‘The process for forming a self-aligned multiple interconnect structure as described in item 23 of the scope of the patent application, wherein the trench filling layer is a spin-coated glass oxide layer or a high-density plasma oxide layer. 25. The process for forming a self-aligned multiple interconnect structure as described in item 23 of the scope of patent application, wherein step (f2) is performed by an etch-back method or a chemical mechanical polishing method. 2 6 · The process of forming a self-aligned multiple interconnect structure as described in item 1 of the scope of the patent application, wherein step (g) includes the following steps: (gl) forming a dielectric layer over the planarized dielectric layer A second conductive layer; (g2) forming a fourth photoresist layer over the second conductive layer, and aligning the fourth photoresist layer with the plug post; (g3) using the fourth photoresist layer as Mask, and etch the second conductive part area, and the remaining second conductive layer is 4443 3 5 Factory 6. Shen ^ ------- (g4) Move 2 * The fourth photoresist layer. The process of forming self-aligned multiple interconnected gold as described in item 26 of the scope of the patent application, the material of the second conductive layer is selected from the group consisting of aluminum, aluminum-copper and 28'-face silicon alloy. Pick one. The line wording is a process for forming a self-aligned multiple interconnected shovel as described in item 27 of the scope of the patent application, wherein the formation of the second conductive layer is magnetron DC sputtering, and its thickness is between 500 and 500. Between Angstrom and 10,000 Angstroms. · As described in item 27 of the patent scope, a process of forming a self-aligned multiple interconnect $ structure, wherein before the step (gl), the process further includes a step / gll between the second conductive layer and the A “barrier layer” is formed between the plug posts to avoid spikes. 30 ′ The process of forming a self-aligned multiple interconnect structure as described in item 29 of the scope of the patent application, wherein the barrier layer is a titanium / titanium nitride layer or a titanium tungsten alloy layer. 31. The process for forming a self-aligned multiple interconnect structure as described in item 27 of the scope of patent application, wherein a step (g21) is further included in the fourth photoresist layer and the second before the step (g2). A reflective layer is formed between the conductive layers to avoid affecting the accuracy of the fourth photoresist layer exposure during lithography. 32. The process of forming a self-aligned multiple interconnect structure as described in item 31 of the scope of the patent application, wherein the anti-reflective layer is a titanium nitride layer. 3 3 · The process of forming a self-aligned multiple interconnect structure as described in item 32 of the scope of patent application, wherein the titanium nitride layer is formed by a nitriding reaction method or a reactive DC sputtering method. 3 4 * Form self-aligned multiple interconnects as described in item 1 of the patent application 第22頁 443 3 5 六、申請專利範圍 結構之製程, 電晶體元件。 其中該半導體基材係包含複數個金氧半場效 重内連線結構之製 種形成具低電容輕合效應之多 程’其係包括步驟: (a)提供一半導體基材; (b) 於該半導趙基材上方形成複數個第一導線; (c) 於該複數個第一導線上方形成複數個對應於該第一導 線的插塞柱; (d) 於該複數個第一導線間填入具低階梯覆蓋能力之介電 材料,形成一介電層,俾使該介電層於該複數個第一導線 間形成孔隙’以降低該複數個第一導線間之電容麵合效 應, (e) 平坦化該介電層’而暴露出該插塞柱之頂部;以及 (f) 於該複數個插塞柱之頂部上方形成對準於玆栝盔叔 連線結構。Page 22 443 3 5 VI. Scope of Patent Application Structure manufacturing process, transistor element. The semiconductor substrate is made of a plurality of metal-oxygen half-field-effect interconnect structures to form a multi-pass with low capacitance light-on effect. It includes the steps of: (a) providing a semiconductor substrate; (b) in A plurality of first wires are formed above the semiconducting substrate; (c) a plurality of plug posts corresponding to the first wires are formed above the plurality of first wires; (d) are filled between the plurality of first wires A dielectric material with a low step coverage ability forms a dielectric layer, and causes the dielectric layer to form a pore between the plurality of first wires to reduce the capacitance facet effect between the plurality of first wires, (e ) Planarizing the dielectric layer ′ to expose the top of the plug post; and (f) forming an alignment structure aligned with the helmet terminal above the top of the plurality of plug posts. 第23頁Page 23
TW88101808A 1999-02-05 1999-02-05 Process for forming self-aligned multi-level interconnect structure TW444335B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88101808A TW444335B (en) 1999-02-05 1999-02-05 Process for forming self-aligned multi-level interconnect structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88101808A TW444335B (en) 1999-02-05 1999-02-05 Process for forming self-aligned multi-level interconnect structure

Publications (1)

Publication Number Publication Date
TW444335B true TW444335B (en) 2001-07-01

Family

ID=21639637

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88101808A TW444335B (en) 1999-02-05 1999-02-05 Process for forming self-aligned multi-level interconnect structure

Country Status (1)

Country Link
TW (1) TW444335B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI569369B (en) * 2007-10-26 2017-02-01 泰拉創新股份有限公司 Methods, structures and designs for self-aligning local interconnects used in integrated circuits

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI569369B (en) * 2007-10-26 2017-02-01 泰拉創新股份有限公司 Methods, structures and designs for self-aligning local interconnects used in integrated circuits

Similar Documents

Publication Publication Date Title
US6265321B1 (en) Air bridge process for forming air gaps
TW507290B (en) Etching method
TW411559B (en) Integrated circuit dielectric and method
US6570257B2 (en) IMD film composition for dual damascene process
CN101477978B (en) Semiconductor apparatus
US8212330B2 (en) Process for improving the reliability of interconnect structures and resulting structure
US20040048468A1 (en) Barrier metal cap structure on copper lines and vias
JP2001326222A (en) Semiconductor device, semiconductor wafer, and their manufacturing method
TW200401339A (en) Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
US6495448B1 (en) Dual damascene process
US20060211240A1 (en) Method of enhancing adhesion between dielectric layers
US20080188074A1 (en) Peeling-free porous capping material
US20070249164A1 (en) Method of fabricating an interconnect structure
US6924221B2 (en) Integrated process flow to improve copper filling in a damascene structure
KR20010019643A (en) Method for manufacturing multilevel metal interconnections having low dielectric constant insulator
TWI224536B (en) Semiconductor device manufacture method preventing dishing and erosion during chemical mechanical polishing
CN1199266C (en) Semiconductor device and its manufacture
US6790772B2 (en) Dual damascene processing method using silicon rich oxide layer thereof and its structure
US7282436B2 (en) Plasma treatment for silicon-based dielectrics
TW444335B (en) Process for forming self-aligned multi-level interconnect structure
JP2003303880A (en) Wiring structure using insulating film structure between laminated layers and manufacturing method therefor
JP2004006708A (en) Method for manufacturing semiconductor device
KR100691105B1 (en) Method of forming copper interconnection using dual damascene process
US20080054480A1 (en) Semiconductor device and fabricating method thereof

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent