TW294820B - Gas distribution apparatus - Google Patents

Gas distribution apparatus Download PDF

Info

Publication number
TW294820B
TW294820B TW84107130A TW84107130A TW294820B TW 294820 B TW294820 B TW 294820B TW 84107130 A TW84107130 A TW 84107130A TW 84107130 A TW84107130 A TW 84107130A TW 294820 B TW294820 B TW 294820B
Authority
TW
Taiwan
Prior art keywords
chamber
plenum
patent application
nozzle structure
item
Prior art date
Application number
TW84107130A
Other languages
Chinese (zh)
Inventor
Petru N Nitescu
Richard H Matthiesen
Original Assignee
Watkins Johnson Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Watkins Johnson Co filed Critical Watkins Johnson Co
Application granted granted Critical
Publication of TW294820B publication Critical patent/TW294820B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Abstract

A gas distribution apparatus for delivering a gaseous substance to a chamber for processing semiconductor wafers. The apparatus includes at least one plenum formed for receiving a gaseous substance, a plenum body mountable to the chamber and having at least one conduit formed therein and a nozzle structure removably mounted to the plenum body. The conduit is coupled to the plenum for delivery of the gaseous substance to the plenum and the nozzle structure has a plurality of nozzles coupled to the plenum and configured for injection of the gaseous substance into the chamber.

Description

經濟部中央標準局員工消費合作社印製 A 7 B7 五、發明説明(1 ) 本發明之簡要說明 本發明係有關於一種供配送氣體物質之系統,及更精 確言之,係有關於一種供半導體晶圓加工系統用之氣體配 送環。 發明之背景 半導體晶圓的加工,典型的係由將晶圓置入室之內, 且在晶圓的表面加以多種化學物質。該化學物質的化學性 則依據使用的加工方式及形成半導體晶圓表面之裝置的本 質而決定。化學物質一般係以氣體形態或具有一氣體載具 的方式,被運送至室之內。於某些加工中,在晶圓表面上 形成的薄膜層,使用高密度電漿來加强。 已知有許多系統已使用來運送氣體物質至加工室內。 —種運送系統的形式爲使用一位於晶圓表面上方之垂直的 間隔之不銹鋼環。氣體物質流經配置於環上的噴嘴,再進 入加工室內且朝向著晶圓表面。另一種運送系統的裝置中 包含有一岐管,該岐管延伸橫越整個晶圓表面。該岐管具 有一多數的噴嘴或孔口,用以於化學加工中,將所需之氣 體物質噴灑於晶圓上。 已知的氣體配送裝置之修理及維修,係典型的勞力密 集及耗费時間的工作。該裝置必須先分解以使可以清潔噴 嘴孔及內部充氣室,因此必須阻斷加工過程一長時間,而 顯著的減少了加工系統的效率及產品數量。而且由於某些 係如爲氣體配送環的具有嗔嘴的裝置,係與裝置爲一體成 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、-°Printed by the Ministry of Economic Affairs, Central Bureau of Standards, Employee Consumer Cooperatives A 7 B7 V. Description of the invention (1) Brief description of the invention The present invention relates to a system for the distribution of gaseous substances, and more precisely, to a semiconductor Gas distribution ring for wafer processing system. Background of the Invention The processing of semiconductor wafers typically consists of placing the wafers in a chamber and applying a variety of chemicals on the surface of the wafers. The chemical nature of the chemical substance depends on the processing method used and the nature of the device that forms the surface of the semiconductor wafer. Chemical substances are generally transported into the chamber in the form of gas or with a gas carrier. In some processes, the thin film layer formed on the wafer surface is reinforced with high-density plasma. Many systems are known to be used to transport gaseous materials into the processing chamber. -This type of transport system uses a vertically spaced stainless steel ring above the wafer surface. The gaseous substance flows through the nozzle arranged on the ring, and then enters the processing chamber and faces the wafer surface. Another type of transport system includes a manifold that extends across the entire wafer surface. The manifold has a large number of nozzles or orifices, which are used in chemical processing to spray the required gaseous substances on the wafer. The repair and maintenance of known gas distribution devices is a typical labor-intensive and time-consuming task. The device must first be disassembled so that the nozzle hole and internal plenum can be cleaned, so the process must be blocked for a long time, which significantly reduces the efficiency of the processing system and the number of products. Moreover, because some devices are gas nozzles, they are integrated with the device. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297mm) (please read the precautions on the back before filling in this Page),-°

I 經濟部中央樣隼局員工消費合作社印裝 A7 B7 五、發明説明(2 ) 形之元件,故而無法被移除或換置。故可了解,需要一種 無需阻斷加工操作,而可有效率的修理或維修之氣體配送 系統。 本發明之目的及概要 本發明之主要目的,係提供一氣體配送裝置,用以將 氣體物質噴灑入一加工室內。 本發明之進一步的目的,係提供一氣體配送裝置,該 裝置可以提供晶圓表面上一均勻配送的氣體。 本發明之另一目的,係提供一氣體配送裝置,該裝置 的噴嘴係爲有效率且易於換置的。 本發明之一更一般性的目的,係提供一氣體配送裝置 ,該裝置可經濟地製造且可輕易的置入加工室內,且該裝 置可以方便地及有效率地修理及維修。 大要言之,本發明提供一氣體配送裝置,該裝置係特 別地適用於運送氣體物質至一加工室內。該裝置包含有一 配置於室的一充氣本體,一可換置的噴嘴結構配置於充氣 室本體上,及至少一充氣室形成以接收氣體物質。充氣室 本體形成至少一導管與充氣室耦合,以運送氣體物質至充 氣室。噴嘴結構具有一多數的噴嘴與充氣室福合,且用以 由充氣室噴灑氣體物質至該加工室內。 本發明之額外的目的及特徵,由下列之相關的圖形之 詳細敘述及申請專利範圍中,將可更爲清晰。 本紙張尺度適用中國國家標準(CNS.) Λ4規格(210X297公釐) I:--J------裝------訂------線 (請先閱讀背面之注意事項再填寫本I) A7 B7 經濟部中央標準局員工消費合作社印製 五、 發明説明( 3 ) 1 圆 形 之 簡 要 說 明 1 1 圖 1 係 依 據 本 發 明 之 氣 體 配 送 裝 置 之 部 份 分 解 的 圖 解 1 1 1 透 視 圖 顯 示 本 裝 S 被 置 入 —. 電 漿 加 强 化 學 蒸 汽 沈 澱 系 統 1 I 請 1 中 〇 閱 1 I 讀 1 圖 2 係 圖 1 中 之 氣 體 配 送 裝 置 之 部 份 分 解 的 前 方 平 面 背 i I 之 1 圖 〇 注 意 1 I 事 1 圖 3 係 沿 著 圖 2 中 之 線 3 — 3 之 一 橫 剖 面 圖 〇 項 再 1 填 1 圖 4 係 沿 著 圖 2 中 之 線 4 — 4 之 —' 丄廿 價 剖 面 圇 〇 % 本 裝 頁 1 圖 5 係 依 據 本 發 明 之 氣 體 配 送 裝 置 之 另 —» 較 佳 實 施 例 1 的 橫 剖 面 圖 〇 1 1 1 本 發 明 之 詳 細 描 述 1 訂 I 於 下 將 參 考 顯 示 於 所 附 ΓψΊ 圖 形 中 之 本 發 明 之 較 佳 實 施 例 1 1 1 0 於 圖 形 中 類 似 之 元 件 將 給 予 類 似 的 參 考 號 碼 0 1 1 圖 1 顯 示 一 氣 體 配 送 裝 置 1 0 9 該 裝 置 特 別 適 用 以 將 1 — 氣 體 物 質 運 送 至 加 工 系 統 1 4 中 的 —* 加 工 室 1 2 內 0 加 線 1 工 系 統 1 4 係 使 用 以 供 電 漿 加 强 化 學 蒸 汽 沈 澱 加 工 9 而 且 1 9 本 裝 置 1 0 亦 可 使 用 於 晶 圓 的 其 他 加 工 x'ja m 程 中 包 含 了 1 化 學 蒸 汽 沈 澱 > 蝕 刻 > 高 溫 薄 膜 沈 澱 及 類 似 過 程 0 加 工 1 1 系 統 1 4 包 含 了 一 室 壁 1 6 及 一 關 閉 室 1 2 的 頂 板 1 8 0 1 1 一 支 撑 組 件 2 0 用 以 支 撑 一 晶 圓 2 2 於 室 1 2 內 以 用 以 加 1 1 工 0 於 較 佳 實 施 例 中 該 支 撑 組 件 2 0 係 爲 — 靜 電 夾 組 合 1 | 該 靜 電 夾 組 合 型 式 係 揭 橥 於 F 1 e hr ,H 〇 h b a c h , Te St J I A 1 br it to η & He r b e r t F i 1 e N c )· A - -e r ] ί / 1 1 本紙張尺度適用中國國家標隼(CNS )M規格(210X 297公釐) 294820 A7 B7_ __ 五、發明説明(4 ) A J T/J EM之系列專利號碼中,該揭橥之靜電夾組合 係可配合使用於本申請專利發明中,如果需要,也可使用 例如爲一機械夾頭的其他型式支撑系統。一電漿源2 4配 置在頂板1 8上,且與晶圓2 2軸向地並列著,以於晶圓 2 2的加工過程中供應電漿以加强加工過程。電漿源2 4 被詳細描述於 F lehr, Hohbach, Test, Albritton & Herbert File No. A — 6 2 2 6 8/AJ T 中,該電 漿源可配合使用於本申請專利發明中。 氣體配送裝置10配置於低於頂板18的室壁16上 。於本較佳實施例中,配送裝置1 0具有環狀外形,其外 部周邊表面3 0配置在室壁1 6上,且其內部表面3 2界 定出~中央開口,該中央開口延伸通過整個配送裝置。一 多數的將氣體噴射入加工室中的噴嘴3 4 ,該氣體物質由 配送裝置,向內的朝向室1 2的中央軸而運送。氣體配送 裝置的環狀外形,可統一的將氣體配送至加工室之周邊, 且提供一通路於電漿源2 4及晶圓2 2之間。將配送裝置 1 〇與電漿流隔離,也提供了對電漿密度及氣體物質流的 個別控制。如示於圖1中的箭頭,電漿由電漿源2 4所產 生,氣體物質向下的移動至晶圓表面上,該晶圓係被置於 配送裝置1 0 ,室壁1 6及支撑系統2 0之間的RF區域 內,且於晶圓上沈澱形成一薄膜層。 雖然氣體配送裝置1 0較佳爲一環狀外形,但必需了 解其他外形亦於本發明之範疇中,例如爲一矩形或椭圓形 外形。而且如果需要,除了以延伸環繞加工室的圓周之一 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) η 裝 訂 旅 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 經濟部中央樣準局員工消費合作社印裝 A7 B7 五、發明説明(5 ) 配送裝置之外,也可使用一或更多個的弧狀配送裝置。 氣體配送裝置10於高密度電漿加强加工中特別具有 優異,因爲氣體流動的效應因表,例如高密度電漿,加工 室內的低壓力(相較於傳統電漿加强系統中的多於1 0 0 mTorr的壓力,高密度電漿加强加工過程少了 3 — 4 mTo r r )及電子的高能量等。因爲加工室內的低壓力 ,氣體物質較佳係由對流所移動。 於圖2 _ 4中,將更詳細的描述氣體配送裝置。配送 裝置1 0包含了 一充氣室本體4 0配置在室1 2的壁1 6 上。於本較佳實施例中,充氣室本體4 0被支撑於室壁 1 6的水平延伸條板4 2 ( L e d g e )上。線形結件4 4 分配在充氣室本體4 0的周邊,延伸經過形在於充氣室本 體內的孔4 5 ,並與室壁1 6結合,以將充氣室本體固定 於室中。頂板1 8覆蓋住充氣室本體,且以已知之傳統方 式固定於室壁16上。如示於圖1中,本實施例中之充氣 室本體4 0位於頂板1 8之下方,但充氣室本體之位置, 可因爲室1 2的設計,使用的加工型態及噴嘴之設計等因 素而有許多的不同變異。 充氣室本體4 0具有二個平行的,形成於本體4 0上 的切線延伸之槽4 6及4 8。槽4 6及4 8部份的界定出 一對充氣室,以承接使用於晶圓加工中使用的氣體物質。 槽4 6及4 8 ,經由形成於充氣室本體4 0上的個別導管 5 4及5 6 ,而個別的連接一氣體源5 0 ,5 2。供高密 度電漿加强化學蒸汽沈澱之用,一槽與氧氣來源耦合,另 本紙悵尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 辦衣 訂 線 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A 7 B7 五、發明説明(6 ) —僧則與氬及矽烷來源耦合。但是,依據使用於室12中 之加工過程,而可能使用其他多種不同的氣體物質。如示 於圖2及圖3中,導管5 4及5 6爲L型,向外的延伸, 再向下的通過充氣室本體4 0 ,以與延伸通過室壁1 6的 垂直供應線5 8及6 0相交。於其他的本發明之改良中, 導管可具有其他形狀,且供應線5 8及6 0可以水平延伸 通過室壁1 6的供應線所取代。 於本實施例中,充氣室本體4 0之每一槽僅具有一導 管,如果蕎要,每一槽也可使用多於一個的導管。於其他 的本發明之改良中,充氣室本體4 0可包含二或更多的導 管,平均間隔的置於充氣室本體的圓周上,且切線的將氣 體物質噴射進入充氣室中,且每一充氣室的氣體物質係以 相同方向流經充氣室本體上的槽。切線的噴射氣體物質, 可具有改良表面輪廓上的切線地平均之優點。 一阻板6 2具有一多數的開口 (未示於圖中),以已 知之傳統方式配置於每一槽4 6及4 8中。阻板6 2阻斷 自導管5 4及5 6流向直接鄰近的噴嘴之氣體,以使擴散 氣體且可更均匀統一地將氣體流配送至充氣室本體4 0的 周邊上。阻板6 2之外形係被選擇以使可提供最佳的氣體 配送,且可具有相當多的變異,此外,如果需要,阻板 6 2亦可省略不用。 一噴嘴結構7 0係爲可移開的配置在充氣室本體4 0 上,覆蓋住槽4 6及4 8且封閉充氣室。噴嘴結構7 0包 含一多數的與槽4 6並列的第一噴嘴3 4 a,及一多數的 本紙張尺度適用中國國家標準(CNS ) Α4規格(210x297公釐) (請先閱讀背面之注意事項再填寫本頁) -6I Printed and printed on the A7 B7 by the Consumer Cooperative of the Central Falcon Bureau of the Ministry of Economic Affairs. V. The description of the invention (2), so it cannot be removed or replaced. It can be understood that there is a need for a gas distribution system that can be efficiently repaired or maintained without interrupting processing operations. Object and Summary of the Invention The main object of the invention is to provide a gas distribution device for spraying gaseous substances into a processing chamber. A further object of the present invention is to provide a gas distribution device which can provide a uniform distribution of gas on the wafer surface. Another object of the present invention is to provide a gas distribution device whose nozzle is efficient and easy to replace. A more general object of the present invention is to provide a gas distribution device which can be economically manufactured and can be easily placed in a processing chamber, and which can be conveniently and efficiently repaired and maintained. In summary, the present invention provides a gas distribution device which is particularly suitable for transporting gaseous substances into a processing chamber. The device includes an inflation body disposed in the chamber, a replaceable nozzle structure disposed on the inflation chamber body, and at least one inflation chamber is formed to receive gaseous substances. The body of the plenum chamber forms at least one duct coupled to the plenum chamber to transport gaseous substances to the plenum chamber. The nozzle structure has a plurality of nozzles which are combined with the plenum chamber, and is used for spraying gaseous substances from the plenum chamber into the processing chamber. The additional objects and features of the present invention will be clearer from the detailed description of the following related figures and the scope of patent application. This paper scale is applicable to China National Standard (CNS.) Λ4 specification (210X297mm) I:-J ------ installed ------ ordered ------ line (please read the back page first Matters needing attention and re-fill this I) A7 B7 Printed by the Employee Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economy 5. Description of the invention (3) 1 Brief description of the circle 1 1 Figure 1 is a partially exploded illustration of the gas distribution device according to the invention 1 1 1 The perspective view shows that this device S is placed in.-Plasma-enhanced chemical vapor precipitation system 1 I Please 1 Medium 〇 Reading 1 I Reading 1 Figure 2 is a partially exploded front plane back of the gas distribution device in Figure 1 i 1 of 1 Figure 〇 Note 1 I matter 1 Figure 3 is a cross-sectional view along one of the lines 3-3 in Figure 2 Item 1 is filled again 1 Figure 4 is along the line 4-4 in Figure 2 — '20% cross-sectional profile 〇% This page 1 Figure 5 is another example of the gas distribution device according to the present invention-»The cross-sectional view of the preferred embodiment 1 〇 1 1 1 Detailed description of the present invention 1 Order I below A preferred embodiment of the present invention will be shown by reference in the attached ΓψΊ figure 1 1 1 0 Similar elements in the figure will be given a similar reference number 0 1 1 Figure 1 shows a gas distribution device 1 0 9 This device is particularly suitable To transport 1 — gaseous substances to the processing system 1 4 — * in the processing room 1 2 0 plus line 1 industrial system 1 4 is used to power the slurry to enhance chemical vapor precipitation processing 9 and 1 9 this device 1 0 can also be used In other processes of wafer processing, x'jam process includes 1 chemical vapor deposition> etching> high temperature thin film deposition and similar processes 0 processing 1 1 system 1 4 includes The chamber wall 16 and a top plate 1 8 0 1 1 that closes the chamber 1 2 A support assembly 20 is used to support a wafer 2 2 in the chamber 1 2 for adding 1 1 work 0 in the preferred embodiment The support assembly 2 0 is — Electrostatic clip combination 1 | This electrostatic clip combination type is disclosed in F 1 e hr, H 〇hbach, Te St JIA 1 br it to η & Herrbert F i 1 e N c) · A--er] ί / 1 1 This paper scale is applicable to China National Standard Falcon (CNS) M specifications (210X 297 mm) 294820 A7 B7_ __ V. Description of invention (4) AJT / J EM series of patent numbers, which The disclosed electrostatic clip assembly can be used in conjunction with the patented invention of this application. If necessary, other types of support systems such as a mechanical chuck can also be used. A plasma source 24 is disposed on the top plate 18 and is axially juxtaposed with the wafer 22 to supply plasma during the processing of the wafer 22 to enhance the processing. Plasma source 2 4 is described in detail in Flehr, Hohbach, Test, Albritton & Herbert File No. A — 6 2 2 6 8 / AJ T. This plasma source can be used in conjunction with the patented invention of this application. The gas distribution device 10 is arranged on the chamber wall 16 below the top plate 18. In the preferred embodiment, the distribution device 10 has an annular shape, its outer peripheral surface 30 is disposed on the chamber wall 16, and its inner surface 32 defines a central opening, which extends through the entire distribution Device. A large number of nozzles 3 4 inject gas into the processing chamber, and the gaseous substance is transported by the distribution device inward toward the central axis of the chamber 12. The circular shape of the gas distribution device can uniformly distribute gas to the periphery of the processing chamber, and provide a path between the plasma source 24 and the wafer 22. Isolating the distribution device 10 from the plasma flow also provides individual control of the plasma density and gas flow. As shown by the arrows in FIG. 1, the plasma is generated by the plasma source 24, and the gaseous substance moves down onto the surface of the wafer. The wafer is placed in the distribution device 10, the chamber wall 16 and the support In the RF region between the systems 20, and deposited on the wafer to form a thin film layer. Although the gas distribution device 10 is preferably an annular shape, it must be understood that other shapes are also within the scope of the present invention, such as a rectangular or elliptical shape. And if necessary, except for one of the paper scales that extend around the circumference of the processing room, the Chinese National Standard (CNS) Α4 specification (210X297mm) is applicable. Binding binding (please read the precautions on the back before filling in this page) Central Ministry of Economic Affairs Printed by the Bureau of Standards and Staff's Consumer Cooperatives A7 B7 Printed by the Ministry of Economics' Central Prototype Bureau's Staff and Consumer Cooperatives V. Invention Instructions (5) In addition to distribution devices, one or more arc-shaped distribution devices can also be used. The gas distribution device 10 is particularly excellent in high-density plasma enhanced processing because of the effects of gas flow, such as high-density plasma, low pressure in the processing chamber (compared to more than 10 in traditional plasma enhanced systems The pressure of 0 mTorr, the high-density plasma enhanced processing process is reduced by 3-4 mTo rr) and the high energy of electrons. Because of the low pressure in the processing chamber, the gaseous matter is preferably moved by convection. In FIGS. 2_4, the gas distribution device will be described in more detail. The delivery device 10 includes a plenum body 40 disposed on the wall 16 of the chamber 12. In the preferred embodiment, the plenum body 40 is supported on the horizontally extending slats 4 2 (L e d g e) of the chamber wall 16. The linear knot member 4 4 is distributed around the plenum body 40, extends through a hole 4 5 formed in the plenum body, and is combined with the chamber wall 16 to fix the plenum body in the chamber. The top plate 18 covers the body of the inflatable chamber and is fixed to the chamber wall 16 in a known conventional manner. As shown in FIG. 1, the plenum body 40 in this embodiment is located below the top plate 18, but the location of the plenum body may be due to the design of the chamber 12, the processing type used, and the design of the nozzle. And there are many different variations. The plenum body 40 has two parallel grooves 46 and 48 formed in the body 40 and extending tangentially. The grooves 4 6 and 4 8 define a pair of plenums to accept the gaseous materials used in wafer processing. The grooves 4 6 and 4 8 are connected to a gas source 50 0 and 52 through individual ducts 5 4 and 5 6 formed on the plenum body 40. For high-density plasma to enhance chemical vapor precipitation, a tank is coupled to the oxygen source, and the paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X 297mm). Clothes line (please read the notes on the back first (Fill in this page again) A 7 B7 printed by the Staff Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economy V. Description of the invention (6) — The monk is coupled with sources of argon and silane. However, depending on the process used in the chamber 12, many other different gaseous substances may be used. As shown in FIGS. 2 and 3, the ducts 54 and 5 6 are L-shaped, extend outward, and then pass downward through the plenum body 40 to meet the vertical supply line 5 8 that extends through the chamber wall 16 And 6 0 intersection. In other improvements of the present invention, the duct may have other shapes, and the supply lines 58 and 60 may be replaced by supply lines extending horizontally through the chamber wall 16. In this embodiment, each tank of the plenum body 40 has only one guide tube, and more than one tube can be used for each tank if required by buckwheat. In other improvements of the present invention, the plenum body 40 may include two or more conduits that are evenly spaced on the circumference of the plenum body, and tangentially spray gaseous materials into the plenum, and The gas substance in the plenum flows through the groove on the body of the plenum in the same direction. The tangential jet of gaseous material can have the advantage of improving the average of the tangent on the surface profile. A blocking plate 62 has a plurality of openings (not shown in the figure), and is arranged in each slot 46 and 48 in a known conventional manner. The blocking plate 6 2 blocks the gas flowing from the conduits 5 4 and 5 6 to the directly adjacent nozzles, so that the diffused gas can distribute the gas flow to the periphery of the plenum body 40 more uniformly and uniformly. The outer shape of the baffle plate 6 2 is selected so as to provide the best gas distribution, and it can have quite a few variations. In addition, the baffle plate 6 2 can be omitted if necessary. A nozzle structure 70 is movably arranged on the air chamber body 40, covering the grooves 46 and 48 and closing the air chamber. Nozzle structure 70 includes a large number of first nozzles 3 4 a parallel to the grooves 4 6, and a large number of the paper standards are applicable to the Chinese National Standard (CNS) Α4 specifications (210x297 mm) (please read the back side first Matters needing attention before filling this page) -6

T A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(7 ) 與槽4 8並列的第二噴嘴3 4 b,用以將充氣室內存放的 氣體物質噴射入加工室內。所示之實施例中,經由機製或 其他適合之方式,噴嘴係與噴嘴結構7 〇 一體成形。於本 發明之其他改良中,噴嘴可以個別的使用線形或彈簧配件 或其他合適的固定裝置,配置到噴嘴結構上。尺寸、形狀 、間隔、角度及噴嘴朝向的方向,均可有相當多的變異。 於本實施例中,噴嘴係具有統一間隔的配置在噴嘴結構 7 0的周邊上,雖然如果需要,可以更變間隔,以提供更 統一的氣體配送。噴嘴較佳的具有能提供形成於晶圓表面 上之薄膜層一平坦輪廓的外形。如示於圖2 ,噴嘴3 4相 關於晶圓平面,係朝向3 0°至9 0°範圍的角度。但是 ,依據分隔開噴嘴結構7 0及晶圓2 2之間的垂直距離, 及所需要提供於晶圓上的沈澱等,噴嘴可以朝向其他的角 度。 如示於圖2及4中,噴嘴結構7 0包含一環形的凸緣 7 4 ,用以將噴嘴結構7 0配置至充氣室本體上。一多數 的結件7 6 ,結合了凸緣7 4及充氣室本體4 Q ,以將噴 嘴結構固定的配置於充氣室本體上。一由石英或其他合適 材料製成的一保護襯墊,延伸橫越環形凸緣7 4及充氣室 本體,以將結件及充氣室本體與加工室的內部分隔開。密 封環7 9被壓縮於噴嘴結構7 0及充氣室本體4 0之間, 以使隔開充氣室並預防充氣室之間,及充氣室與加工室 1 2之間的氣體洩露。密封環係以合適材料製成,可抗高 溫,例如爲 Kalrez或Chemraz ,但 Viton Ο型環亦可 (請先閱讀背面之注意事項再填寫本頁) 、vs 丁 % 本紙伕尺度適用中國國家標準(〇奶)六4規格(210'_<297公釐)_1() 經濟部中央標準局負工消費合作社印製 A7 B7 ________ 五、發明説明(8 ) 使用於低溫加工過程中。 噴嘴結構7 0可經由將結件7 6自充氣室本體分離, 而方便地自充氣室本體移開。可移開噴嘴結構7 0 ,可方 便地清潔及維修氣體配送裝置1 〇。如果需要,當第一噴 嘴結構在清潔時,可將第二噴嘴結構裝面上充氣室本體 4 0上,如此可容許繼續晶圓加工,而不會產生一昂貴的 及浪費時間的延遲加工。如果需要,第二噴嘴結構可以與 第一噴嘴結構有完全不同的噴嘴外形。可移開噴嘴結構 7 0的能力,增加了氣體配送裝置1 〇的修理及維修效率 ,且提供了 一相當的彈性,可依特別之加工需求來設計噴 嘴結構。 如於本實施例中,當配送裝置1 0與一電漿加强裝置 一起使用時,噴嘴結構7 0係曝露在一高能RF能量區域 內。其結果,氣體配送裝置較佳的能接地,除非噴嘴結構 7 0係由介質材料所製成的。充氣室本體4 0及噴嘴結構 7 〇包含有配合表面8 0及8 1 ,其被形成以使充氣室本 體4 0及噴嘴結構7 0之間的接觸表面成爲最大,以使耦 合噴嘴結構7 0至接地。配合表面8 0及8 1較佳的塗覆 上一合適的材料,以鎳,以加强表面接的接觸。當支撑組 件2 0施加一rf偏壓至晶圓上時,配合表面8 〇及8 1 亦提供R F電流一回路。於本發明之其他改良中,充氣室 本體4 〇及噴嘴結構7 0及室壁1 6之間的連結,可以合 適之介質材料製成。 於示於這些圖中的實施例,噴嘴結構7 0係被ff於充 本紙張尺度逋用中國國家標準(CNS ) Μ規格(210X2SI7公釐) 11 抽衣 訂 線 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央樣準局員工消费合作社印装 294820 A7 B7 五、發明説明(9 ) 氣室本體4 0徑向的向內方向上。但是,於其他的噴嘴結 構7 0之改良中,相關於充氣室本雔而可以有不同的朝向 方向。此外,噴嘴結構7 0可造形使噴嘴3 4的噴射點與 充氣室本體之間,較圖2及圖3所示的有更大的間隔距離 。於本發明之範噃中,相關於晶圓的噴嘴之朝向方向及位 置,均可有相當的變異。 圖5顯示依據本發明之氣體配送裝置1 0 0之另一實 施例。配送裝置1 0 0包含一充氣室本體1 0 2,其具有 三個切線延伸的槽1 0 4,每一槽1 0 4界定一個別的充 氣室以承接氣體物質。每一槽1 〇 4與一個別的水平延伸 導管1 0 5耦合,該導管1 0 5形成於充氣室本體1 〇 2 中以連接槽1 0 4至一氣體源(未示於圇)處。如示於圖 5 ,導管5 4及5 6可以垂直的並列,因爲最上方導管的 向下延伸伸展已被省略。槽係被噴嘴結構1 0 6所封閉, 該結構1 0 6包含一多數的噴嘴1 〇 8 ,其與槽1 0 4配 合以將氣體物質自充氣室噴射入加工室內。類似於前面所 述之實施例,噴嘴結構1 〇 6之外形,形態,尺寸,數量 及噴嘴1 0 8的間隔,均於本發明之範藤中可有許多不同 之變異。 於示於圖5之實施例中,氣體配送裝置1 〇 〇可以以 二不同的流率來噴射出相同的物質。例如,一充氣室可與 氧來源耦合,另二充氣室與氬或矽烷來源耦合。二充氣室 之一的充氣室之噴嘴可以朝向運送氣體物質至晶圃的外部 區域一另一充氣室之噴嘴則朝向運送氣體物質至晶圓的中 本紙張尺度適用中國國家標準(CNS)A4規格( 210X297公釐)-12 - I---------扣衣------1T------# (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7五、發明説明(i〇) 央ΈΕ域。不同噴嘴的朝向’提供了不同的表面輪廓’當組 合在一起時,產生一平坦的沈澱於晶圓表面上。氣體配送 裝ai ο 〇 ,如果需要時,可被使用以個別的將三種不同 的氣體物質噴射入加工室內’或,於一特別的加工過程中 ,如果僅需二充氣室時,可使用一合適的罩蓋裝置將—充 氣室封閉.。 噴嘴結構1 0 6係經由一夾具裝置1 1 〇可移開的配 置於充氣室本體1 〇 2上。夾具裝置1 1 0包含一延伸經 過充氣室本體1 〇 2及噴嘴結構1 〇 6之凸緣1 1 4的夾 板1 1 2。一結件1 1 6連結夾板1 1 2及充氣室本體 1 0 2 ,以使可移除的固定夾具裝置1 1 〇及噴嘴結構 1 0 6至充氣室本體1 〇 2上。一間隔元件1 1 8較佳的 置於夾具裝置1 1 〇及噴嘴結構1 〇 6之間。一以例如爲 石英的合適材料製成之襯墊1 2 0覆蓋了夾具裝置及充氣 室本體。夾具裝置1 1 0特別適合於與噴嘴結構1 0 6 — 起使用,其係由例如爲陶瓷材料及石英等的脆性材料所製 成。 充氣室本體4 0或1 0 2較佳的由鋁或其他合適金屬 製造。噴嘴結構可由例如爲鋁的金屬,或陶瓷材料、石英 或其他介質材料的介質材料所製造。夾具裝置110較佳 由例如爲鋁的金屬所製成。結件7 6或1 1 6或4 4較佳 的由不銹鋼製成。 本發明之前述較佳實施例,已加以說明及展現。本發 明並不侷限在前述之範囀中,且由前述之說明中,可以有 I--^-----^-I 批衣------II------.^ (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐)· A7 B7 五、發明説明(11) 許多的改良與變異。該實施例係被選擇來加以描述,以使 有用範 形使利 情的專 之佳請 作更申 操有之 際明下 實發於 其本。 對對化 及可變 , 者之 說藝同 解此不 之於有。 佳精而噃 更,求範 有此需之 理因之明 原,同發 之解不本 明 了應定 發之因界 本佳及將 對較,圍 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 14T A7 B7 Printed by the Employee Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economy V. Description of the invention (7) The second nozzle 3 4 b juxtaposed with the groove 4 8 is used to inject the gas substance stored in the inflation chamber into the processing chamber. In the illustrated embodiment, the nozzle is formed integrally with the nozzle structure 70 via a mechanism or other suitable means. In other improvements of the present invention, the nozzle can be individually configured on the nozzle structure using linear or spring fittings or other suitable fixing devices. There are considerable variations in size, shape, spacing, angle and direction of nozzle orientation. In this embodiment, the nozzles are arranged at uniform intervals on the periphery of the nozzle structure 70, although if necessary, the intervals can be changed to provide more uniform gas distribution. The nozzle preferably has a profile that provides a flat profile of the thin film layer formed on the wafer surface. As shown in Fig. 2, the nozzle 34 is oriented at an angle ranging from 30 ° to 90 ° with respect to the wafer plane. However, depending on the vertical distance separating the nozzle structure 70 and the wafer 22, and the precipitation required to be provided on the wafer, etc., the nozzle may be oriented at other angles. As shown in FIGS. 2 and 4, the nozzle structure 70 includes an annular flange 7 4 for arranging the nozzle structure 70 on the plenum body. A large number of knots 76 combine the flange 74 and the plenum body 4Q to fix the nozzle structure on the plenum body. A protective gasket made of quartz or other suitable material extends across the annular flange 74 and the chamber body to separate the knot and the chamber body from the inner portion of the processing chamber. The seal ring 79 is compressed between the nozzle structure 70 and the plenum body 40 to isolate the plenum and prevent gas leakage between the plenum and between the plenum and the processing chamber 12. The sealing ring is made of a suitable material, which can withstand high temperature, such as Kalrez or Chemraz, but Viton Ο ring can also be (please read the notes on the back before filling in this page), vs Ding% This paper is applicable to Chinese national standards (〇Milk) Six 4 specifications (210 '_ < 297mm) _1 () Printed by the Ministry of Economic Affairs Central Standards Bureau Negative Consumer Cooperative A7 B7 ________ V. Description of invention (8) Used in low temperature processing. The nozzle structure 70 can be easily removed from the inflation chamber body by separating the knot member 76 from the inflation chamber body. The nozzle structure 7 0 can be removed, and the gas distribution device 10 can be easily cleaned and maintained. If necessary, when the first nozzle structure is being cleaned, the second nozzle structure can be mounted on the plenum body 40, which allows wafer processing to continue without an expensive and time-wasting delayed processing. If desired, the second nozzle structure can have a completely different nozzle profile than the first nozzle structure. The ability to remove the nozzle structure 70 increases the repair and maintenance efficiency of the gas distribution device 10, and provides a considerable flexibility. The nozzle structure can be designed according to special processing needs. As in this embodiment, when the dispensing device 10 is used with a plasma strengthening device, the nozzle structure 70 is exposed to a high-energy RF energy area. As a result, the gas distribution device is preferably grounded unless the nozzle structure 70 is made of dielectric material. The plenum body 40 and the nozzle structure 7 include matching surfaces 80 and 8 1 that are formed to maximize the contact surface between the plenum body 40 and the nozzle structure 70 to maximize the coupling nozzle structure 70 To ground. The mating surfaces 80 and 81 are preferably coated with a suitable material, nickel to enhance surface-to-surface contact. When the support assembly 20 applies an rf bias to the wafer, the mating surfaces 80 and 81 also provide a primary loop of RF current. In other improvements of the present invention, the connection between the plenum body 40 and the nozzle structure 70 and the chamber wall 16 can be made of a suitable dielectric material. In the examples shown in these figures, the nozzle structure 70 is used in the paper size standard of the Chinese National Standard (CNS) Μ specification (210X2SI7mm). 11 Draw-up line (please read the notes on the back first (Fill in this page again) 294820 A7 B7 Printed by the Employee Consumer Cooperative of the Central Prototype Bureau of the Ministry of Economic Affairs V. Description of the invention (9) The air chamber body 40 is directed radially inwards. However, in the improvement of the other nozzle structure 70, different directions can be used in relation to the innate cavity of the inflation chamber. In addition, the nozzle structure 70 can be shaped so that there is a larger separation distance between the injection point of the nozzle 34 and the body of the plenum chamber than shown in FIGS. 2 and 3. In the scope of the present invention, the orientation and position of the nozzles related to the wafer may vary considerably. Fig. 5 shows another embodiment of the gas distribution device 100 according to the present invention. Dispensing device 100 includes a plenum body 102 having three tangentially extending slots 104, each slot 104 defining a different plenum to receive gaseous materials. Each tank 104 is coupled to another horizontally extending duct 105 formed in the plenum body 102 to connect the tank 104 to a gas source (not shown in the figure). As shown in Fig. 5, the ducts 54 and 56 can be vertically juxtaposed because the downward extension of the uppermost duct has been omitted. The groove is enclosed by a nozzle structure 106, which contains a plurality of nozzles 108, which cooperate with the groove 104 to inject gaseous substances from the plenum into the processing chamber. Similar to the previously described embodiments, the nozzle structure 106 has a different shape, shape, size, number, and spacing between nozzles 108 in the present invention. There are many different variations. In the embodiment shown in FIG. 5, the gas distribution device 100 can eject the same substance at two different flow rates. For example, one plenum can be coupled to a source of oxygen, and the other two plenums can be coupled to a source of argon or silane. One of the two plenums, the nozzle of the plenum can be oriented to transport the gas substance to the outer area of the crystal garden- the nozzle of the other plenum can be oriented to transport the gas substance to the wafer (210X297mm) -12-I --------- buttoned clothing ------ 1T ------ # (Please read the precautions on the back before filling this page) A7 B7 printed by the Staff Consumer Cooperative of the Bureau of Standards V. Description of Invention (i〇) Central ΈΕdomain. The different nozzle orientations 'provide different surface profiles', when combined, produce a flat deposit on the wafer surface. Gas distribution equipment ai ο 〇, if necessary, can be used to individually inject three different gas substances into the processing chamber 'or, in a special processing process, if only two inflatable chambers are needed, a suitable The cover device will close the inflatable chamber. The nozzle structure 106 is removably arranged on the inflation chamber body 102 via a clamp device 110. The clamp device 1 10 includes a clamping plate 1 1 2 extending through the flange 1 1 4 of the inflation chamber body 102 and the nozzle structure 106. A knot piece 1 16 connects the splint 1 1 2 and the inflation chamber body 102, so that the removable fixing fixture device 1 10 and the nozzle structure 106 are attached to the inflation chamber body 102. A spacer element 1 18 is preferably placed between the clamp device 1 1 〇 and the nozzle structure 106. A liner 120 made of a suitable material such as quartz covers the fixture device and the chamber body. The clamp device 1 10 is particularly suitable for use with the nozzle structure 106, which is made of brittle materials such as ceramic materials and quartz. The plenum body 40 or 102 is preferably made of aluminum or other suitable metal. The nozzle structure can be manufactured from a metal such as aluminum, or a dielectric material such as ceramic material, quartz or other dielectric materials. The clamp device 110 is preferably made of metal such as aluminum. The knot member 76 or 116 or 4 4 is preferably made of stainless steel. The foregoing preferred embodiments of the present invention have been described and shown. The present invention is not limited to the foregoing scope, and from the foregoing description, there may be I-^ ----- ^-I approved clothes ------ II ------. ^ (Please read the precautions on the back before filling in this page) This paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) · A7 B7 5. Invention description (11) Many improvements and variations. This embodiment was chosen to be described so that the useful paradigm makes the best of the profit, and it should be published in its original form. For contradiction and change, the same interpretation of art is not what it is. Jiajing is better than others. The reason for the need of Fanfan is this. The solution to the same issue is not clear. The cause of the issue should be fixed. This is the best and will be compared. This page) The paper standard printed by the Employee Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs conforms to the Chinese National Standard (CNS) A4 (210X 297mm) 14

Claims (1)

六、申請專利範圍 1 .—種用以運送一氣體物質至一加工室內的氣體配 送裝置,包含了: 至少一充氣室,其被形成以承接一氣體物質; 一充氣室本體配置於該室上,且於充氣室本體上至少 具有一導管,該導管與該充氣室耦合,以用以將該氣體物 質運送至該充氣室內;及 —噴嘴結構,可移除的配置於該充氣室本體上,該噴 嘴結構具有一多數的噴嘴可與該充氣室耦合,且可將該氣 體物質自該充氣室噴射至該加工室內。 2 .如前述申請專利範圍第1項所述之裝置,其中, 該加工室包含一具有頂部部份及底部部份的室壁,且一側 邊部份連結了該頂部及底部部份,且其中,該充氣室本體 係配置於該室壁的側邊部份上。 3. 如前述申請專利範圍第1項所述之裝置,其中, 該充氣室本體具有一界定該充氣室本體之周邊的外部表面 ,及一界定一延伸通過該充氣室本體之中央開口的內部表 面,該外部表面係被配置於該加工室上。 經濟部中央標準局員工消費合作社印策 (請先閱讀背面之注意事項再填寫本頁) 4. 如前述申請專利範圍第1項所述之裝置,其中, 該充氣室本體具有一伸長的槽形成於其內,該槽部份地界 定該充氣室。 5 .如前述申請專利範圍第1項所述之裝置,其中, 進一步的包含一阻板B於該充氣室內,用以於該噴嘴之間 均匀的配送該氣體物質。 6.如前述申請專利範圍第1項所述之裝β,其中, 本紙張尺度適用中國國家標隼(CNS ) Α4規格(210X297公釐)—- 經濟部中央標準局貝工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 進一步的包含一夾具裝置,可移除地配置在該充氣室本體 上,該夾具裝置延伸超過該噴嘴裝置之一部份,以使可移 除的固定該噴嘴結構於該充氣室本體上。 7. 如前述申請專利範圍第1項所述之裝置,其中, 進一步的包含了 一多數的結件,可移除的固定該噴嘴結構 於該充氣室本體上。 8. 如前述申請專利範圍第1項所述之裝置,其中, 該噴嘴結構係由包含陶瓷材料,石英及金屬材料的材料群 中所選出的材料所製成。 9. 如前述申請專利範圍第1項所述之裝置,其中, 該充氣室本體係由一金屬所製成。 1 0.如前述申請專利範圍第1項所述之裝置,其中 ,該裝置包含一多數的充氣室,每一充氣室被形成以承接 一氣體物質,該充氣室本體具有至少一導管與每一充氣室 耦合,且該噴嘴結構具有一多數的噴嘴與每一該充氣室耦 合。 1 1.如前述申請專利範圍第1項所述之裝置,其中 ,進一步的包含了一形成以承接第二氣體物質的第二充氣 室,該充氣室本體具有至少一第二導管形成於其內,且與 該第二充氣室耦合以運送第二氣體物質至該充氣室內,該 噴嘴結構包含一多數的第二噴嘴與該第二充氣室耦合,以 將該第二氣體物質自第二充氣室運送至該加工室內。 1 2 . —種用以將氣體物質噴射入被室壁環繞的加工 室內之裝置,包含了: 本紙張尺度適用中國國家標準(匸阳)八4規格(2丨0父297公釐)· · ~ ' . 打 線 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 至少一充氣室,其被形成以承接一氣體物質: 一充氣室本體,其具有一內部表面界定出一延伸通過 該充氣室本體的中央開口,及一可配置於該室壁上的周邊 外部表面,該充氣室本體具有至少一通路形成於其內,且 與該充氣室耦合,以運送該氣體物質至該充氣室內:及 一噴嘴結構,可移除的配置在該充氣室本體上,該噴 嘴結構具有一多數的噴嘴與該充氣室耦合,用以將該氣體 物質噴射入該加工室內。 1 3.如前述申請專利範圍第1 2項所述之裝置,其 中,該噴嘴結構爲可移除的配置於該充氣室本體的內部表 面上。 14.如前述申請專利範圍第12項所述之裝置,其 中,該充氣室本體具有一環型外形。 1 5.如前述申請專利範圍第1 2項所述之裝置,其 中,進一步的包含了至少一額外的充氣室,形成用以承接 一氣體物質,該充氣室本體包含至少一額外的通路與該額 外的充氣室耦合,且該噴嘴結構包含了一多數的額外的噴 嘴與該額外的充氣室耦合,用以將該氣體物質’自該額外 的充氣室噴射進入該加工室內。 16.如前述申請專利範圍第12項所述之裝置,其 中,進一步包含了一可移除的配置於該充氣室本體上的夾 具裝置,該夾具裝B延伸通過該噴嘴結構之一部份,以使 可移除的固定該噴嘴結構於該充氣室本體上。 1 7.如前述申請專利範圍第1 2項所述之裝置’其 本紙張尺度適用中國國家標準(CNS)A4規格( 210X297公董)-I? · ------------ n ___IT---------- (請先閲讀背面之注意事項再填寫本頁) ^4820 Bd D8 六、申請專利範圍 中,進一步的包含了一多數的結件,可移除的固定該噴嘴 結構於該充氣室本體上。 (請先閱讀背面之注意事項再填寫本頁) -裝_ 、1T 線 經濟部中央標準局員工消費合作社印裂 本紙張尺度適用中國國家標準(CNS)A4規格( 210X 297公釐)_ _6. Patent application 1. A gas distribution device for transporting a gas substance into a processing chamber, including: at least one plenum chamber, which is formed to receive a gas substance; an plenum body is disposed on the chamber And there is at least one conduit on the body of the inflation chamber, the conduit is coupled with the inflation chamber for transporting the gas substance into the inflation chamber; and—the nozzle structure is removably arranged on the body of the inflation chamber, The nozzle structure has a plurality of nozzles that can be coupled with the plenum chamber, and can spray the gas substance from the plenum chamber into the processing chamber. 2. The device as described in item 1 of the aforementioned patent application scope, wherein the processing chamber includes a chamber wall having a top portion and a bottom portion, and one side portion connects the top and bottom portions, and The system of the inflatable chamber is arranged on the side part of the chamber wall. 3. The device as described in item 1 of the aforementioned patent application, wherein the plenum body has an outer surface defining the periphery of the plenum body, and an inner surface defining a central opening extending through the plenum body The external surface is arranged on the processing chamber. Printed by the Staff Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs (please read the precautions on the back before filling in this page) 4. The device described in item 1 of the aforementioned patent application scope, wherein the body of the inflatable chamber has an elongated groove Inside, the groove partially defines the plenum. 5. The device as described in item 1 of the aforementioned patent application scope, further comprising a blocking plate B in the aeration chamber for uniformly distributing the gas substance between the nozzles. 6. As described in Item 1 of the aforementioned patent application scope, the paper size is applicable to the Chinese National Standard Falcon (CNS) Α4 specification (210X297 mm)-A8 printed by the Beigong Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs B8 C8 D8 6. The scope of the patent application further includes a clamp device, which is removably arranged on the body of the plenum chamber, the clamp device extends beyond a part of the nozzle device, so as to removably fix the nozzle structure On the body of the inflatable chamber. 7. The device as described in item 1 of the aforementioned patent application scope, further comprising a plurality of knots, which can removably fix the nozzle structure on the plenum body. 8. The device as described in item 1 of the aforementioned patent application, wherein the nozzle structure is made of a material selected from the group of materials including ceramic materials, quartz and metal materials. 9. The device as described in item 1 of the aforementioned patent application, wherein the system of the inflatable chamber is made of a metal. 10. The device according to item 1 of the aforementioned patent application, wherein the device includes a plurality of inflation chambers, each of which is formed to receive a gas substance, and the body of the inflation chamber has at least one conduit and each An inflation chamber is coupled, and the nozzle structure has a plurality of nozzles coupled to each of the inflation chambers. 1 1. The device as described in item 1 of the aforementioned patent application scope, further comprising a second plenum formed to receive a second gas substance, the plenum body having at least one second conduit formed therein And coupled with the second inflation chamber to transport the second gas substance to the inflation chamber, the nozzle structure includes a plurality of second nozzles coupled with the second inflation chamber to inflate the second gas substance from the second The chamber is transported to the processing chamber. 1 2. A device for injecting gaseous substances into the processing chamber surrounded by the chamber wall, including: This paper size is applicable to the Chinese National Standard (匸 阳) 84 specifications (2 丨 0 father 297 mm) · · ~ '. Wired (please read the precautions on the back before filling out this page) A8 B8 C8 D8 printed by the Employee Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 6. The scope of patent application is at least one inflatable chamber, which is formed to accept a gas substance: An inflatable chamber body having an inner surface defining a central opening extending through the inflatable chamber body and a peripheral outer surface that can be disposed on the chamber wall, the inflatable chamber body has at least one passage formed therein, And coupled with the plenum to transport the gas substance to the plenum: and a nozzle structure that is removably disposed on the body of the plenum, the nozzle structure has a plurality of nozzles coupled to the plenum To inject the gaseous substance into the processing chamber. 1 3. The device as described in item 12 of the aforementioned patent application scope, wherein the nozzle structure is removably arranged on the inner surface of the plenum body. 14. The device as described in item 12 of the aforementioned patent application scope, wherein the plenum body has a ring shape. 15. The device as described in item 12 of the aforementioned patent application scope, further comprising at least one additional plenum chamber formed to receive a gas substance, the plenum body including at least one additional passage and the An additional plenum chamber is coupled, and the nozzle structure includes a plurality of additional nozzles coupled to the additional plenum chamber for spraying the gaseous substance from the additional plenum chamber into the processing chamber. 16. The device as described in item 12 of the aforementioned patent application scope, further comprising a removable fixture device disposed on the plenum body, the fixture device B extending through a part of the nozzle structure, In order to removably fix the nozzle structure on the plenum body. 1 7. The device described in item 12 of the aforementioned patent application scope's original paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210X297 company director) -I? · ----------- -n ___ IT ---------- (please read the precautions on the back before filling in this page) ^ 4820 Bd D8 6. In the scope of patent application, further includes a large number of knots, removable The nozzle structure is fixed on the plenum body. (Please read the precautions on the back before filling in this page)-Installation _, 1T line Printed by the Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) _ _
TW84107130A 1995-07-10 1995-07-10 Gas distribution apparatus TW294820B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US49986195A 1995-07-10 1995-07-10

Publications (1)

Publication Number Publication Date
TW294820B true TW294820B (en) 1997-01-01

Family

ID=23987048

Family Applications (1)

Application Number Title Priority Date Filing Date
TW84107130A TW294820B (en) 1995-07-10 1995-07-10 Gas distribution apparatus

Country Status (2)

Country Link
TW (1) TW294820B (en)
WO (1) WO1997003223A1 (en)

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US7159597B2 (en) 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
JP3861036B2 (en) * 2002-08-09 2006-12-20 三菱重工業株式会社 Plasma CVD equipment
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7431772B2 (en) 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
JPS5974629A (en) * 1982-10-22 1984-04-27 Hitachi Tokyo Electronics Co Ltd Dry etching device
JPS6098629A (en) * 1983-11-02 1985-06-01 Hitachi Ltd Treating equipment
JPS615515A (en) * 1984-06-07 1986-01-11 Fujitsu Ltd Chemical vapor growth apparatus
JPS6187319A (en) * 1984-10-05 1986-05-02 Hitachi Ltd Chemical vapor phase film forming equipment using plasma
US4834022A (en) * 1985-11-08 1989-05-30 Focus Semiconductor Systems, Inc. CVD reactor and gas injection system
US4774416A (en) * 1986-09-24 1988-09-27 Plaser Corporation Large cross-sectional area molecular beam source for semiconductor processing
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
DE3715644A1 (en) * 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molecular beam epitaxy installation
JPH0273624A (en) * 1988-09-08 1990-03-13 Fujitsu Ltd Gas guiding device for cvd use
FR2653633B1 (en) * 1989-10-19 1991-12-20 Commissariat Energie Atomique CHEMICAL TREATMENT DEVICE ASSISTED BY A DIFFUSION PLASMA.
DE4011933C2 (en) * 1990-04-12 1996-11-21 Balzers Hochvakuum Process for the reactive surface treatment of a workpiece and treatment chamber therefor
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3468859B2 (en) * 1994-08-16 2003-11-17 富士通株式会社 Gas phase processing apparatus and gas phase processing method

Also Published As

Publication number Publication date
WO1997003223A1 (en) 1997-01-30

Similar Documents

Publication Publication Date Title
TW294820B (en) Gas distribution apparatus
JP7254762B2 (en) Liner and Flange Assemblies for Vertical Furnaces and Liners and Vertical Furnaces
EP0709875B1 (en) A processing chamber gas distribution manifold
TW439122B (en) Free floating shield and semiconductor processing system
US4846102A (en) Reaction chambers for CVD systems
TW451286B (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
CN109559975B (en) Substrate processing apparatus, reaction tube, method for manufacturing semiconductor device, and program
EP0702392B1 (en) Plasma reactor
US5221556A (en) Gas injectors for reaction chambers in CVD systems
US6045862A (en) CVD film forming method in which a film formation preventing gas is supplied in a direction from a rear surface of an object to be processed
TW381299B (en) Gas injection slit nozzle for a plasma process reactor
US8894767B2 (en) Flow control features of CVD chambers
EP0844314B1 (en) Recation chamber containing a gas distribution plate
US20090047446A1 (en) Uniformity control for low flow process and chamber to chamber matching
US20050252447A1 (en) Gas blocker plate for improved deposition
US20020134507A1 (en) Gas delivery metering tube
JP2014515561A5 (en)
US20090272324A1 (en) Slit valve having increased flow uniformity
CN103797155A (en) Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
KR20070038047A (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
TW201542860A (en) CVD apparatus with gas dilivery ring
US5261960A (en) Reaction chambers for CVD systems
US5096534A (en) Method for improving the reactant gas flow in a reaction chamber
US5044315A (en) Apparatus for improving the reactant gas flow in a reaction chamber
CN113950543A (en) Thermal isolation assembly for epitaxial chamber

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees