TW202246894A - 形成圖案的方法 - Google Patents

形成圖案的方法 Download PDF

Info

Publication number
TW202246894A
TW202246894A TW111108599A TW111108599A TW202246894A TW 202246894 A TW202246894 A TW 202246894A TW 111108599 A TW111108599 A TW 111108599A TW 111108599 A TW111108599 A TW 111108599A TW 202246894 A TW202246894 A TW 202246894A
Authority
TW
Taiwan
Prior art keywords
layer
bottom layer
crosslinking
polymers
substrate
Prior art date
Application number
TW111108599A
Other languages
English (en)
Other versions
TWI815342B (zh
Inventor
黃景弘
賴韋翰
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202246894A publication Critical patent/TW202246894A/zh
Application granted granted Critical
Publication of TWI815342B publication Critical patent/TWI815342B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)

Abstract

一種方法包括在基板上提供分層結構,此分層結構包括在此基板上方形成的底部層及在此底部層上形成的光阻層;將此光阻層暴露於輻射源;使此光阻層顯影;使此底部層圖案化;以及經由圖案化底部層中的開口移除此基板的部分。在一些實施例中,在此底部層與此光阻層之間提供中間層。此底部層的材料包括至少一交聯劑,此交聯劑已被官能化以降低其對此底部層中之其他材料的親和力。

Description

多層結構的底層及其使用方法
半導體積體電路(integrated circuit, IC)工業經歷了指數級增長。IC材料及設計的技術進步已產生了數代IC,每一代均比上一代具有更小及更複雜的電路。在IC發展過程中,功能密度(亦即,單位晶片面積之互連元件的數量)大體增加,而幾何尺寸(亦即,可使用製造製程創建的最小部件(或線路))減少。這種縮小的過程一般藉由提高生產效率及降低相關成本來提供效益。這種縮小亦增加了處理及製造IC的複雜性。
在一個例示性態樣中,微影術是用於半導體微製造以選擇性地移除薄膜或基板之部分的製程。此製程使用光將圖案(例如,幾何圖案)自基板上的光罩轉移至光敏感性層(例如,光阻層)。光在光敏感性層的暴露區域中引起化學變化(例如,增加或減少溶解度)。烘烤製程可在曝光基板之前及/或之後進行,諸如在曝光前及/或曝光後烘烤製程中。隨後,顯影製程使用顯影劑溶液選擇性地移除曝光或未曝光區域,在基板中形成曝光圖案。最後,實施製程以自下層材料層移除(或剝離)剩餘的光阻劑,其可進行附加電路製造步驟。對於複雜的IC元件,基板可能會經歷多次微影圖案化製程。
以下揭示案提供了許多不同的實施例或實例,用於實施所提供標的物的不同特徵。下文描述部件及佈置的特定實例以簡化本揭示實施例。當然,這些僅為實例而非旨在為限制性的。例如,在隨後的描述中,在第二特徵上方或之上形成第一特徵可包括其中第一及第二特徵直接接觸形成的實施例,且亦可包括其中額外特徵可形成在第一與第二特徵之間,使得第一及第二特徵可不直接接觸的實施例。此外,本揭示實施例可在各個實例中重複元件符號及/或字母。這種重複係出於簡單及清晰的目的,且其本身並不規定所討論的各個實施例及/或配置之間的關係。
此外,為了便於描述,在本文中可使用諸如「下方」、「下面」、「以下」、「上面」、「以上」及其類似者的空間相對術語來描述一個元素或特徵與另一元素或特徵的關係,如圖所示。除了圖中描繪的定向之外,空間相對術語旨在涵蓋使用或操作中之元件的不同定向。裝置可以其他方式定向(旋轉90度或以其他定向)且本文中使用的空間相對描述詞可同樣相應地進行解釋。
表示相對程度的術語,例如「約」、「實質上」等,應被解釋為本領域普通技術人員根據當前的技術規範會理解的。一般而言,術語「實質上」表示比術語「約」更嚴格的容差。例如,「約100個單位」的厚度將包括更大範圍的值,例如70個單位至130個單位(+/- 30%),而「實質上100個單位」的厚度將包括更小範圍的值,例如95個單位至105個單位(+/- 5%)。同樣,這種容差(+/- 30%、+/- 5%及其類似者)可能取決於製程及/或設備,且不應被解釋為比所屬技術領域具有通常知識者認為對於所討論技術為正常的更多或更少的限制,除了「約」作為相對術語在類似上下文中使用時不如「實質上」那麼嚴格。
本揭示實施例大體上是關於半導體元件,且更特定言之是關於場效電晶體(field-effect transistor, FET),諸如平面FET、三維鰭線FET(fin-line FET, FinFET)或全環繞閘極(gate-all-around, GAA)元件。可由任何適合的方法來圖案化全環繞閘極(GAA)電晶體結構。例如,可使用一或多種微影製程對結構進行圖案化,包括雙重圖案化或多重圖案化製程。大體上,雙重圖案化或多重圖案化製程結合了微影及自對準製程,從而允許產生具有例如比使用單個直接微影製程可獲得的間距更小之間距的圖案。
本揭示實施例大體上是關於IC元件製造,且更特定言之是關於使用多層(例如,雙層或三層)結構的元件圖案化製程。雙層結構可包括金屬層或基板上的光阻層及底部層(例如,底部抗反射塗層或BARC)。這種底部層在本文中亦可稱為底層。三層結構可包括形成在金屬層或基板上的光阻層、中間層(例如,硬遮罩層)及底部層(例如,底部抗反射塗層或BARC)。這種雙層及三層結構在使光(例如,輻射)源的基板反射率最小化及增加底部層與硬遮罩層之間的蝕刻選擇性中已顯示出優勢。然而,仍需要改進用於進階圖案化製程的雙層結構及三層結構。例如,已觀察到用於蝕刻雙層或三層結構下層之層(例如金屬及/或基板層)的材料可滲透至底部層中,例如經由底部層的上表面,導致底部層膨脹。底部層的膨脹將應力引入底部層及/或與底部層接觸的材料。這種應力可導致底部層的分層或變形以及與底部層接觸之材料層的分層或變形。這種不需要的分層或變形對使用底部層圖案化之特徵的再現性及/或臨界尺寸產生負面影響。根據本文描述的實施例,以本文描述的方式修改底部層用於減輕或補救這種不需要的膨脹及這種膨脹的伴隨不利影響,從而提高在微影圖案化製程期間使用之底部層的品質。
根據本揭示的實施例適用於半導體結構,此些半導體結構包括有機材料層,例如旋塗有機材料層,例如背側抗反射塗層(亦即,BARC)位於下層上,下層例如一或多層導電或半導電材料(例如,金屬材料)或非導電材料(例如,介電材料),有機材料層的移除需要將有機材料層暴露於可導致有機材料層膨脹的化學物質。
參考第15圖,根據本揭示之實施例的結構150包括基板202、基板202上的導電層203及導電層203上的底部層204。在以下描述中,基板可用於描述如第15圖所示的基板202或基板202與基板202上的導電或半導電層203的組合。底部層204在本文中亦可稱為底層。根據本揭示的實施例,底部層204包括複數個聚合物205、複數個第一交聯劑207及複數個第二交聯劑209。如第15圖所示,聚合物205由第一交聯劑207及由第二交聯劑209交聯。在第15圖所示的實施例中,底部層204包括上部204U及下部204L。根據本揭示的實施例,底部層204的上部204U包括的第二交聯劑209的濃度大於下部204L中之第二交聯劑209的濃度。根據其他實施例,上部204U包括的第一交聯劑207及第二交聯劑209的濃度大於底部層204之下部204L中之第一交聯劑207及第二交聯劑209的濃度。如下文更詳細地描述,上部204U中之交聯劑的這種增加濃度導致聚合物205經由第一及第二交聯劑的交聯濃度增加,因而使得底部層204的上部204U不易被用於蝕刻及移除導電層203及/或基板202之部分的試劑滲透。
參考第16圖,示出根據本揭示之實施例的結構160。結構160的一些特徵類似於第15圖之結構150的特徵。彼等類似的結構在第16圖中使用與第15圖中使用的相同元件符號來標識。上面關於第15圖提供之彼等相同結構的描述同樣適用於第16圖中的相同結構且不再重複。結構160與第15圖之結構150的不同之處在於,在第16圖之底部層204的上部204U中,存在不同聚合物205之間的直接交聯,存在個別第一交聯劑207之間的交聯及存在個別第二交聯劑209之間的交聯。第16圖之結構中的這種額外交聯使得底部層204的上部204U甚至更能抵抗用於蝕刻及移除導電層203及/或基板202之部分之試劑的滲透。
在底部層為BARC層的實施例中,底部層204包含聚合物樹脂,此聚合物樹脂含有下述聚合物、下述交聯劑及改質交聯劑以及任選催化劑中的一或多者。BARC底部層204的這些元素在分散之前被置放在BARC溶劑中,這允許更容易的分散。一旦分散在溶劑中,底部層204(例如,BARC層)則可用電磁輻射(例如,光能)烘烤及/或照射,如下文更詳細描述的。
底部層204的聚合物包括酚醛清漆型聚合物、聚羥基苯乙烯聚合物(諸如聚(4-羥基苯乙烯))、酚醛清漆/羥基苯乙烯共聚物以及酚醛清漆聚合物及聚羥基苯乙烯聚合物的摻和物。酚醛清漆型聚合物為酚甲醛聚合物(phenol formaldehyde, PF)或酚醛聚合物,其為由苯酚或經取代苯酚與甲醛反應獲得的合成聚合物。酚醛清漆聚合物具有通式結構,其中n為一或多個:
Figure 02_image001
聚(4-羥基苯乙烯)聚合物具有以下通式,其中n為一或多個:
Figure 02_image003
當用作BARC時,這些類型之聚合物的分子量大於約3000且可大於約10,000。
在一個實施例中,底部層204的聚合物(例如,BARC)包含多個重複單體單元,諸如表面能改質單體單元(具有表面能(surface energy modification)改質基團或部分(moiety))、任選的發色團單體單元及交聯單體單元。表面能改質部分(moiety)用於使底部層204的表面能與基板材料的表面能相匹配。藉由匹配表面能,必要時,毛細力可用於增強底部層204的間隙填充效能。
在一個實施例中,表面能改質部分可用於增加底部層204的表面能。在此類實施例中,為了提高底部層204的表面能,表面能改質單體單元包含包括羥基、羧基、胺基或醯胺基中之一或多者的部分。在一特定實施例中,表面能改質單元可具有如下結構:
Figure 02_image005
, 其中R 1及R 2基團共同形成表面能改質部分且其中R 1為氫連接至烴的烷基且其中R 1可具有直鏈、支鏈或環狀結構。R 1內的烷基亦可包含雜原子,諸如含有氮或氧原子。R 2可含有羥基、羧基、胺基或醯胺基中的至少一者。
在特定實施例中,表面能改質單體單元可包含丙烯酸單體、甲基丙烯酸單體、羥基苯乙烯單體或衍生自丙烯酸2-羥乙酯的單體(其中一些具有允許其充當表面能改質單體的特性)、發色團單體及交聯單體。例如,在表面能改質單體單元為羥基苯乙烯單體的實施例中,表面能改質單體可具有以下結構:
Figure 02_image007
在表面能改質單體為丙烯酸單體的實施例中,表面能改質單體可具有以下結構:
Figure 02_image009
在表面能改質單體為衍生自丙烯酸2-羥乙酯之單體的實施例中,表面能改質單體可具有以下結構:
Figure 02_image011
然而,如所屬技術領域具有通常知識者將認識到的,所述用於提高底部層204之表面能的精確結構及實例旨在為說明性的而非限制性的。相反,可替代地利用將提高底部層204之表面能之任何適合單體內的任何適合官能團。這些均完全旨在被包括在本文所述實施例的範疇內。
或者,表面能改質單體可用於降低底部層204的表面能。在此類實施例中,為了降低底部層204之材料的表面能,表面能改質單體內的表面能改質部分包含烷基、氟基或芐基中的一或多者。在特定實施例中,表面能改質基團部分可包含直鏈、支鏈或環狀烷基或氟基官能團。
在一特定實施例中,表面能改質單體可具有如下結構:
Figure 02_image013
, 其中R 3及R 4基團共同形成表面能改質部分且其中R 3為氫連接至烴上的烷基且其中R 3可具有直鏈、支鏈或環狀結構。R 3內的烷基亦可包含雜原子,諸如含有氮或氧原子。然而,在此實施例中,R 4可含有烷基、氟基或芐基中的至少一者,且可包含直鏈、支鏈或環狀烷基或氟基。例如,在一些實施例中,具有表面能改質單體的聚合物可具有以下結構:
Figure 02_image015
藉由利用表面能改質單體,聚合物的表面能以及底部層204的材料本身可被改質,使得其更接近於基板202的表面能。藉由調整表面能,底部層材料不會被下層材料排斥,而會被毛細力拉入結構之間的小開口中。這有助於底部層無空隙地填充這種間隙。例如,在一實施例中,聚合物的表面能可在基板202之下層材料之表面能的約20達因/平方米內。
在一實施例中,發色團單體可包含發色團部分,諸如含有經取代及未經取代苯基、經取代及未經取代蒽基、經取代及未經取代菲基、經取代及未經取代萘基、經取代及未經取代含雜原子(諸如氧、氮、硫或其組合)雜環(諸如吡咯烷基、吡喃基、哌啶基、吖啶基、喹啉基)的乙烯基化合物。這些單元中的取代基可為任何烴基且可進一步含有雜原子(諸如氧、氮、硫或其組合),此些取代基諸如伸烷基、酯、醚、這些的組合或其類似者,具有1與12之間的多個碳原子。
在特定實施例中,發色團部分包括苯乙烯、苯基、萘基、蒽基、菲基、羥基苯乙烯、乙醯氧基苯乙烯、苯甲酸乙烯酯、4-三級丁基苯甲酸乙烯酯、乙二醇苯醚丙烯酸酯、丙烯酸苯氧基丙酯、N-甲基順丁烯二醯亞胺、丙烯酸2-(4-苯甲醯基-3-羥基苯氧基)乙酯、丙烯酸2-羥基-3-苯氧基丙酯、甲基丙烯酸苯酯、甲基丙烯酸芐酯、甲基丙烯酸9-蒽基甲酯、9-乙烯基蒽、2-乙烯基萘、N-乙烯肽醯亞胺、N-(3-羥基)苯基甲基丙烯醯胺,N-(3-羥基-4-羥基羰基苯基偶氮)苯基甲基丙烯醯胺,N-(3-羥基-4-乙氧基羰基苯基偶氮)苯基甲基丙烯醯胺,N-(2,4-二硝基苯基胺基苯基)順丁烯二醯亞胺,3-(4-乙醯胺基苯基)偶氮-4-羥基苯乙烯、3-(4-乙氧基羰基苯基)偶氮-乙醯乙酸基甲基丙烯酸乙酯、3-(4-羥基苯基)偶氮-乙醯乙酸基甲基丙烯酸乙酯、3-(4-磺苯基)偶氮乙醯乙酸基甲基丙烯酸乙酯的四氫硫酸銨鹽、這些的組合或其類似者。然而,可替代地使用具有發色團部分以吸收入射光且防止光被反射的任何適合單體,且所有這些單體完全旨在被包括在本文所述實施例的範疇內。
在發色團部分包含苯的實施例中,發色基團可具有以下結構:
Figure 02_image017
在發色團部分包含蒽的實施例中,發色基團204可具有以下結構:
Figure 02_image019
在發色團部分包含菲的實施例中,發色團部分可具有以下結構:
Figure 02_image021
交聯單體可用於使單體與聚合物交聯以改變底部層204的溶解度,且可任選地具有酸不穩定基團。在一些實施例中,底部層204的聚合物包括約1-20個交聯部分,而在其他實施例中,底部層204的聚合物包括約1-10個交聯部分。在一特定實施例中,交聯單體可包含烴鏈,其亦包含例如交聯部分,諸如羥基、羧酸基、羧酸酯基、環氧基、胺甲酸乙酯基、醯胺基、環氧丙基醚基、氧化烷基、烯烴基、炔烴基、三氮烯基、這些的組合及其類似者。可用交聯單體的特定實例包括聚羥基苯乙烯、聚(羥基萘)、聚(甲基)丙烯酸酯、聚芳酯、聚酯、聚氨酯、醇酸樹脂(脂族聚酯)、聚(羥基苯乙烯-甲基丙烯酸甲酯)、藉由聚合以下單體中的至少一者獲得的均聚物及/或共聚物:苯乙烯、羥基苯乙烯、(甲基)丙烯酸羥乙酯、(甲基)丙烯酸羥丙酯、(甲基)丙烯酸甲酯、(甲基)丙烯酸乙酯、(甲基)丙烯酸、聚(羥基苯乙烯-苯乙烯-甲基丙烯酸酯)、聚(4-羥基苯乙烯)及聚(焦蜜石酸二酐-乙二醇-環氧丙烷)。
在一特定實施例中,交聯單體可具有以下結構:
Figure 02_image023
, 其中R 5包含氫連接至烴結構的烷基,其中此烷基可具有直鏈、支鏈或環狀結構。此外,烷基亦可包含雜原子,諸如包含氮原子或氧或氟原子,或甚至包含烷基氟。
在替代實施例中,交聯單體可具有以下結構:
Figure 02_image025
Figure 02_image027
, 其中R 5與上述相同且其中R 6代表氫原子或具有1至10個碳原子的烷基,且其中n代表2至6的整數,且其中X為任選的交聯單元或間隔基(spacer),具有2至8個碳原子,諸如烷烴單元。
在一些實施例中,交聯單體具有暴露於熱能時交聯的交聯部分。暴露於熱能時交聯的這種類型的交聯部分包括選自-OH、-OR、-ROH、-R(OH) 2、-NR 2、-NRH、-C=CH、-C≡CH、-COOH、-RCOOH、-SH及-RSH的官能團,其中R為其中R=-C nH 2n、-O-C nH 2n-;X=環氧樹脂、-OR、-NH 2、-NR aH,其中R a為-C nH 2n+1且n=1-4。在一些實施例中,交聯單體為暴露於光能時交聯的交聯部分,亦即感光性的交聯部分。感光性交聯部分的實例包括二烯(-C=CH-CH=C)、-C=CH、-C≡CH或-N=N=N。此外,所屬技術領域具有通常知識者將認識到的,以上對可聚合以形成用於底部層204之聚合物之各種單體的描述旨在為說明性的而非旨在以任何方式限制實施例。確切言之,亦可使用執行本文所述單體之所需功能的任何適合單體或單體組合。所有此類單體完全旨在被包括在本文所述實施例的範疇內。
在一實施例中,表面能改質單體在聚合物內的裝載可介於約1%與約100%之間,諸如約90%。此外,發色團單體在聚合物內的裝載可介於約0%與約100%之間(諸如當表面能改質單體亦可充當發色團單體時),諸如約5%,且交聯單體的裝載可介於約0%與約100%之間(諸如當表面能改質單體亦可充當交聯單體時),諸如約5%。然而,這些描述旨在為說明性的,此係因為可使用聚合物的各種單體之間的任何適合裝載,且所有這些裝載完全旨在被包括在本文所述實施例的範疇內。
催化劑可為用於產生化學活性物種且引發聚合物樹脂的聚合物之間的交聯反應的化合物,且可為例如熱酸產生劑、光酸產生劑或光鹼產生劑,這些的適合組合或其類似者。在催化劑為熱酸產生劑的實施例中,當足夠的熱量施加至底部層204時,催化劑將產生酸。熱酸產生劑的特定實例包括丁磺酸、三氟甲磺酸、奈米氟丁烷磺酸、甲苯磺酸硝基芐基酯,諸如甲苯磺酸2-硝基芐基酯、甲苯磺酸2,4-二硝基芐基酯、甲苯磺酸2,6-二硝基芐基酯、甲苯磺酸4-硝基芐基酯;苯磺酸酯,諸如2-三氟甲基-6-硝基芐基4-氯苯磺酸酯、2-三氟甲基-6-硝基芐基4-硝基苯磺酸酯;酚磺酸酯,諸如苯基4-甲氧基苯磺酸酯;有機酸的烷基銨鹽,諸如10-樟腦磺酸的三乙基銨鹽、這些的組合或其類似者。
在催化劑為光酸產生劑的實施例中,催化劑可包括鹵化三嗪、鎓鹽、重氮鹽、芳族重氮鹽、鏻鹽、锍鹽、碘鎓鹽、醯亞胺磺酸鹽、肟磺酸鹽、重氮二碸、二碸、鄰-硝基芐基磺酸鹽、磺化酯、鹵代磺醯氧基二甲醯亞胺、重氮二碸、α-氰氧基胺磺酸鹽、亞胺磺酸鹽、酮重氮碸、磺醯基重氮酯、1,2-二(芳基磺醯基)肼、硝基芐基酯及s-三嗪衍生物、這些的合適組合及其類似者。
可使用之光酸產生劑的特定實例包括α-(三氟甲基磺醯氧基)-雙環[2.2.1]庚-5-烯-2,3-二甲醯亞胺(MDT)、N-羥基-萘二甲醯亞胺(DDSN)、甲苯磺酸安息香酯、三級丁基苯基-α-(對甲苯磺醯氧基)-乙酸鹽及三級丁基-α-(對甲苯磺醯氧基)-乙酸鹽、三芳基锍及二芳基碘鎓六氟銻酸鹽、六氟砷酸鹽、三氟甲磺酸鹽、全氟辛烷磺酸碘鎓、N-樟腦磺醯苯磺醯鄰苯二甲醯亞胺、N-五氟苯基磺醯氧基萘二甲醯亞胺、離子型碘鎓磺酸鹽,諸如二芳基碘鎓(烷基或芳基)磺酸鹽及雙-(二三級丁基苯基)鎓基磺酸鹽,全氟烷烴磺酸鹽,諸如全氟戊烷磺酸鹽、全氟辛烷磺酸鹽、全氟甲芳基苯磺酸鹽,諸如三氟甲磺酸三苯基锍或雙(三級丁基苯基)碘三氟甲磺酸鹽;連苯三酚衍生物(例如,連苯三酚的三甲磺酸鹽)、羥基醯亞胺的三氟甲磺酸酯、α’-雙-磺醯基-重氮甲烷、硝基取代的苯甲醇的磺酸酯、萘醌-4-二疊氮化物、烷基二碸及其類似者。
在其他實施例中,催化劑可為光鹼產生劑。在此類實施例中,光鹼產生劑可包含二硫胺甲酸四級銨、胺酮、含肟-胺基甲酸酯的分子,諸如二二苯甲酮肟六亞甲基二胺基甲酸酯、四有機硼酸銨鹽及N-(2-硝基芐氧羰基)環胺、這些的合適組合或其類似者。
在特定實施例中,催化劑可利用胺,此胺將抑制諸如酸官能團的酸度。因此,酸將藉由移除胺產生,諸如藉由在例如烘烤製程中蒸發胺。在此實施例中,催化劑可具有以下結構:
Figure 02_image029
除了上述聚合物樹脂及任選催化劑之外,底部層204亦包括下述基礎交聯劑(第15圖及第16圖中的207)及改質交聯劑(第15圖及第16圖中的209)。如下文更詳細地描述的,底部層204之基礎交聯劑與聚合物樹脂的聚合物205反應以使聚合物樹脂的聚合物205交聯。這種交聯回應於聚合物樹脂的聚合物及交聯劑暴露於足夠的熱能以促進使用本文所述的交聯劑來使聚合物樹脂的聚合物交聯而發生。這種交聯有助於增加底部層材料的交聯密度。用於回應熱能使聚合物樹脂的聚合物交聯的合適交聯劑包括交聯部分,諸如環氧基團(ROR)、酯(R-COOR)基團、醚(R-OR)、甲苯磺酸酯基團(R-OT)、-C=CH、-C≡C、SH或酸酐,其中(R可為具有1至10個碳原子的烷基、芐基、二烯、烯丙基、苯基或雜環)。此外,使用交聯劑使聚合物樹脂的聚合物交聯可藉由使用回應於暴露於光能而與聚合物反應的感光性交聯劑使聚合物樹脂的聚合物交聯來達成。適合的感光性交聯劑可包括一或多種感光性交聯部分,諸如-CH=CH 2、-CH=CH-CH=CH 2、丙烯酸酯(-CO-CH=CH 2)、環狀亞烷基及其類似者。這種交聯有助於增加底部層材料的交聯密度。此外,感光性交聯劑亦可相互交聯,以進一步增加底部層204的材料密度。在一些實施例中,適合的交聯劑包括來自前兩句中描述之每種類型之部分的部分。
在一個實施例中,基礎交聯劑可為三聚氰胺基試劑、尿素基試劑、乙烯尿素基試劑、丙烯尿素基試劑、甘脲基試劑、具有羥基的脂族環烴、羥烷基,或這些的組合、脂族環烴的含氧衍生物、甘脲化合物、醚化胺基樹脂、聚醚多元醇、聚縮水甘油醚、乙烯基醚、三嗪、烯烴及炔烴、這些的組合及其類似者。
可用作基礎交聯劑之材料的特定實例包括三聚氰胺,乙醯胍胺,苯胍胺,尿素,亞乙基脲或具有甲醛的甘脲,具有甲醛及低級醇之組合的甘脲,六甲氧基甲基三聚氰胺,雙甲氧基甲基脲、雙甲氧基甲基雙甲氧基亞乙基脲,四甲氧基甲基甘脲及四丁氧基甲基甘脲,單、二、三或四羥甲基化甘脲,單、二、三及/或四甲氧基甲基化甘脲,單、二、三及/或四乙氧基甲基化甘脲,單、二、三及/或四丙氧基甲基化甘脲,以及單、二、三及/或四丁氧基甲基化甘脲,2,3-二羥基-5-羥甲基降莰烷,2-羥基-5,6-雙(羥甲基)降莰烷,環己烷二甲醇,3,4,8(或9)-三羥基三環癸烷,2-甲基-2-金剛烷醇,1,4-二噁烷-2,3-二醇及1,3,5-三羥基環己烷,四甲氧基甲基甘脲,甲基丙基四甲氧基甲基乙二醇脲,及甲基苯基四甲氧基甲基甘脲,2,6-雙(羥甲基)對甲酚,N-甲氧基甲基-或N-丁氧基甲基-三聚氰胺。此外,還可經由甲醛或甲醛及低級醇與三聚氰胺、乙醯胍胺、苯胍胺、尿素、亞乙基脲及甘脲等含胺基的化合物反應,將胺基的氫原子置換為羥甲基或低級烷氧基甲基,實例為六甲氧基甲基三聚氰胺、雙甲氧基甲基脲、雙甲氧基甲基雙甲氧基亞乙基脲、四甲氧基甲基甘脲及四丁氧基甲基甘脲、甲基丙烯酸3-氯-2-羥丙酯及甲基丙烯酸的共聚物、甲基丙烯酸3-氯-2-羥丙酯及甲基丙烯酸環己酯的共聚物及甲基丙烯酸的共聚物、3-氯-2-羥丙基甲基丙烯酸酯及甲基丙烯酸芐酯及甲基丙烯酸、雙酚A-二(3-氯-2-羥丙基)醚、苯酚酚醛聚合物的聚(3-氯-2-羥丙基)醚、新戊四醇四(3-氯-2-羥丙基)醚、三羥甲基甲烷三(3-氯-2-羥丙基)醚苯酚、雙酚A-二(3-乙醯氧基-2-羥丙基)醚、酚醛清漆聚合物的聚(3-乙醯氧基-2-羥丙基)醚、新戊四醇四(3-乙醯氧基-2-羥丙基)醚、新戊四醇聚(3-氯乙醯氧基-2-羥丙基)醚、三羥甲基甲烷三(3-乙醯氧基-2-羥丙基)醚、這些的組合或其類似者。
根據本揭示的實施例,可用作基礎交聯劑之材料的其他特定實例包括基於經R-X官能化之丙烯酸酯單體的丙烯酸酯聚合物(其中R=-C nH 2n、-O-C nH 2n-;X=環氧樹脂、-OR、-NH 2、-NR aH,其中R a為-C nH 2n+1且n=1-4);基於經R-X官能化之4-羥基苯乙烯單體的聚(4-羥基苯乙烯)聚合物(其中R=-C nH 2n、-O-C nH 2n-;X=環氧樹脂、-OR、-NH 2、-NR aH,其中R a為-C nH 2n+1及n=1-4),具有經R-X官能化之4-羥基苯乙烯單體的酚醛清漆型聚合物(其中R=-C nH 2n、-O-C nH 2n-,X=環氧樹脂、-OR、-NH 2、-NR aH,其中R a為-C nH 2n+1且n=1-4);及其共聚物或摻和物。
根據本揭示的實施例,底部層204的材料除了基礎交聯劑(第15圖及第16圖中的207)之外,進一步包括一或多種改質交聯劑(第15圖及第16圖中的209),此改質交聯劑已被改質以改變其對底部層204中之其他部件的親合力或用於底部層204中之其他部件的親合力。這種改質交聯劑與上文所述的交聯劑不同,後者在本文中被稱為「基礎」交聯劑。改質交聯劑可包括上述這些基礎交聯劑,如下所述改質。
根據本揭示的實施例,藉由用其他官能團取代基礎交聯劑的某些官能團來對基礎交聯劑進行改質,與基礎交聯劑對底部層204中的其他材料(例如,聚合物或基礎交聯劑)具有的吸引力相比,這使得改質交聯劑對/與底部層204中的其他材料具有較低的吸引力(氫鍵吸引力、凡得瓦吸引力(van der Waals attraction)、偶極吸引力及其類似者)。這種降低的吸引力允許改質交聯劑在未交聯底部層內上升。例如,改質交聯劑包括任何上述基礎交聯劑,此些基礎交聯劑已被改質以用官能團取代一或多個官能團,與基礎交聯劑對其他材料展現出的吸引力相比,這使得改質交聯劑對底部層中的其他材料具有較低的吸引力。例如,基礎交聯劑的官能團可被含氟官能團取代。含氟官能團的實例包括滿足以下化學式的官能團,-(CH xF y) nCH zF m,其中n為1至10,x+y=2及z+m=3。例如,根據本揭示的實施例,改質交聯劑包括10:1至1:10範圍內的含氟單體單元與其他單體單元的比例。當含氟單體單元與其他單體單元的比例大於約10:1時,改質交聯劑對底部層中其他材料之吸引力的降低可能大於期望。當含氟單體單元與其他單體單元的比例小於約1:10時,改質交聯劑對底部層中其他材料之吸引力的降低可能沒有期望的大。含氟官能團為導致改質交聯劑對底部層其他材料之吸引力低於基礎交聯劑對底部層其他材料之吸引力之官能團的實例。與所述含氟官能團類似之對底部層中的其他材料表現出較低吸引力的其他官能團(與基礎交聯劑的官能團相比)亦在本揭示實施例的範疇內。
根據本揭示的實施例使用之改質交聯劑的特定實例包括具有丙烯酸酯單體單元之分子量為約200至3000的丙烯酸酯低聚物/聚合物,此些丙烯酸酯單體單元用-(O-C nF 2n+1)官能化,其中n=1~10,或用-(O-C nF 2n+1)及一或多個選自環氧基、-OR、-NH 2、-NRH(其中R為-C nH 2n+1且n=1-4)的官能團部分官能化,其中-(O-C nF 2n+1)與環氧樹脂、-OR、-NH 2、-NRH(其中R為-C nH 2n+1且n=1-4)的比率在約0.1至10之間。根據本揭示的實施例使用之改質交聯劑的其他實例包括酚醛清漆型聚合物、聚(4-羥基苯乙烯)基聚合物、或酚醛清漆/聚(4-羥基苯乙烯)共聚物以及酚醛清漆型聚合物及聚(4-羥基苯乙烯)基聚合物的摻和物。
此外,所屬技術領域具有通常知識者將認識到的,上面列出之關於可用於聚合物樹脂中之化合物(包括聚合物、交聯劑及催化劑)的結構及基團的精確實例為說明性的且不旨在列出可能利用之每個可能的結構或基團。可在聚合物樹脂中使用之化合物(包括聚合物、交聯劑及催化劑)之任何適合的替代結構及任何適合的替代基團,以及所有這種結構及基團完全旨在被包括在本文所述實施例的範疇內。
如上所述,已觀察到用於蝕刻雙層或三層抗蝕結構下面之層的材料(例如,金屬及/或基板層)可滲入底部層,導致底部層膨脹。底部層的膨脹將應力引入底部層或與底部層接觸的材料中。這種應力可導致底部層及與底部層接觸之材料層的分層或變形。這種不需要的分層或變形對使用底部層圖案化之特徵的再現性及/或臨界尺寸產生負面影響。根據本文所述的實施例,利用根據本揭示之實施例的改質交聯劑用於減輕或補救這種不需要的膨脹及這種膨脹的伴隨不利影響,從而提高在微影圖案化製程期間使用之底部層的品質。
不受理論的束縛,據信提供根據本揭示之實施例的改質交聯劑導致改質交聯劑在旋塗底部層內上升,使得底部層內改質交聯劑的濃度梯度自底部層的下部至底部層的上部增加。接近底部層上表面之改質交聯劑的這種增加濃度被認為增加了底部層204之上表面處的交聯密度,底部層204與用於蝕刻底部層204下層之特徵的材料或用於半導體處理的其他材料接觸。這種增加的交聯密度被認為抑制了半導體加工中使用的這種蝕刻劑或其他材料滲透至底部層204中,從而減少了底部層204在暴露於半導體加工中使用的這種蝕刻劑或其他材料時不需要的膨脹。
第1A圖示出根據本揭示實施例之一些態樣,用於圖案化工件200之方法100的流程圖。方法100僅為實例,且並不旨在將本揭示實施例限制在技術方案中明確記載的內容之外。可在方法100之前、期間及之後提供額外的操作,且可以不同於第1A圖所示的順序替換、消除或完成所述的一些操作。參照第2-7圖所示之工件200的橫截面圖描述方法100的中間步驟。為了清晰及易於解釋,圖中的一些元素已經簡化。
參考第1A圖的步驟102及第2圖,提供(或接收)包括基板202的工件200用於圖案化。基板202可包括元素(單一元素)半導體,諸如矽及/或鍺;化合物半導體,諸如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,諸如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;非半導體材料,諸如鈉鈣玻璃、熔融矽石、熔融石英及/或氟化鈣(CaF 2);及/或其組合。
基板202可為具有均勻成分的單層材料;或者,基板202可包括具有適合於IC元件製造之相似或不同成分的多個材料層。在一個實例中,基板202可為具有形成在氧化矽層上之半導體矽層的絕緣體上矽(silicon-on-insulator, OI)基板。在諸如第2圖中描繪之實例的其他實例中,基板202包括導電層203或特徵(例如金屬層或特徵)、半導體層、介電層、其他層及/或其組合。
基板202可包括形成在其上的各種電路特徵,包括例如場效電晶體(field effect transistor, FET)、金氧半導體場效電晶體(metal-oxide semiconductor field effect transistor, MOSFET)、CMOS電晶體、高壓電晶體、高頻電晶體、雙極接面電晶體、二極體、 電阻器、電容器、電感器、變容器、其他適合元件及/或其組合。
在基板202包括FET的一些實施例中,在基板202上形成各種摻雜區,諸如源極/汲極區。視設計要求而定,摻雜區可摻雜p型摻雜劑,諸如磷或砷,及/或n型摻雜劑,諸如硼或BF 2。摻雜區可為平面的或非平面的(例如,在鰭狀FET元件中)且可直接形成在基板202上、P阱結構中、N阱結構中、雙阱結構中,或使用凸起結構。摻雜區可由摻雜劑原子植入、原位摻雜磊晶生長及/或其他適合技術形成。
參考第1A圖的步驟104及第2圖,底部層204(亦稱為底層)形成在基板202上,例如,在基板202之上或當導電層203存在於基板202之中或之上時的導電層203之上。在許多實施例中,底部層204為底部抗反射塗層(BARC),其成分經選擇以最小化在形成在底部層204上之隨後形成的光阻層(例如,第3圖中的光阻層214)曝光期間實施之光源的反射率。底部層204可藉由將包括上述交聯劑的BARC旋塗至基板202的頂表面(或多層基板202之最頂層材料層的頂表面)上、烘烤BARC或將BARC暴露於光來形成,如下文更詳細地描述的。
如上所述,基板202可為非半導體材料。例如,基板202可為金屬氧化物,諸如氧化鈦、氧化鉿、氧化釔、氧化鑭、氧化鍶鈦、氧化鉿矽、氧氮化鉿矽、氧化鉿鉭、氧化鉿鈦、氧氮化鉿鋁、鉿鋯氧化物、鈦矽氮化物或氧化鋁,或金屬氮化物,諸如氮化鈦、氧氮化鈦矽、氮化鉭、氮化鎢、氮化鉬,根據本文所述實施例將在其中形成緊密間隔的特徵或開口。可替代地利用這些及所有其他適合的基板材料,且所有這些材料完全旨在被包括在所述實施例的範疇內。底部層204經施加在基板202上且可填充基板特徵之間的區域以準備施加第3圖中的光阻層214。在一實施例中,底部層204為底部抗反射塗層,顧名思義,其作用為防止在光阻層214暴露期間能量(例如,光)不受控制地及不希望地反射回至上層光阻層214,從而防止反射光在光阻層214的不需要區域中引起反應。此外,底部層204可用於在基板202及基板202之上或之中的特徵上提供平坦表面,有助於減少以一定角度撞擊之能量的負面影響。
然而,如所屬技術領域具有通常知識者將認識到的,作為底部層204的BARC僅為一個說明性實施例且並不旨在將底部層204限制為BARC。確切言之,底部層204的材料可用於其他功能,諸如用於間隙填充、減輕化學機械拋光製程、蝕刻製程、植入製程之影響的材料,或者甚至作為光阻劑。底部層204的材料可用於任何適合的目的且所有這些目的完全旨在被包括在實施例的範疇內。
根據本揭示的一實施例,底部層204之聚合物(例如,BARC聚合物)與底部層204中之交聯劑的交聯藉由在約100℃與300℃之間的溫度下烘烤底部層來促進。在一些實施例中,底部層的烘烤進行30秒至240秒。根據本揭示的實施例不限於在上述溫度範圍內或在上述時間內烘烤底部層。例如,高於或低於上述範圍的溫度可用於使用交聯劑使底部層204中的聚合物交聯。此外,底部層的烘烤可進行高於或低於上述範圍的時間段,以使用交聯劑使底部層204中的聚合物交聯。
根據本揭示的另一實施例,使用底部層204中之交聯劑使底部層之聚合物交聯由多步烘烤製程來促進。多步驟烘烤製程的實例包括在第一烘烤步驟中在100℃至180℃之間的溫度範圍內烘烤底部層約30秒至180秒之間的時間段,且隨後在第二烘烤步驟中在180℃至300℃的溫度範圍內烘烤底部層30秒至180秒的時間段。根據本揭示的實施例不限於如上所述的多步驟烘烤製程。例如,第一烘烤步驟的溫度及時間段可能落在上述範圍之外。此外,第二烘烤步驟的溫度及時間段可能落在上述範圍之外。
根據採用熱烘烤來促進使用底部層204之交聯劑使底部層204之聚合物交聯之本揭示的實施例,底部層204之聚合物與第一交聯劑207(亦稱為基礎交聯劑)及第二交聯劑209(亦稱為改質交聯劑)之組合量的重量比在4:1至1:4之間。當底部層204之聚合物與第一交聯劑207及第二交聯劑209之組合量的重量比大於約4:1時,底部層聚合物可能發生過量交聯。當底部層204之聚合物與第一交聯劑207及第二交聯劑209之組合量的重量比小於約1:4時,底部層聚合物可能發生交聯不足。在一些實施例中,第二交聯劑209佔底部層204中第一交聯劑207及第二交聯劑209之組合量的約1至80重量%。
根據本揭示的其他實施例,底部層204之聚合物與第一交聯劑207及第二交聯劑209的交聯,以及底部層204的聚合物之間的交聯,以及個別交聯劑207及209之間的交聯藉由將底部層204暴露於電磁能(例如,光)來促進。用於促進這種交聯之光的實例包括波長在10至1000 nm範圍內的光。曝光進行足以達成所需交聯水準的時間段。例如,當光源具有1至500毫焦耳的強度時,曝光可進行約1至600秒之間的時間段。用於這種曝光的光可為單一波長的光、具有多個波長的光或寬帶光。根據這些實施例,底部層204之聚合物與第一交聯劑207及第二交聯劑209之組合量的重量比在4:1至1:4之間。在一些實施例中,第二交聯劑209佔第一交聯劑207及第二交聯劑209之組合量的約1至80重量%。此外,根據利用光能促進第一交聯劑207、第二交聯劑209與底部層聚合物205之間的交聯的本揭示的實施例,熱活化第一交聯劑207與光活化(感光性)第一交聯劑207的比例在約9:1至2:1之間。根據利用光能促進第一交聯劑207、第二交聯劑209與底部層聚合物205之間的交聯的本揭示的一些實施例,熱活化第二交聯劑209與光活化(感光性)第二交聯劑209的比例在約9:1至2:1之間。當熱活化第二交聯劑209與光活化(感光性)第二交聯劑209的比例大於約9:1時,底部層204的聚合物之間的交聯量及個別交聯劑207與209之間的交聯量可能比期望的少。當熱活化第二交聯劑209與光活化(感光性)第二交聯劑209的比例小於約2:1時,底部層204的聚合物之間的交聯量及個別交聯劑207與209之間的交聯量可能比期望的多。根據本揭示的實施例不限於熱活化交聯劑及光活化交聯劑的前述比例。例如,在一些實施例中,底部層中熱活化交聯劑與光活化交聯劑的比例可能落在上述範圍之外。
當利用光能促進第一交聯劑207、第二交聯劑209與底部層聚合物205之間的交聯時,可利用多個曝光步驟完成曝光。例如,在一個實施例中,第一曝光發生在底部層204形成之後且在光阻層214或硬遮罩層206形成之前,且第二曝光發生在底部層204圖案化之後,在導電層203或基板202蝕刻之前。根據本揭示的實施例不限於這種多步驟曝光製程。例如,根據本揭示的一些實施例僅利用上述曝光步驟中之一者。當利用多個曝光步驟時,每個步驟利用之光的波長可相同或不同。此外,每個個別曝光步驟的時間長度可相同或不同。例如,當利用兩步曝光製程時,當光源具有約1至500 mJ 的強度,第一曝光步驟的曝光及第二步驟的曝光可進行約1至約600秒的時間段。
參考第1A圖中的步驟106及第3圖,在底部層204上形成光阻層214。光阻層214可包括任何微影敏感的抗蝕材料,且在許多實施例中,光阻層214包括對輻射源(例如,UV光、深紫外光(deep ultraviolet, DUV)輻射及/或EUV輻射)敏感的光阻材料。然而,本揭示實施例的原理同樣適用於電子束抗蝕材料及其他直接寫入的抗蝕材料。光阻層214可為正性或負性抗蝕材料且可具有多層結構。此外,光阻層214可用化學增幅(chemical amplification, CA)抗蝕材料來實現。在一個實施例中,正性CA抗蝕材料包括在聚合物暴露於酸性部分之後變得可溶於顯影劑的聚合物材料(未圖示)。或者,負性CA抗蝕材料包括在聚合物暴露於酸性部分之後變得不溶於顯影劑的聚合物材料(未圖示)。
參考第1A圖的步驟108及第4圖,光阻層214暴露於產生輻射216的輻射源。在一些實施例中,輻射源產生具有約365 nm波長的輻射、諸如KrF準分子雷射(波長約248 nm)或 ArF準分子雷射(波長約193 nm)的DUV輻射、EUV輻射(波長在約1 nm與約100 nm之間)、X射線、電子束、離子束及/或其他適合輻射。步驟108的曝光製程可在空氣中、液體中(浸潤式微影)或真空中(例如,對於EUV微影及電子束微影)進行。在一例示性實施例中,步驟108的曝光製程使用包括圖案218的光罩220來實施微影技術。光罩220可為透射遮罩或反射遮罩,後者可進一步實施解析度增強技術,諸如相移、偏軸照明(off-axis illumination, OAI)及/或光學鄰近修正(optical proximity correction, OPC)。光罩使光阻層214的部分暴露於輻射216而一些部分不暴露於輻射216。如第4圖所示,光阻層214的曝光區域222發生化學變化,而未曝光區域230的化學特性實質上保持不變。這些化學變化會影響顯影液中的溶解度,如下所述。
參考第1A圖的步驟108以及第4圖及第5圖,對工件200進行顯影製程。步驟108的顯影製程溶解或以其他方式移除正性抗蝕顯影製程中的曝光區域222或負性抗蝕顯影製程中的未曝光區域230。步驟108的顯影製程可自曝光後烘烤製程開始。在任選的曝光後烘烤製程之後,將顯影劑施加至工件200,從而移除光阻層214的特定區域(曝光區域222或未曝光區域230)。適合的正性顯影劑包括四甲基氫氧化銨(tetramethyl ammonium hydroxide, TMAH)、KOH、NaOH及/或其他適合溶劑,且適合的負性顯影劑包括諸如乙酸正丁酯、乙醇、己烷、苯、甲苯及/或其他適合的溶劑。在所描繪的實施例中,顯影劑為正性鹼性溶劑,諸如TMAH。在許多實施例中,在步驟108的顯影製程之後對工件200執行曝光後烘烤以進一步穩定光阻層214的圖案。
參考第1A圖的步驟110及第6圖,在步驟110的蝕刻製程中,使用圖案化的光阻層214作為蝕刻遮罩,選擇性地去除底部層204(例如,BARC層)的部分。步驟110處的蝕刻/圖案化製程展示了對下層基板202上之底部層204及/或基板上之導電層203的蝕刻選擇性。在第7圖所示的實施例中,在蝕刻基板202或基板202上的導電層203之前,由任何適合的方法自工件200移除圖案化光阻層214。在其他實施例中,在蝕刻基板202或基板202上的導電層203之前不移除圖案化光阻層214。
參考第1A圖的步驟112及第7圖,使用圖案化底部層204作為遮罩及蝕刻劑211處理包括導電層203的基板202。如上所述,若不移除圖案化光阻層214,則經由圖案化光阻層214及圖案化底部層204對包括導電層203的基板202進行蝕刻。導電層203可包括諸如TiAlC、TiAl、TaAlC、TaAl、鎢、鈷、釕、銥、鉬、銅、鋁或其組合的金屬。在第7圖所示的例示性實施例中,由使用圖案化底部層204作為蝕刻遮罩的蝕刻移除導電層203的部分。在一些實施例中,使用任何適合的濕蝕刻化學品及製程蝕刻導電層203。例如,在一些實施例中,使用酸性或鹼性溶液濕蝕刻金屬層。濕蝕刻溶液可含有氧化劑,亦可不含有氧化劑。這種濕蝕刻溶液的實例包括已知為SC-1、SC-2、過氧化氫、鹽酸、氨水及其類似者的那些。本揭示的實施例不限於濕蝕刻導電層203,例如,可使用乾蝕刻製程、RIE製程或其他適合的蝕刻製程或其組合來蝕刻導電層203。
應當理解,本揭示實施例的概念適用於在基板202上進行的任何製造製程。在各種實例中,經處理的基板202用於製造閘極堆疊、製造互連結構、藉由蝕刻以暴露鰭或藉由磊晶生長鰭材料來形成非平面元件,及/或其他適合的應用。處理基板202之後,隨後使用任何適合的方法移除底部層204。
隨後可提供工件200用於額外的製造製程。例如,工件200可用於製造積體電路晶片、單晶片系統(system-on-a-chip, SOC)及/或其一部分,且因此隨後的製造製程可形成各種被動及主動微電子元件,諸如電阻器、電容器、電感器、二極體、金氧半導體場效電晶體(MOSFET)、互補式金氧半導體(complementary metal-oxide semiconductor, CMOS)電晶體、雙極接面電晶體(BJT)、橫向擴散MOS(laterally diffused MOS, LDMOS) 電晶體、高功率MOS電晶體、其他類型電晶體及/或其他電路元件。
根據本揭示的實施例,如上所述在底部層204的上部204U中增加的交聯密度使得底部層204的上部204U更不易被用於移除導電層203或基板202之部分的濕蝕刻劑滲透。減少或防止濕蝕刻劑滲入底部層204,亦即滲入底部層204的上表面,減少或防止了底部層204由於濕蝕刻劑的吸收而膨脹。減少底部層204的膨脹減少了底部層及/或與底部層接觸之材料中的應力,如上所述,這會導致底部層的分層或變形以及與底部層接觸之材料層的分層或變形。根據本文描述的實施例,以本文描述的方式修改底部層用於減輕或補救這種不需要的膨脹及這種膨脹的伴隨不利影響,從而提高在微影圖案化製程期間使用之底部層的品質。
第1B圖為根據本揭示實施例之方法118之另一個實施例的流程圖。第1A圖中所示的方法100利用包括底部層及光阻層的雙層光阻結構。第1B圖的方法118與第1A圖的方法100的不同之處在於其利用包括底部層、硬遮罩及光阻層的三層光阻結構。關於第1A圖之步驟102、104及112的描述適用於如下所述的步驟120、122及134。
參考第1B圖的步驟120及第2圖,提供包括基板202的工件200用於圖案化。上文關於第1A圖及步驟102提供基板的描述同樣適用於步驟120,且在此不再贅述。
參考第1B圖的步驟122及第2圖,在基板202上形成底部層204(亦稱為底層),例如在基板202上或在導電層203上(若存在)。上文關於第1A圖及在基板上形成有機底層之步驟104的描述同樣適用於第1B圖的步驟122,且在此不再贅述。
參考第1B圖的步驟124及第8圖,在底部層204上形成硬遮罩層206。硬遮罩層206可為單層結構或可包括多個層,每個層可包括介電質、金屬、金屬化合物及/或其他適合的材料。在許多實施例中,硬遮罩層206包括介電材料,諸如半導體氧化物、半導體氮化物、半導體氮氧化物及/或半導體碳化物材料。在一例示性實施例中,硬遮罩層206包括碳化矽、氮化矽、碳氧化矽、氮氧化矽或其他適合的介電材料。硬遮罩層206的成分經選擇為使得硬遮罩層206可被選擇性地蝕刻而實質上不蝕刻底部層204。換言之,硬遮罩層206及底部層204包括對給定蝕刻劑具有不同蝕刻敏感性的材料。硬遮罩層206可由任何適合的製程形成,包括化學氣相沉積(chemical vapor deposition, CVD)、低壓CVD(low-pressure CVD, LPCVD)、電漿增強CVD(plasma-enhanced CVD, PECVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition, ALD)、旋塗及/或其他適合的技術,且可形成為任何適合的厚度。
參考第1B圖中的步驟126及第9圖,在硬遮罩層206上形成光阻層214。光阻層214可包括任何微影敏感的抗蝕材料,且在許多實施例中,光阻層214包括對輻射源(例如,UV光、深紫外光(DUV)輻射及/或EUV輻射,如第10圖所描繪)敏感的光阻材料。然而,本揭示實施例的原理同樣適用於電子束抗蝕材料及其他直接寫入的抗蝕材料。光阻層214可為正性或負性抗蝕材料且可具有多層結構。此外,光阻層214可用化學增幅(CA)抗蝕材料來實現。在一個實施例中,正性CA抗蝕材料包括在聚合物暴露於酸性部分之後變得可溶於顯影劑的聚合物材料(未圖示)。或者,負性CA抗蝕材料包括在聚合物暴露於酸性部分之後變得不溶於顯影劑的聚合物材料(未圖示)。
光阻層214可由任何適合的技術施加,且在一例示性實施例中,使用旋塗(亦即,旋轉塗佈)技術以液體形式施加光阻層214。旋塗製程可使用離心力將液體形式的光阻層214以均勻的厚度分散在下層的表面上。為了便於施加,光阻層214可包括溶劑,此溶劑移除時使光阻層214呈固體或半固體形式(例如,膜)。溶劑可為以下中的一或多者:丙二醇甲醚乙酸酯、丙二醇單甲醚、γ-丁內酯、乳酸乙酯、環己酮、乙酸正丁酯、乙酮、二甲基甲醯胺、醇(例如,異丙醇或乙醇),或其他適合的溶劑。溶劑可作為旋塗的一部分、在沉降過程中及/或在應用後/曝光前烘烤過程中被驅除。曝光前烘烤製程可由任何適合的設備(例如,加熱板)在適合光阻層214及所用溶劑之特定組合物的任何溫度下實施。
參考第1B圖的步驟128及第10圖,光阻層214暴露於輻射源。在許多實施例中,輻射源具有約365 nm的波長216、諸如KrF準分子雷射(波長約248 nm)或ArF準分子雷射(波長約193 nm)的DUV輻射、EUV輻射(波長在約1 nm與約100 nm之間)、X射線、電子束、離子束及/或其他適合輻射。步驟128的曝光製程可在空氣中、液體中(浸潤式微影)或真空中(例如,對於EUV微影及電子束微影)進行。在一例示性實施例中,步驟128的曝光製程使用包括圖案218的光罩220來實施微影技術。光罩220可為透射遮罩或反射遮罩,後者可進一步實施解析度增強技術,諸如相移、偏軸照明(OAI)及/或光學鄰近修正(OPC)。在替代實施例中,具有波長的輻射源經直接調制為具有預定圖案,諸如IC佈局,而不使用光罩220(諸如使用數字圖案產生器或直接寫入模式)。在例示性實施例中,具有波長的輻射源為EUV輻射且步驟128的曝光製程在EUV微影系統中進行。相應地,可使用反射光罩來圖案化光阻層214。
繼續參考第1B圖的步驟128及第11圖,對工件200進行顯影製程。顯影製程在正性抗蝕顯影製程的情況下溶解或以其他方式移除曝光區域222或在負性抗蝕顯影製程的情況下溶解或以其他方式移除未曝光區域230。步驟128的顯影製程可自曝光後烘烤製程開始。在任選的曝光後烘烤製程之後,將顯影劑施加至工件200,從而移除光阻層214的特定區域(曝光區域222或未曝光區域230)。適合的正性顯影劑包括四甲基氫氧化銨(TMAH)、KOH、NaOH及/或其他適合溶劑,且適合的負性顯影劑包括諸如乙酸正丁酯、乙醇、己烷、苯、甲苯及/或其他適合的溶劑。在一些實施例中,在步驟128處的顯影製程之後對工件200執行曝光後烘烤以進一步穩定光阻層214的圖案。在步驟128之後得到的具有圖案化光阻層214的結構在第11圖中示出。
參考第1B圖的步驟130及第12圖,光阻層214可用作蝕刻遮罩以選擇性地移除硬遮罩層206的部分。在所描繪的實施例中,步驟130處的蝕刻製程包括蝕刻硬遮罩層206。形成在光阻層214中的圖案218允許選擇性地蝕刻硬遮罩層206的暴露部分。如此,步驟130處的蝕刻製程實質上移除硬遮罩層206的部分,從而展示對底部層204上之硬遮罩層206的蝕刻選擇性。硬遮罩層206的這種蝕刻產生的結構在第12圖中示出。在一個實施例中,在移除底部層204的部分之前,由任何適合的方法自工件200移除圖案化光阻層214。在其他實施例中,在移除底部層204的部分之前,不自工件移除圖案化光阻層214。
如第1B圖的步驟132及第13圖所示,在步驟132處的蝕刻製程中,圖案化光阻層214及圖案化硬遮罩層206一起用作蝕刻遮罩以選擇性地移除底部層204的部分。在步驟132中移除底部層204的部分所產生的結構在第13圖中示出。在一些實施例中,在步驟134中移除導電層203的部分之前,使用任何適合的方法移除光阻層214。在其他實施例中,在步驟134中移除導電層203的部分之前,不移除圖案化光阻層214。
參考第1B圖的步驟134及第14圖,使用任何適合的濕蝕刻化學品及製程蝕刻導電層203。例如,在一些實施例中,使用酸性或鹼性溶液濕蝕刻金屬層。濕蝕刻溶液可含有氧化劑,亦可不含有氧化劑。這種濕蝕刻溶液的實例包括已知為SC-1、SC-2、過氧化氫、鹽酸、氨水及其類似者的那些。本揭示的實施例不限於使用這種溶液濕蝕刻導電層203,例如,可使用乾蝕刻製程、RIE製程或其他適合的蝕刻製程或其組合來蝕刻導電層203。
應當理解,本揭示實施例的概念適用於在基板202上進行的任何製造製程。在各種實例中,經處理的基板202用於製造閘極堆疊、製造互連結構、藉由蝕刻以暴露鰭或藉由磊晶生長鰭材料來形成非平面元件,及/或其他適合的應用。處理基板202之後,隨後使用任何適合的方法移除底部層204及硬遮罩層206。
隨後可提供工件200用於額外的製造製程。例如,工件200可用於製造積體電路晶片、單晶片系統(SOC)及/或其一部分,且因此隨後的製造製程可形成各種被動及主動微電子元件,諸如電阻器、電容器、電感器、二極體、金氧半導體場效電晶體(MOSFET)、互補式金氧半導體(CMOS)電晶體、雙極接面電晶體(BJT)、橫向擴散MOS(LDMOS)電晶體、高功率MOS電晶體、其他類型電晶體及/或其他電路元件。
根據本揭示的實施例,如上所述在底部層204的上部204U中增加的交聯密度使得底部層204的上部204U更不易被用於移除導電層203或基板202之部分的濕蝕刻劑滲透。減少或防止濕蝕刻劑滲入底部層204,亦即滲入底部層204的上表面,減少或防止了底部層204由於濕蝕刻劑的吸收而膨脹。減少底部層204的膨脹減少了底部層及/或與底部層接觸之材料中的應力,如上所述,這會導致底部層的分層或變形以及與底部層接觸之材料層的分層或變形。根據本文描述的實施例,以本文描述的方式修改底部層用於減輕或補救這種不需要的膨脹及這種膨脹的伴隨不利影響,從而提高在微影圖案化製程期間使用之底部層的品質。
根據至少一個實施例,一種形成圖案的方法提供基板。基板可包括導電特徵。在基板上提供底部層,例如BARC層。底部層包括聚合物、第一交聯劑及不同於第一交聯劑的第二交聯劑。第二交聯劑包括一或多個包括氟的官能團。所提供的底部層經熱處理以使聚合物與第一及/或第二交聯劑交聯。在硬遮罩層上形成光阻層之前,在熱處理的底部層上形成硬遮罩。光阻層暴露於輻射源以在光阻層中形成圖案。隨後使光阻層顯影且進行第一蝕刻製程以在底部層及硬遮罩層中而非在基板中形成圖案。進行第二蝕刻步驟以在基板中形成圖案。
根據至少一個實施例,一種形成圖案的方法包括提供包括導電層的基板。在基板上形成底部層,例如BARC層。底部層包括複數個聚合物、複數個第一交聯劑及不同於第一交聯劑的複數個第二交聯劑。底部層的形成包括在底部層表面附近使第二交聯劑濃縮。此方法包括使用此些第一交聯劑及第二交聯劑使底部層的聚合物交聯。在根據圖案將光阻層暴露於輻射源之前,在交聯的底部層上形成光阻層。隨後使圖案化的光阻層顯影。進行第一蝕刻製程以在底部層中而非在導電層中形成圖案。進行第二蝕刻步驟以在導電層中形成圖案。
根據至少一個實施例,一種形成圖案的方法包括提供包括閘極材料層的基板。在閘極材料層上形成底部抗反射塗層。底部抗反射塗層包括選自聚羥基苯乙烯聚合物、酚醛清漆聚合物以及聚羥基苯乙烯及酚醛清漆共聚物的一或多種聚合物。底部抗反射塗層亦包括複數個第一交聯劑及不同於第一交聯劑的複數個第二交聯劑。第二交聯劑包括丙烯酸酯聚合物、酚醛清漆聚合物、聚羥基苯乙烯聚合物、聚羥基苯乙烯及酚醛清漆共聚物,其包括至少一種含氟單體單元。此方法包括在底部抗反射塗層的表面附近使第二交聯劑濃縮。使用此些第一交聯劑及此些第二交聯劑使底部抗反射塗層的聚合物交聯。在根據圖案將光阻層暴露於輻射源之前,在交聯的底部層上形成光阻層。隨後使圖案化的光阻層顯影。進行第一蝕刻製程以在底部層中而非在閘極材料層中形成圖案。進行第二蝕刻步驟以在閘極材料層中形成圖案。
前述概述了幾個實施例的特徵,以便熟習所屬技術領域者可更好地理解本揭示實施例的態樣。熟習所屬技術領域者應理解,其可容易地使用本揭示實施例作為設計或修改其他製程及結構的基礎,以實現與本文介紹的實施例相同的目的及/或達成與本文介紹的實施例相同的優點。熟習所屬技術領域者亦應意識到,此類等效構造並不脫離本揭示實施例的精神及範疇,且在不脫離本揭示實施例的精神及範疇的情況下,其可對本文進行各種改變、替換及變更。
100:方法 102:步驟 104:步驟 106:步驟 108:步驟 110:步驟 112:步驟 118:方法 120:步驟 122:步驟 124:步驟 126:步驟 128:步驟 130:步驟 132:步驟 134:步驟 150:結構 160:結構 200:工件 202:基板 203:導電層 204:底部層 204L:下部 204U:上部 205:聚合物 206:硬遮罩層 207:第一交聯劑 209:第二交聯劑 211:蝕刻劑 214:光阻層 216:輻射 218:圖案 220:光罩 222:曝光區域 230:未曝光區域
當結合附圖閱讀時,自以下詳細描述能夠最好地理解本揭示實施例的態樣。應注意,根據工業中的標準方法,各種特徵並未按比例繪製。事實上,為了討論的清楚起見,可任意增加或減少各種特徵的尺寸。 第1A圖及第1B圖為根據本揭示之實施例之方法的流程圖。 第2圖至第7圖為根據本揭示實施例之各個態樣的處於各個製造階段之IC元件之各個實施例的視圖。 第8圖至第14圖為根據本揭示實施例之各個態樣的處於各個製造階段之IC元件之各個實施例的視圖。 第15圖及第16圖為根據本揭示實施例之各個態樣的處於特定製造階段之IC元件之各個實施例的視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
160:結構
202:基板
203:導電層
204:底部層
204L:下部
204U:上部
205:聚合物
207:第一交聯劑
209:第二交聯劑

Claims (20)

  1. 一種形成圖案的方法,包含: 提供一基板; 在該基板上形成一底部層,其中該底部層包括一聚合物、一第一交聯劑及不同於該第一交聯劑的一第二交聯劑,該第二交聯劑包括一或多個官能團,該一或多個官能團包括氟; 熱處理該底部層; 在熱處理後的該底部層上形成一硬遮罩層; 在該硬遮罩層上形成一光阻層; 根據一圖案將該光阻層暴露於一輻射源; 使該光阻層顯影; 進行一第一刻蝕製程,以在該底部層及該硬遮罩層中而非在該基板中形成該圖案;以及 進行一第二蝕刻製程,以在該基板中形成該圖案。
  2. 如請求項1所述的方法,其中形成該底部層包括形成一層一BARC材料。
  3. 如請求項1所述的方法,其中提供該基板包括提供一具有傳導性的基板。
  4. 如請求項1所述的方法,其中該第二交聯劑包含滿足下式中之一者的一含氟基團: -(CH xF y) nCH zF m,其中n為1至10,x+y=2及z+m=3;以及 -(O-C nF 2n+1),其中n=1至10。
  5. 如請求項4所述的方法,其中該底部層包括選自一丙烯酸酯聚合物、一酚醛清漆聚合物及一羥基苯乙烯聚合物的至少一種聚合物。
  6. 如請求項1所述的方法,其中形成該底部層包括在該底部層與該硬遮罩層之間的一介面附近使該第二交聯劑濃縮。
  7. 一種形成圖案的方法,包含: 提供包括一導電層的一基板; 在該基板上形成一底部層,其中該底部層包括複數個聚合物、複數個第一交聯劑及不同於該複數個第一交聯劑的複數個第二交聯劑,該形成該底部層包括在該底部層的一表面附近使該複數個第二交聯劑濃縮; 使用該複數個第一交聯劑及該複數個第二交聯劑使該底部層的該複數個聚合物交聯; 在該底部層上形成一光阻層; 根據一圖案將該光阻層暴露於一輻射源; 使該光阻層顯影; 進行一第一蝕刻製程,以在該底部層中而非在該導電層中形成該圖案;以及 進行一第二蝕刻製程,以在該導電層中形成該圖案。
  8. 如請求項7所述的方法,進一步包含使該底部層的該複數個聚合物彼此直接交聯、使該複數個第一交聯劑彼此直接交聯及使該複數個第二交聯劑彼此直接交聯中的至少一者。
  9. 如請求項8所述的方法,其中使該底部層的該複數個聚合物彼此直接交聯、使該複數個第一交聯劑彼此直接交聯及使該複數個第二交聯劑彼此直接交聯中的至少一者包括在該形成該光阻層之前將該底部層暴露於一光能。
  10. 如請求項9所述的方法,其中該光能具有10奈米至1000奈米之間的一波長。
  11. 如請求項8所述的方法,其中使該底部層的該複數個聚合物彼此直接交聯、使該複數個第一交聯劑彼此直接交聯及使該複數個第二交聯劑彼此直接交聯中的至少一者包括在該使該光阻層顯影之後將該底部層暴露於一光能。
  12. 如請求項7所述的方法,其進一步包含在該在該底部層上形成一光阻層之前在該底部層上形成一硬遮罩層。
  13. 如請求項7所述的方法,其中該使用該複數個第一交聯劑及該複數個第二交聯劑使該底部層的該複數個聚合物交聯包括將該底部層的一溫度調節至150℃至300℃之間。
  14. 如請求項13所述的方法,其中將該底部層的該溫度調節至150℃至300℃之間在一單個加熱步驟中發生或在多個加熱步驟中發生。
  15. 如請求項7所述的方法,其中該導電層為金屬閘極材料。
  16. 如請求項7所述的方法,其中該底部層為一底部抗反射塗層。
  17. 一種形成圖案的方法,包含: 提供包括一閘極材料層的一基板; 在該閘極材料層上形成一底部抗反射塗層,其中該底部抗反射塗層包括選自聚羥基苯乙烯聚合物、酚醛清漆聚合物以及聚羥基苯乙烯及酚醛清漆共聚物的一或多種聚合物、複數個第一交聯劑及不同於該複數個第一交聯劑的複數個第二交聯劑,該複數個第二交聯劑選自包括至少一種含氟單體單元的丙烯酸酯聚合物、酚醛清漆聚合物、聚羥基苯乙烯聚合物、聚羥基苯乙烯及酚醛清漆共聚物; 在該底部抗反射塗層的一表面附近使該複數個第二交聯劑濃縮; 使用該複數個第一交聯劑及該複數個第二交聯劑使該底部抗反射塗層的該複數個聚合物交聯; 在該底部抗反射塗層上形成一光阻層; 根據一圖案將該光阻層暴露於一輻射源; 使該光阻層顯影; 進行一第一刻蝕製程,以在該底部抗反射塗層中而非在該閘極材料層中形成該圖案;以及 進行一第二刻蝕製程,以在該閘極材料層中形成該圖案。
  18. 如請求項17所述的方法,其中該複數個第二交聯劑的該至少一種含氟單體單元與其他單體單元的一比例在10:1至1:10的範圍內。
  19. 如請求項17所述的方法,其中該底部抗反射塗層的該一或多種聚合物與該複數個第一交聯劑及該複數個第二交聯劑的一組合的一重量比在4:1與1:4之間的範圍內。
  20. 如請求項17所述的方法,其中該複數個第二交聯劑包括複數個熱交聯劑及複數個感光性交聯劑的一組合,該複數個熱交聯劑與該複數個感光性交聯劑的一重量比在9:1與2:1之間的範圍內。
TW111108599A 2021-05-28 2022-03-09 形成圖案的方法 TWI815342B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163194742P 2021-05-28 2021-05-28
US63/194,742 2021-05-28
US17/481,680 2021-09-22
US17/481,680 US20220392764A1 (en) 2021-05-28 2021-09-22 Underlayer of multilayer structure and methods of use thereof

Publications (2)

Publication Number Publication Date
TW202246894A true TW202246894A (zh) 2022-12-01
TWI815342B TWI815342B (zh) 2023-09-11

Family

ID=84157743

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111108599A TWI815342B (zh) 2021-05-28 2022-03-09 形成圖案的方法

Country Status (3)

Country Link
US (1) US20220392764A1 (zh)
CN (1) CN115410989A (zh)
TW (1) TWI815342B (zh)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI485517B (zh) * 2007-04-18 2015-05-21 Daikin Ind Ltd 撥液阻劑組成物
US11442364B2 (en) * 2018-06-28 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Materials and methods for forming resist bottom layer

Also Published As

Publication number Publication date
CN115410989A (zh) 2022-11-29
TWI815342B (zh) 2023-09-11
US20220392764A1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
US7223517B2 (en) Lithographic antireflective hardmask compositions and uses thereof
US7439302B2 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
TWI442453B (zh) 形成電子裝置之方法
TWI449084B (zh) 形成電子裝置之方法
JP4384919B2 (ja) ハードマスク層用の反射防止SiO含有組成物
US8877430B2 (en) Methods of producing structures using a developer-soluble layer with multilayer technology
KR101439394B1 (ko) 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
US8999624B2 (en) Developable bottom antireflective coating composition and pattern forming method using thereof
JP2011065136A (ja) 自己整合型スペーサー多重パターニング方法
US8753797B2 (en) Surface-modified middle layers
US10520821B2 (en) Lithography process with enhanced etch selectivity
KR101904589B1 (ko) 실리콘계 중간층 조성물
TWI815342B (zh) 形成圖案的方法
US20230146910A1 (en) Methods and compositions for improved patterning of photoresist
US20230102166A1 (en) Method of manufacturing a semiconductor device
US20230314949A1 (en) Method for lithography using middle layer with porous top surface
EP1825325A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists