TW202203473A - Engineered substrate structure for power and rf applications - Google Patents

Engineered substrate structure for power and rf applications Download PDF

Info

Publication number
TW202203473A
TW202203473A TW110133509A TW110133509A TW202203473A TW 202203473 A TW202203473 A TW 202203473A TW 110133509 A TW110133509 A TW 110133509A TW 110133509 A TW110133509 A TW 110133509A TW 202203473 A TW202203473 A TW 202203473A
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial
silicon
layers
adhesive layer
Prior art date
Application number
TW110133509A
Other languages
Chinese (zh)
Other versions
TWI793755B (en
Inventor
弗拉基米爾 歐迪諾布魯朵夫
山姆 巴斯賽利
薛瑞 法倫斯
Original Assignee
美商克若密斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克若密斯股份有限公司 filed Critical 美商克若密斯股份有限公司
Publication of TW202203473A publication Critical patent/TW202203473A/en
Application granted granted Critical
Publication of TWI793755B publication Critical patent/TWI793755B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0075Processes for devices with an active region comprising only III-V compounds comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • H01L21/3006Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/16Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a particular crystal structure or orientation, e.g. polycrystalline, amorphous or porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Recrystallisation Techniques (AREA)
  • Peptides Or Proteins (AREA)

Abstract

A substrate includes a support structure comprising: a polycrystalline ceramic core; a first adhesion layer coupled to the polycrystalline ceramic core; a conductive layer coupled to the first adhesion layer; a second adhesion layer coupled to the conductive layer; and a barrier layer coupled to the second adhesion layer. The substrate also includes a silicon oxide layer coupled to the support structure, a substantially single crystalline silicon layer coupled to the silicon oxide layer, and an epitaxial III-V layer coupled to the substantially single crystalline silicon layer.

Description

用於功率及RF應用的工程基板結構Engineered substrate structures for power and RF applications

本專利申請案主張於2016年6月14日提出申請、標題為「用於功率及RF應用的工程基板結構(ENGINEERED SUBSTRATE STRUCTURE FOR POWER AND RF APPLICATIONS)」的美國臨時專利申請案第62/350,084號及於2016年6月14日提出申請、標題為「工程基板結構及其製造方法(ENGINEERED SUBSTRATE STRUCTURE AND METHOD OF MANUFACTURE)」的美國臨時專利申請案第62/350,077號的優先權權益,為了所有的目的將該等申請案之揭示內容以引用方式全部併入本文中。This patent application claims US Provisional Patent Application Serial No. 62/350,084, filed June 14, 2016, entitled "ENGINEERED SUBSTRATE STRUCTURE FOR POWER AND RF APPLICATIONS" and the benefit of priority of U.S. Provisional Patent Application No. 62/350,077, filed June 14, 2016, entitled "ENGINEERED SUBSTRATE STRUCTURE AND METHOD OF MANUFACTURE," for all The disclosures of these applications are hereby incorporated by reference in their entirety.

本發明大體而言係關於工程基板結構。更具體言之,本發明係關於適用於磊晶生長製程的方法和系統。The present invention generally relates to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes.

發光二極體(LED)的結構通常是磊晶生長在藍寶石基板上。目前有許多產品使用LED元件,包括照明、電腦監視器及其他顯示裝置。Light emitting diode (LED) structures are usually epitaxially grown on sapphire substrates. There are many products currently using LED components, including lighting, computer monitors and other display devices.

在藍寶石基板上生長氮化鎵系列LED結構是一種異質磊晶生長製程,因為基板和磊晶層是由不同的材料所組成。由於異質磊晶生長製程,磊晶生長的材料會表現出各種不利的效果,包括降低均勻度及與磊晶層的電子/光學特性相關的度量降低。因此,所屬技術領域中需要有與磊晶生長製程和基板結構相關的改良方法和系統。Growing GaN-based LED structures on sapphire substrates is a heterogeneous epitaxial growth process because the substrate and epitaxial layers are composed of different materials. Due to the hetero-epitaxial growth process, epitaxially grown materials can exhibit various adverse effects, including reduced uniformity and reduced metrics related to the electronic/optical properties of the epitaxial layer. Accordingly, there is a need in the art for improved methods and systems related to epitaxial growth processes and substrate structures.

本發明大體而言係關於工程基板結構。更具體言之,本發明係關於適用於磊晶生長製程的方法和系統。僅為舉例,本發明已被應用於提供適於磊晶生長的基板結構的方法和系統,該基板結構之特徵在於大體上與其上生長的磊晶層匹配的熱膨脹係數(CTE)。該等方法和技術可被應用於各式各樣的半導體處理操作。The present invention generally relates to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes. By way of example only, the present invention has been applied to methods and systems for providing substrate structures suitable for epitaxial growth characterized by a coefficient of thermal expansion (CTE) that substantially matches the epitaxial layers grown thereon. The methods and techniques can be applied to a wide variety of semiconductor processing operations.

依據本發明之一實施例提供了一種基板。該基板包括支撐結構,該支撐結構包含:多晶陶瓷芯;耦接到該多晶陶瓷芯的第一黏合層;耦接到該第一黏合層的導電層;耦接到該導電層的第二黏合層;及耦接到該第二黏合層的阻障層。該基板還包括耦接到該支撐結構的氧化矽層、耦接到該氧化矽層的實質單晶矽層、以及耦接到該實質單晶矽層的磊晶III-V層。According to an embodiment of the present invention, a substrate is provided. The substrate includes a support structure including: a polycrystalline ceramic core; a first adhesive layer coupled to the polycrystalline ceramic core; a conductive layer coupled to the first adhesive layer; a first adhesive layer coupled to the conductive layer two adhesive layers; and a barrier layer coupled to the second adhesive layer. The substrate also includes a silicon oxide layer coupled to the support structure, a substantially single crystal silicon layer coupled to the silicon oxide layer, and an epitaxial III-V layer coupled to the substantially single crystal silicon layer.

依據本發明之另一實施例提供了一種製造基板的方法。該方法包括藉由以下步驟形成支撐結構:提供多晶陶瓷芯;將該多晶陶瓷芯包封在第一黏合殼中;將該第一黏合殼包封在導電殼中;將該導電殼包封在第二黏合殼中;及將該第二黏合殼包封在阻障殼中。該方法還包括將結合層結合到該支撐結構、將實質單晶矽層結合到該結合層、藉由在該實質單晶矽層上磊晶生長而形成磊晶矽層、以及藉由在該磊晶矽層上磊晶生長而形成磊晶III-V層。According to another embodiment of the present invention, a method of manufacturing a substrate is provided. The method includes forming a support structure by providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core in a first adhesive shell; enclosing the first adhesive shell in a conductive shell; wrapping the conductive shell encapsulating in a second adhesive shell; and encapsulating the second adhesive shell in a barrier shell. The method also includes bonding a bonding layer to the support structure, bonding a substantially single crystal silicon layer to the bonding layer, forming an epitaxial silicon layer by epitaxial growth on the substantially single crystal silicon layer, and by forming an epitaxial silicon layer on the substantially single crystal silicon layer An epitaxial III-V layer is formed by epitaxial growth on the epitaxial silicon layer.

依據本發明之一具體實施例提供了一種工程基板結構。該工程基板結構包括支撐結構、耦接到該支撐結構的結合層、耦接到該結合層的實質單晶矽層、以及耦接到該實質單晶矽層的磊晶單晶矽層。該支撐結構包括多晶陶瓷芯、耦接到該多晶陶瓷芯的第一黏合層、耦接到該第一黏合層的導電層、耦接到該導電層的第二黏合層、及耦接到該第二黏合層的阻障殼。According to an embodiment of the present invention, an engineering substrate structure is provided. The engineered substrate structure includes a support structure, a bonding layer coupled to the support structure, a substantially single crystal silicon layer coupled to the bonding layer, and an epitaxial single crystal silicon layer coupled to the substantially single crystal silicon layer. The support structure includes a polycrystalline ceramic core, a first adhesive layer coupled to the polycrystalline ceramic core, a conductive layer coupled to the first adhesive layer, a second adhesive layer coupled to the conductive layer, and a coupling to the barrier shell of the second adhesive layer.

經由本發明實現了許多優於傳統技術的效益。例如,本發明的實施例提供CTE與適用於光學、電子及光電子應用的氮化鎵系列磊晶層匹配的工程基板結構。充當工程基板結構的組成部分的包封層阻止存在於基板中心部分內的雜質擴散到達使用工程基板的半導體處理環境。與基板材料相關的關鍵特性,包括熱膨脹係數、晶格不匹配、熱穩定性、及形狀控制被獨立設計用於改善(例如最佳化)與氮化鎵系列磊晶和元件層的匹配、以及與不同元件架構和效能目標的匹配。因為基板材料層在傳統的半導體製造製程中被整合在一起,所以簡化了製程整合。結合下文和附圖來更詳細地描述本發明的此等和其他實施例及其許多優點和特徵。Numerous benefits over conventional techniques are realized through the present invention. For example, embodiments of the present invention provide engineered substrate structures with CTE matched to gallium nitride series epitaxial layers suitable for optical, electronic and optoelectronic applications. The encapsulation layer, which acts as an integral part of the engineered substrate structure, prevents impurities present in the central portion of the substrate from diffusing to the semiconductor processing environment in which the engineered substrate is used. Key properties related to the substrate material, including thermal expansion coefficient, lattice mismatch, thermal stability, and shape control are independently designed to improve (eg, optimize) match to the GaN family of epitaxial and device layers, and Match with different component architectures and performance goals. Process integration is simplified because the layers of substrate material are integrated together in conventional semiconductor manufacturing processes. These and other embodiments of the present invention, together with their many advantages and features, are described in greater detail below and in the accompanying drawings.

本發明之實施例係關於工程基板結構。更具體言之,本發明係關於適用於磊晶生長製程的方法和系統。僅為舉例,本發明已被應用於提供適於磊晶生長的基板結構的方法和系統,該基板結構之特徵在於大體上與其上生長的磊晶層匹配的熱膨脹係數(CTE)。該等方法和技術可被應用於各式各樣的半導體處理操作。Embodiments of the present invention relate to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes. By way of example only, the present invention has been applied to methods and systems for providing substrate structures suitable for epitaxial growth characterized by a coefficient of thermal expansion (CTE) that substantially matches the epitaxial layers grown thereon. The methods and techniques can be applied to a wide variety of semiconductor processing operations.

第1圖為圖示依據本發明之一實施例的工程基板結構之簡化示意圖。第1圖圖示的工程基板100適用於各式各樣的電子和光學應用。工程基板包括芯110,芯110可以具有與將被生長在工程基板100上的磊晶材料之熱膨脹係數(CTE)大體上匹配的CTE。將磊晶材料130圖示為可選的,因為不需要磊晶材料130作為工程基板的元件,但磊晶材料130通常將生長在工程基板上。FIG. 1 is a simplified schematic diagram illustrating an engineering substrate structure according to an embodiment of the present invention. The engineered substrate 100 illustrated in FIG. 1 is suitable for a wide variety of electronic and optical applications. The engineered substrate includes a core 110 that may have a CTE that substantially matches the coefficient of thermal expansion (CTE) of the epitaxial material to be grown on the engineered substrate 100 . The epitaxial material 130 is illustrated as optional because the epitaxial material 130 is not required as a component of the engineered substrate, but the epitaxial material 130 will typically be grown on the engineered substrate.

對於包括氮化鎵(GaN)系列材料(包括GaN系列層的磊晶層)的生長的應用來說,芯110可以是多晶陶瓷材料,例如多晶氮化鋁(AlN),多晶氮化鋁(AlN)可以包括諸如氧化釔的結合材料。可以將其他材料用於芯110,包括多晶氮化鎵(GaN)、多晶氮化鎵鋁(AlGaN)、多晶碳化矽(SiC)、多晶氧化鋅(ZnO)、多晶三氧化鎵(Ga2 O3 )及類似物。For applications involving the growth of gallium nitride (GaN) series materials (including epitaxial layers of GaN series layers), the core 110 may be a polycrystalline ceramic material such as polycrystalline aluminum nitride (AlN), polycrystalline Aluminum (AlN) may include bonding materials such as yttria. Other materials may be used for the core 110, including polycrystalline gallium nitride (GaN), polycrystalline aluminum gallium nitride (AlGaN), polycrystalline silicon carbide (SiC), polycrystalline zinc oxide (ZnO), polycrystalline gallium trioxide ( Ga 2 O 3 ) and the like.

芯的厚度可以在100 μm至1,500 μm的等級,例如725 μm。芯110被包封在第一黏合層112中,可將第一黏合層112稱為殼或包封殼。在一實施例中,第一黏合層112包含厚度在1,000 Å數量級的正矽酸四乙酯(TEOS)層。在其他實施例中,第一黏合層的厚度例如從100 Å至2,000 Å變化。儘管在一些實施例中將TEOS用於黏合層,但依據本發明之一實施例,可以利用其他材料在後續沉積層與下方層或材料(例如陶瓷,特別是多晶陶瓷)之間提供黏合。例如,SiO2 或其他矽氧化物(Six Oy )良好地黏附於陶瓷材料,並提供用於後續沉積(例如導電材料)的適當表面。在一些實施例中,第一黏合層112完全包圍芯110以形成完全包封的芯,並且可以使用LPCVD製程形成第一黏合層112。第一黏合層112提供一個表面,後續層黏附於該表面上以形成工程基板結構的元件。The thickness of the core may be on the order of 100 μm to 1,500 μm, eg 725 μm. The core 110 is encapsulated in a first adhesive layer 112, which may be referred to as a shell or an encapsulation shell. In one embodiment, the first adhesive layer 112 includes a tetraethylorthosilicate (TEOS) layer with a thickness on the order of 1,000 Å. In other embodiments, the thickness of the first adhesive layer varies, for example, from 100 Å to 2,000 Å. Although TEOS is used for the bonding layer in some embodiments, other materials may be utilized to provide bonding between the subsequently deposited layer and underlying layers or materials (eg, ceramics, especially polycrystalline ceramics), in accordance with one embodiment of the present invention. For example, SiO2 or other silicon oxides ( SixOy ) adhere well to ceramic materials and provide a suitable surface for subsequent deposition (eg, conductive materials). In some embodiments, the first adhesive layer 112 completely surrounds the core 110 to form a fully encapsulated core, and the first adhesive layer 112 may be formed using an LPCVD process. The first adhesive layer 112 provides a surface on which subsequent layers are adhered to form elements of the engineered substrate structure.

除了使用LPCVD製程、基於爐的製程等來形成包封的第一黏合層之外,依據本發明的實施例可以使用其他的半導體製程,包括CVD製程或類似的沉積製程。作為實例,可以使用塗覆芯的一部分的沉積製程、可以將芯翻轉、而且可以重複沉積製程來塗覆芯的其他部分。因此,儘管在一些實施例中使用LPCVD技術來提供完全包封的結構,但可以視特定應用使用其他的膜形成技術。In addition to using LPCVD processes, furnace-based processes, etc. to form the encapsulated first adhesion layer, other semiconductor processes, including CVD processes or similar deposition processes, may be used in accordance with embodiments of the present invention. As an example, a deposition process that coats a portion of the core may be used, the core may be turned over, and the deposition process may be repeated to coat other portions of the core. Thus, while LPCVD techniques are used in some embodiments to provide fully encapsulated structures, other film formation techniques may be used depending on the particular application.

形成包圍黏合層112的導電層114。在一實施例中,導電層114是形成在第一黏合層112周圍的多晶矽(即多結晶矽)殼,因為多晶矽會對陶瓷材料表現出差的黏合。在其中導電層為多晶矽的實施例中,多晶矽層的厚度可以在500-5,000 Å的數量級,例如2,500 Å。在一些實施例中,可以將多晶矽層形成為完全包圍第一黏合層112(例如TEOS層)的殼,從而形成完全包封的第一黏合層,並且可以使用LPCVD製程形成。在其他實施例中,如以下所討論的,可以將導電材料形成在黏合層的一部分上,例如基板結構的下半部上。在一些實施例中,可以將導電材料形成為完全包封層,並於隨後移除在基板結構的一側上的導電材料。A conductive layer 114 is formed surrounding the adhesive layer 112 . In one embodiment, the conductive layer 114 is a polysilicon (ie, polysilicon) shell formed around the first adhesion layer 112, since polysilicon can exhibit poor adhesion to ceramic materials. In embodiments where the conductive layer is polysilicon, the thickness of the polysilicon layer may be on the order of 500-5,000 Å, eg, 2,500 Å. In some embodiments, the polysilicon layer may be formed as a shell completely surrounding the first adhesion layer 112 (eg, the TEOS layer), thereby forming a fully encapsulated first adhesion layer, and may be formed using an LPCVD process. In other embodiments, as discussed below, the conductive material may be formed on a portion of the adhesive layer, such as the lower half of the substrate structure. In some embodiments, the conductive material may be formed as a fully encapsulated layer and the conductive material on one side of the substrate structure subsequently removed.

在一實施例中,導電層114可以是被摻雜以提供高導電材料的多晶矽層,例如摻雜硼以提供p型多晶矽層。在一些實施例中,用硼摻雜是在1×1019 cm-3 至1×1020 cm-3 的含量以提供高導電性。可以利用不同摻雜劑濃度的其他摻雜劑(例如,摻雜劑濃度範圍從1×1016 cm-3 至5×1018 cm-3 的磷、砷、鉍等)來提供適用於導電層的n型或p型半導體材料。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。In one embodiment, the conductive layer 114 may be a polysilicon layer doped to provide a highly conductive material, such as boron doped to provide a p-type polysilicon layer. In some embodiments, doping with boron is at a level of 1×10 19 cm −3 to 1×10 20 cm −3 to provide high conductivity. Other dopants at different dopant concentrations (eg, phosphorous, arsenic, bismuth, etc. with dopant concentrations ranging from 1 x 10 16 cm -3 to 5 x 10 18 cm -3 ) can be used to provide suitable conductive layers of n-type or p-type semiconductor materials. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

在將工程基板靜電夾持於半導體處理工具(例如具有靜電夾盤(ESC)的工具)的過程中,導電層114的存在是有用的。在半導體處理工具中處理之後,導電層114能夠快速解除夾持。因此,本發明的實施例提供可被以傳統矽晶圓使用的方式處理的基板結構。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。The presence of conductive layer 114 is useful during electrostatically clamping an engineered substrate to a semiconductor processing tool, such as a tool with an electrostatic chuck (ESC). After processing in the semiconductor processing tool, the conductive layer 114 can be quickly de-clamped. Accordingly, embodiments of the present invention provide substrate structures that can be processed in the same manner as conventional silicon wafers. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

形成包圍導電層114的第二黏合層116(例如厚度在1000 Å等級的TEOS層)。在一些實施例中,第二黏合層116完全包圍導電層114以形成完全包封的結構,並且可以使用LPCVD製程、CVD製程或任何其他適當的沉積製程形成,包括旋塗介電質的沉積。A second adhesive layer 116 (eg, a TEOS layer having a thickness on the order of 1000 Å) is formed surrounding the conductive layer 114 . In some embodiments, the second adhesion layer 116 completely surrounds the conductive layer 114 to form a fully encapsulated structure, and may be formed using an LPCVD process, a CVD process, or any other suitable deposition process, including deposition of spin-on dielectrics.

形成包圍第二黏合層116的阻障層118,例如氮化矽層。在一實施例中,阻障層118係厚度在2,000 Å至5,000 Å數量級的氮化矽層118。在一些實施例中,阻障層118完全包圍第二黏合層116以形成完全包封的結構,並且可以使用LPCVD製程形成。除了氮化矽層之外,可以使用非晶形材料(包括SiCN、SiON、AlN、SiC等)作為阻障層。在一些實施方案中,阻障層118包含被建造以形成阻障層的數個子層。因此,用語阻障層無意表示單層或單一材料,而是涵括以複合方式分層的一種或更多種材料。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。A barrier layer 118 , such as a silicon nitride layer, is formed surrounding the second adhesion layer 116 . In one embodiment, the barrier layer 118 is a silicon nitride layer 118 having a thickness on the order of 2,000 Å to 5,000 Å. In some embodiments, the barrier layer 118 completely surrounds the second adhesion layer 116 to form a fully encapsulated structure, and may be formed using an LPCVD process. In addition to the silicon nitride layer, amorphous materials (including SiCN, SiON, AlN, SiC, etc.) can be used as the barrier layer. In some implementations, the barrier layer 118 includes several sublayers that are built to form the barrier layer. Thus, the term barrier layer is not intended to denote a single layer or a single material, but rather encompasses one or more materials layered in a composite manner. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

在一些實施例中,阻障層118(例如氮化矽層)防止存在於芯110內的元素(例如釔氧化物(即氧化釔)、氧、金屬雜質、其他微量元素等)擴散及/或出氣進入其中可能存在工程基板的半導體處理腔室的環境中,例如在高溫(例如1,000 ℃)磊晶生長製程期間。利用本文所述的包封層,可以在半導體製程流程和潔淨室環境中使用陶瓷材料,包括為非潔淨室環境設計的多晶AlN。In some embodiments, barrier layer 118 (eg, a silicon nitride layer) prevents elements (eg, yttrium oxide (ie, yttrium oxide), oxygen, metal impurities, other trace elements, etc.) present within core 110 from diffusing and/or Outgases enter the environment of a semiconductor processing chamber in which engineered substrates may be present, such as during high temperature (eg, 1,000°C) epitaxial growth processes. Using the encapsulation layers described herein, ceramic materials, including polycrystalline AlN designed for non-clean room environments, can be used in semiconductor process flows and clean room environments.

第2A圖為圖示依據本發明之一實施例工程結構的物種濃度為深度的函數之二次離子質譜(SIMS)曲線。該工程結構不包括阻障層118。參照第2A圖,存在於陶瓷芯中的幾種物種(例如釔、鈣及鋁)在工程層120/122中降至可忽略的濃度。鈣、釔及鋁的濃度分別下降了三個、四個及六個數量級。FIG. 2A is a secondary ion mass spectrometry (SIMS) curve illustrating species concentration as a function of depth for engineered structures in accordance with one embodiment of the present invention. The engineered structure does not include barrier layer 118 . Referring to Figure 2A, several species present in the ceramic core, such as yttrium, calcium, and aluminum, are reduced to negligible concentrations in the engineered layers 120/122. Concentrations of calcium, yttrium, and aluminum decreased by three, four, and six orders of magnitude, respectively.

第2B圖為圖示依據本發明之一實施例沒有阻障層的工程結構在退火之後的物種濃度為深度的函數之SIMS曲線。如以上所討論的,在半導體處理操作期間,例如在GaN系列層的磊晶生長期間,由本發明的實施例提供的工程基板結構可暴露於高溫(〜1,100 ℃)幾個小時。Figure 2B is a SIMS plot illustrating the species concentration as a function of depth after annealing for an engineered structure without a barrier layer in accordance with one embodiment of the present invention. As discussed above, during semiconductor processing operations, such as epitaxial growth of GaN series layers, engineered substrate structures provided by embodiments of the present invention may be exposed to high temperatures (~1,100°C) for several hours.

對於第2B圖圖示的曲線來說,工程基板結構在1,100 ℃下退火4小時。如第2B圖所示,原始以低濃度存在於剛沉積好未經處理的樣品中的鈣、釔及鋁已經擴散到工程層中,達到與其它元素相似的濃度。For the curve illustrated in Figure 2B, the engineered substrate structure was annealed at 1,100°C for 4 hours. As shown in Figure 2B, calcium, yttrium, and aluminum, originally present at low concentrations in the freshly deposited untreated sample, have diffused into the engineered layer to similar concentrations as the other elements.

第2C圖為圖示依據本發明之一實施例具有阻障層的工程結構在退火之後的物種濃度為深度的函數之SIMS曲線。將擴散阻障層118(例如氮化矽層)整合到工程基板結構中防止了當擴散阻障層不存在時會發生的鈣、釔及鋁在退火製程期間擴散到工程層中。如第2C圖所圖示,退火之後,存在於陶瓷芯中的鈣、釔及鋁在工程層中保持低濃度。因此,使用阻障層118(例如氮化矽層)可防止此等元素擴散通過擴散阻障層,從而防止此等元素釋放到工程基板周圍的環境中。類似地,塊體陶瓷材料中所含的任何其它雜質亦將被阻障層遏制。Figure 2C is a SIMS plot illustrating the species concentration as a function of depth after annealing for an engineered structure with a barrier layer in accordance with one embodiment of the present invention. The integration of the diffusion barrier layer 118 (eg, a silicon nitride layer) into the engineered substrate structure prevents calcium, yttrium, and aluminum from diffusing into the engineered layer during the annealing process, which occurs when the diffusion barrier layer is not present. As illustrated in Figure 2C, after annealing, the calcium, yttrium, and aluminum present in the ceramic core remain in low concentrations in the engineered layer. Thus, the use of a barrier layer 118 (eg, a silicon nitride layer) prevents these elements from diffusing through the diffusion barrier layer, thereby preventing release of these elements into the environment surrounding the engineered substrate. Similarly, any other impurities contained in the bulk ceramic material will also be contained by the barrier layer.

通常,用於形成芯110的陶瓷材料係在1800 ℃範圍中的溫度下焙燒。可預期此製程將驅除存在於陶瓷材料中的大量雜質。此等雜質可以包括由於使用氧化釔作為燒結劑所生成的釔、鈣及其他元素和化合物。隨後,在800 ℃至1100 ℃範圍內的遠較低溫度下進行的磊晶生長製程期間,可預期此等雜質的後續擴散將是不明顯的。然而,與傳統的預期相反,本發明人確定的是,即使在遠比陶瓷材料的焙燒溫度更低的溫度下的磊晶生長製程期間也會發生元素大量擴散通過工程基板的層。因此,本發明的實施例整合阻障層118(例如氮化矽層)來防止背景元素從多晶陶瓷材料(例如AlN)向外擴散到工程層120/122和磊晶層(例如可選的GaN層130)中。包封下方的層和材料的氮化矽層118提供期望的阻障層功能。Typically, the ceramic material used to form the core 110 is fired at a temperature in the range of 1800°C. It is expected that this process will drive off a large amount of impurities present in the ceramic material. Such impurities may include yttrium, calcium, and other elements and compounds resulting from the use of yttrium oxide as a sintering agent. Subsequent diffusion of these impurities is expected to be insignificant during subsequent epitaxial growth processes performed at much lower temperatures in the range of 800°C to 1100°C. However, contrary to conventional expectations, the inventors have determined that substantial diffusion of elements through the layers of the engineered substrate occurs during the epitaxial growth process even at temperatures much lower than the firing temperature of the ceramic material. Accordingly, embodiments of the present invention integrate barrier layers 118 (eg, silicon nitride layers) to prevent outdiffusion of background elements from polycrystalline ceramic materials (eg, AlN) to engineered layers 120/122 and epitaxial layers (eg, optional GaN layer 130). The silicon nitride layer 118, which encapsulates the underlying layers and materials, provides the desired barrier function.

如第2B圖所圖示,原始存在於芯110中的元素(包括釔)擴散進入並通過第一TEOS層112、多晶矽層114、及第二TEOS層116。然而,氮化矽層118的存在可防止此等元素擴散通過氮化矽層,從而防止此等元素釋放到工程基板周圍的環境中,如第2C圖所圖示。As illustrated in FIG. 2B , elements originally present in core 110 , including yttrium, diffuse into and through first TEOS layer 112 , polysilicon layer 114 , and second TEOS layer 116 . However, the presence of the silicon nitride layer 118 prevents these elements from diffusing through the silicon nitride layer, thereby preventing the release of these elements into the environment surrounding the engineered substrate, as illustrated in Figure 2C.

再次參照第1圖,在阻障層118的一部分(例如阻障層的頂部表面)上沉積結合層120(例如氧化矽層),並且隨後在實質單晶矽層122的結合過程中使用結合層120。在一些實施例中,結合層120的厚度可以為約1.5 μm。Referring again to FIG. 1, a bonding layer 120 (eg, a silicon oxide layer) is deposited over a portion of the barrier layer 118 (eg, the top surface of the barrier layer), and the bonding layer is subsequently used in the bonding process of the substantially single crystal silicon layer 122 120. In some embodiments, the thickness of the bonding layer 120 may be about 1.5 μm.

實質單晶層122適用於在磊晶生長製程期間用作生長層,用於形成磊晶材料130。在一些實施例中,磊晶材料130包括厚度2 μm至10 μm的GaN層,GaN層可被用作光電子元件、RF元件、功率元件等使用的複數個層中的一個層。在一實施例中,實質單晶層122包括使用層轉移製程附接到氧化矽層118的實質單晶矽層。The substantially monocrystalline layer 122 is suitable for use as a growth layer during the epitaxial growth process for forming the epitaxial material 130 . In some embodiments, epitaxial material 130 includes a 2 μm to 10 μm thick GaN layer that can be used as one of several layers used in optoelectronic components, RF components, power components, and the like. In one embodiment, substantially monocrystalline layer 122 includes a substantially monocrystalline silicon layer attached to silicon oxide layer 118 using a layer transfer process.

第3圖為圖示依據本發明之一實施例的工程基板結構之簡化示意圖。第3圖圖示的工程基板300適用於各式各樣的電子和光學應用。工程基板包括芯110,芯110可以具有與將被生長在工程基板300上的磊晶材料130之熱膨脹係數(CTE)大體上匹配的CTE。將磊晶材料130圖示為可選的,因為不需要磊晶材料130作為工程基板的元件,但磊晶材料130通常將被生長在工程基板上。FIG. 3 is a simplified schematic diagram illustrating an engineering substrate structure according to an embodiment of the present invention. The engineered substrate 300 illustrated in FIG. 3 is suitable for a wide variety of electronic and optical applications. The engineered substrate includes a core 110 that may have a CTE that substantially matches the coefficient of thermal expansion (CTE) of the epitaxial material 130 to be grown on the engineered substrate 300 . The epitaxial material 130 is illustrated as optional because the epitaxial material 130 is not required as a component of the engineered substrate, but the epitaxial material 130 will typically be grown on the engineered substrate.

對於包括氮化鎵(GaN)系列材料(包括GaN系列層的磊晶層)的生長的應用來說,芯110可以是多晶陶瓷材料,例如多晶氮化鋁(AlN)。芯的厚度可以在100 μm至1,500 μm的等級,例如725 μm。芯110被包封在第一黏合層112中,可將第一黏合層112稱為殼或包封殼。在此實施方案中,第一黏合層112將芯完全包封,但此舉並非本發明要求的,如關於第4圖另外詳細討論的。For applications involving the growth of gallium nitride (GaN) series materials, including epitaxial layers of GaN series layers, core 110 may be a polycrystalline ceramic material, such as polycrystalline aluminum nitride (AlN). The thickness of the core may be on the order of 100 μm to 1,500 μm, eg 725 μm. The core 110 is encapsulated in a first adhesive layer 112, which may be referred to as a shell or an encapsulation shell. In this embodiment, the first adhesive layer 112 fully encapsulates the core, although this is not required by the present invention, as discussed in additional detail with respect to FIG. 4 .

在一實施例中,第一黏合層112包含厚度在1,000 Å數量級的正矽酸四乙酯(TEOS)層。在其他實施例中,第一黏合層的厚度例如從100 Å至2,000 Å變化。儘管在一些實施例中將TEOS用於黏合層,但依據本發明之一實施例,可以利用其他材料在後續沉積層與下方層或材料之間提供黏合。例如,SiO2 、SiON及類似物良好地黏附於陶瓷材料,並提供用於後續沉積(例如導電材料)的適當表面。在一些實施例中,第一黏合層112完全包圍芯110以形成完全包封的芯,並且可以使用LPCVD製程形成第一黏合層112。黏合層提供一個表面,後續層黏附於該表面上以形成工程基板結構的元件。In one embodiment, the first adhesive layer 112 includes a tetraethylorthosilicate (TEOS) layer with a thickness on the order of 1,000 Å. In other embodiments, the thickness of the first adhesive layer varies, for example, from 100 Å to 2,000 Å. Although TEOS is used for the adhesion layer in some embodiments, other materials may be utilized to provide adhesion between the subsequently deposited layer and the underlying layer or material in accordance with one embodiment of the present invention. For example, SiO2 , SiON, and the like adhere well to ceramic materials and provide a suitable surface for subsequent deposition (eg, conductive materials). In some embodiments, the first adhesive layer 112 completely surrounds the core 110 to form a fully encapsulated core, and the first adhesive layer 112 may be formed using an LPCVD process. The adhesive layer provides a surface on which subsequent layers adhere to form elements of the engineered substrate structure.

除了使用LPCVD製程、基於爐的製程等來形成包封的黏合層之外,依據本發明的實施例可以使用其他的半導體製程。作為實例,可以使用塗覆芯的一部分的沉積製程(例如CVD、PECVD、或類似製程)、可以將芯翻轉、而且可以重複沉積製程來塗覆芯的其他部分。In addition to using LPCVD processes, furnace-based processes, etc. to form the encapsulated adhesion layer, other semiconductor processes may be used in accordance with embodiments of the present invention. As an example, a deposition process (eg, CVD, PECVD, or similar process) that coats a portion of the core may be used, the core may be turned over, and the deposition process may be repeated to coat other portions of the core.

在第一黏合層112的至少一部分上形成導電層314。在一實施例中,導電層314包括藉由沉積製程形成在芯/黏合層結構之下部(例如下半部或背側)上的多晶矽(即多結晶矽)。在其中導電層為多晶矽的實施例中,多晶矽層的厚度可以在幾千埃的數量級,例如3,000 Å。在一些實施例中,可以使用LPCVD製程形成多晶矽層。A conductive layer 314 is formed on at least a portion of the first adhesive layer 112 . In one embodiment, the conductive layer 314 includes polysilicon (ie, polysilicon) formed on the lower portion (eg, lower half or backside) of the core/bond layer structure by a deposition process. In embodiments in which the conductive layer is polysilicon, the thickness of the polysilicon layer may be on the order of several thousand angstroms, such as 3,000 Å. In some embodiments, the polysilicon layer may be formed using an LPCVD process.

在一實施例中,導電層314可以是被摻雜以提供高導電材料的多晶矽層,例如導電層314可被摻雜硼以提供p型多晶矽層。在一些實施例中,用硼摻雜是在範圍從約1×1019 cm-3 至1×1020 cm-3 的含量以提供高導電性。在將工程基板靜電夾持於半導體處理工具(例如具有靜電夾盤(ESC)的工具)的過程中,導電層的存在是有用的。在處理之後導電層314能夠快速解除夾持。因此,本發明的實施例提供可被以傳統矽晶圓使用的方式處理的基板結構。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。In one embodiment, the conductive layer 314 may be a polysilicon layer doped to provide a highly conductive material, eg, the conductive layer 314 may be doped with boron to provide a p-type polysilicon layer. In some embodiments, doping with boron is at a level ranging from about 1×10 19 cm −3 to 1×10 20 cm −3 to provide high conductivity. The presence of conductive layers is useful during electrostatic clamping of engineered substrates to semiconductor processing tools, such as tools with electrostatic chucks (ESCs). The conductive layer 314 can be quickly de-clamped after processing. Accordingly, embodiments of the present invention provide substrate structures that can be processed in the same manner as conventional silicon wafers. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

形成包圍導電層314(例如多晶矽層)的第二黏合層316(例如第二TEOS層)。第二黏合層316的厚度在1,000 Å的數量級。在一些實施例中,第二黏合層316可以完全包圍導電層314以及第一黏合層112以形成完全包封的結構,並且可以使用LPCVD製程形成。在其他實施例中,第二黏合層316僅部分包圍導電層314,例如在平面317圖示的位置終止,平面317可對齊導電層314的頂部表面。在此實例中,導電層314的頂部表面將與阻障層118的一部分接觸。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。A second adhesion layer 316 (eg, a second TEOS layer) is formed surrounding the conductive layer 314 (eg, a polysilicon layer). The thickness of the second adhesive layer 316 is on the order of 1,000 Å. In some embodiments, the second adhesive layer 316 may completely surround the conductive layer 314 and the first adhesive layer 112 to form a fully encapsulated structure, and may be formed using an LPCVD process. In other embodiments, the second adhesive layer 316 only partially surrounds the conductive layer 314 , eg, terminating at the location illustrated by the plane 317 , which may be aligned with the top surface of the conductive layer 314 . In this example, the top surface of conductive layer 314 would be in contact with a portion of barrier layer 118 . Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

形成包圍第二黏合層316的阻障層118(例如氮化矽層)。在一些實施例中,阻障層118的厚度在4,000 Å至5,000 Å的數量級。在一些實施例中,阻障層118完全包圍第二黏合層316以形成完全包封的結構,並且可以使用LPCVD製程形成。A barrier layer 118 (eg, a silicon nitride layer) is formed surrounding the second adhesion layer 316 . In some embodiments, the thickness of the barrier layer 118 is on the order of 4,000 Å to 5,000 Å. In some embodiments, the barrier layer 118 completely surrounds the second adhesion layer 316 to form a fully encapsulated structure, and may be formed using an LPCVD process.

在一些實施例中,使用氮化矽阻障層防止存在於芯110內的元素(例如釔氧化物(即氧化釔)、氧、金屬雜質、其他微量元素等)擴散及/或出氣進入其中可能存在工程基板的半導體處理腔室的環境中,例如在高溫(例如1,000 ℃)磊晶生長製程期間。利用本文所述的包封層,可以在半導體製程流程和潔淨室環境中使用陶瓷材料,包括為非潔淨室環境設計的多晶AlN。In some embodiments, a silicon nitride barrier layer is used to prevent elements present within the core 110 (eg, yttrium oxide (ie, yttrium oxide), oxygen, metal impurities, other trace elements, etc.) from diffusing and/or outgassing possible into it In the environment of semiconductor processing chambers where substrates are engineered, such as during high temperature (eg, 1,000°C) epitaxial growth processes. Using the encapsulation layers described herein, ceramic materials, including polycrystalline AlN designed for non-clean room environments, can be used in semiconductor process flows and clean room environments.

第4圖為圖示依據本發明之另一實施例的工程基板結構之簡化示意圖。在第4圖圖示的實施例中,第一黏合層412被形成在芯110的至少一部分上、但不包封芯110。在此實施方案中,第一黏合層412被形成在芯110的下表面(芯110的背側)上以增強隨後形成的導電層414的黏合,如以下更充分描述的。儘管第4圖僅將黏合層412圖示在芯110的下表面上,但將理解的是,將黏合層材料沉積在芯的其它部分上將不會不利地影響工程基板結構的效能,而且此類材料可以存在於各種實施例中。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。FIG. 4 is a simplified schematic diagram illustrating an engineering substrate structure according to another embodiment of the present invention. In the embodiment illustrated in FIG. 4 , the first adhesive layer 412 is formed on at least a portion of the core 110 but does not encapsulate the core 110 . In this embodiment, a first adhesive layer 412 is formed on the lower surface of the core 110 (the backside of the core 110) to enhance the adhesion of a subsequently formed conductive layer 414, as described more fully below. Although FIG. 4 only illustrates the bonding layer 412 on the lower surface of the core 110, it will be understood that depositing the bonding layer material on other portions of the core will not adversely affect the performance of the engineered substrate structure, and this Class materials may exist in various embodiments. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

導電層414不包封第一黏合層412和芯110,而是大體上與第一黏合層412對齊。儘管導電層414被圖示為沿著第一黏合層412的底部或背側延伸並沿著第一黏合層412的側面的一部分向上延伸,但沿著垂直側面延伸並非本發明要求的。因此,實施例可以利用基板結構一側上的沉積、基板結構一側的掩蔽等。導電層414可以被形成在第一黏合層412的一側(例如底部/背側)的一部分上。導電層414在工程基板結構的一側上提供電傳導,此舉在RF和高功率的應用中會是有利的。導電層可以包括關於第1圖中的導電層114討論的摻雜多晶矽。The conductive layer 414 does not encapsulate the first adhesive layer 412 and the core 110 , but is generally aligned with the first adhesive layer 412 . Although conductive layer 414 is illustrated as extending along the bottom or backside of first adhesive layer 412 and up along a portion of the side of first adhesive layer 412, extending along vertical sides is not a requirement of the present invention. Thus, embodiments may utilize deposition on one side of the substrate structure, masking on one side of the substrate structure, and the like. The conductive layer 414 may be formed on a portion of one side (eg, bottom/back side) of the first adhesive layer 412 . Conductive layer 414 provides electrical conduction on one side of the engineered substrate structure, which can be advantageous in RF and high power applications. The conductive layer may include doped polysilicon discussed with respect to conductive layer 114 in FIG. 1 .

芯110的一部分、第一黏合層412的多個部分、及導電層414被第二黏合層416覆蓋,以便增強阻障層418與下方材料的黏合。如以上所討論的,阻障層418形成包封結構以防止來自下方層的擴散。A portion of core 110, portions of first adhesive layer 412, and conductive layer 414 are covered by second adhesive layer 416 to enhance adhesion of barrier layer 418 to the underlying material. As discussed above, barrier layer 418 forms an encapsulation structure to prevent diffusion from underlying layers.

除了半導體系列導電層之外,在其他實施例中,導電層414為金屬層,例如500 Å的鈦或類似物。In addition to the semiconductor series conductive layers, in other embodiments, the conductive layer 414 is a metal layer, such as 500 Å titanium or the like.

再次參照第4圖,視實施方案而定,可以移除一個或更多個層。例如,可以移除層412和414,僅留下單黏合殼416和阻障層418。在另一個實施例中,可以僅移除層414。在此實施例中,層412還可以平衡由沉積在層418的頂部上的層120引起的應力和晶圓彎曲。在芯110的頂側上具有絕緣層的基板結構(例如在芯110與層120之間僅有絕緣層)的建造將為功率/RF應用(其中需要高度絕緣的基板)提供益處。Referring again to Figure 4, depending on the implementation, one or more layers may be removed. For example, layers 412 and 414 may be removed, leaving only single bond shell 416 and barrier layer 418 . In another embodiment, only layer 414 may be removed. In this embodiment, layer 412 may also balance the stress and wafer bow caused by layer 120 deposited on top of layer 418 . The construction of a substrate structure with an insulating layer on the top side of the core 110 (eg, only an insulating layer between the core 110 and the layer 120 ) would provide benefits for power/RF applications where a highly insulating substrate is required.

在另一個實施例中,阻障層418可以直接包封芯110,隨後是導電層414和隨後的黏合層416。在此實施例中,層120可以從頂側直接沉積到黏合層416上。在又另一個實施例中,黏合層416可以沉積在芯110上,隨後是阻障層418,然後是導電層414和另一個黏合層412。In another embodiment, barrier layer 418 may directly encapsulate core 110 , followed by conductive layer 414 and then adhesive layer 416 . In this embodiment, layer 120 may be deposited directly onto adhesive layer 416 from the top side. In yet another embodiment, an adhesive layer 416 may be deposited on the core 110 , followed by a barrier layer 418 , followed by a conductive layer 414 and another adhesive layer 412 .

儘管已經關於層討論了一些實施例,但用語層應被理解為使得層可以包括被建造以形成感興趣層的若干子層。因此,用語層無意表示由單一材料所組成的單層,而是涵括以複合方式分層以形成所需結構的一種或更多種材料。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。Although some embodiments have been discussed with respect to layers, the term layer should be understood such that a layer may include several sub-layers constructed to form a layer of interest. Thus, the term layer is not intended to denote a single layer composed of a single material, but rather encompasses one or more materials layered in a composite manner to form a desired structure. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

第5圖為圖示依據本發明之一實施例製造工程基板的方法之簡化流程圖。該方法可用於製造與在基板上生長的一個或更多個磊晶層CTE匹配的基板。方法500包括藉由提供多晶陶瓷芯(510)、將該多晶陶瓷芯包封在第一黏合層中而形成殼(例如正矽酸四乙酯(TEOS)殼)(512)、以及將該第一黏合層包封在導電殼(例如多晶矽殼)中(514)而形成支撐結構。可將該第一黏合層形成為TEOS的單層。可將該導電殼形成為多晶矽的單層。5 is a simplified flow diagram illustrating a method of fabricating an engineered substrate in accordance with one embodiment of the present invention. The method can be used to fabricate substrates that match the CTE of one or more epitaxial layers grown on the substrate. The method 500 includes forming a shell (eg, a tetraethylorthosilicate (TEOS) shell) by providing a polycrystalline ceramic core (510), encapsulating the polycrystalline ceramic core in a first adhesive layer (512), and incorporating The first adhesive layer is encapsulated in a conductive shell (eg, a polysilicon shell) (514) to form a support structure. The first adhesive layer can be formed as a single layer of TEOS. The conductive shell can be formed as a single layer of polysilicon.

該方法還包括將該導電殼包封在第二黏合層(例如第二TEOS殼)中(516),並將第二黏合層包封在阻障層殼中(518)。可以將第二黏合層形成為TEOS的單層。可以將阻障層殼形成為氮化矽的單層。The method also includes encapsulating the conductive shell in a second adhesive layer (eg, a second TEOS shell) (516), and encapsulating the second adhesive layer in a barrier layer shell (518). The second adhesive layer can be formed as a single layer of TEOS. The barrier shell can be formed as a monolayer of silicon nitride.

一旦藉由製程510-518形成了支撐結構,該方法還包括將結合層(例如氧化矽層)結合於支撐結構(520),並將實質單晶層(例如實質單晶矽層)結合於氧化矽層(522)。依據本發明的實施例,可以使用其他的實質單晶層,包括SiC、藍寶石、GaN、AlN、SiGe、Ge、金剛石、Ga2 O3 、ZnO等。結合層的結合可以包括沉積結合材料,隨後執行如本文所述之平坦化製程。在如下所述的實施例中,將實質單晶層(例如實質單晶矽層)連接於結合層係使用層轉移製程,其中該實質單晶層係自矽晶圓轉移的單晶矽層。Once the support structure is formed by processes 510-518, the method further includes bonding a bonding layer (eg, a silicon oxide layer) to the support structure (520), and bonding a substantially single crystal layer (eg, a substantially single crystal silicon layer) to the oxide Silicon layer (522). Other substantially single crystal layers may be used in accordance with embodiments of the present invention, including SiC, sapphire, GaN, AlN, SiGe, Ge, diamond, Ga2O3 , ZnO, and the like. Bonding of the bonding layers may include depositing bonding material followed by performing a planarization process as described herein. In the embodiments described below, connecting a substantially monocrystalline layer (eg, a substantially monocrystalline silicon layer) to the bonding layer uses a layer transfer process, wherein the substantially monocrystalline layer is a monocrystalline silicon layer transferred from a silicon wafer.

參照第1圖,結合層120可以藉由沉積厚的(例如4 μm厚)氧化物層隨後進行化學機械拋光(CMP)製程以將氧化物薄化至厚度約1.5 μm來形成。厚的初始氧化物用以填充存在於支撐結構上的空隙和表面特徵,該等空隙和表面特徵可能在製造多晶芯之後存在,並在形成第1圖圖示的包封層時繼續存在。CMP製程提供沒有空隙、顆粒或其他特徵的大體平坦表面,隨後可以在晶圓轉移製程期間使用該平坦表面來將實質單晶層122(例如實質單晶矽層)結合於結合層120。將理解的是,結合層120之特徵不必在於原子級平坦的表面,而是應提供將以期望的可靠度支撐結合實質單晶層(例如實質單晶矽層)的大體平坦表面。Referring to FIG. 1, the bonding layer 120 may be formed by depositing a thick (eg, 4 μm thick) oxide layer followed by a chemical mechanical polishing (CMP) process to thin the oxide to a thickness of about 1.5 μm. The thick initial oxide is used to fill voids and surface features that exist on the support structure, which may exist after the polycrystalline core is fabricated and continue to exist when the encapsulation layer illustrated in Figure 1 is formed. The CMP process provides a substantially flat surface free of voids, particles or other features, which can then be used to bond the substantially monocrystalline layer 122 (eg, substantially monocrystalline silicon layer) to the bonding layer 120 during the wafer transfer process. It will be appreciated that the bonding layer 120 need not be characterized by an atomically flat surface, but rather should provide a generally flat surface that will support the bonded substantially single crystal layer (eg, a substantially single crystal silicon layer) with the desired reliability.

可以使用層轉移製程來將實質單晶矽層122結合於結合層120。在一些實施例中,矽晶圓(例如矽(111)晶圓)被佈植而形成分裂面。在晶圓結合之後,矽基板可與分裂面下方的單晶矽層部分一起被移出,從而產生第1圖圖示的剝離單晶矽層122。可以改變實質單晶層122的厚度來滿足各種應用的規格。此外,可以改變實質單晶層122的晶體方向來滿足應用的規格。另外,可以改變實質單晶層122中的摻雜水平和分佈來滿足特定應用的規格。A layer transfer process may be used to bond the substantially single crystal silicon layer 122 to the bonding layer 120 . In some embodiments, silicon wafers (eg, silicon (111) wafers) are implanted to form split planes. After wafer bonding, the silicon substrate can be removed along with the portion of the single crystal silicon layer below the split plane, resulting in the lift-off single crystal silicon layer 122 shown in FIG. 1 . The thickness of the substantially single crystal layer 122 can be varied to meet the specifications of various applications. In addition, the crystal orientation of the substantially single crystal layer 122 can be changed to meet the specifications of the application. Additionally, the doping level and distribution in the substantially single crystal layer 122 can be varied to meet the specifications of a particular application.

第5圖圖示的方法還可以包括光滑化實質單晶層(524)。在一些實施例中,可以修改實質單晶層122的厚度和表面粗糙度獲得高品質的磊晶生長。關於實質單晶層122的厚度和表面光滑度,不同的元件應用可以具有略微不同的規格。分裂製程使實質單晶層122在佈植離子分佈的峰值處從塊體單晶矽晶圓分層。在分裂之後,實質單晶層122可以在幾個方面進行調整或修改,然後用作其他材料(例如氮化鎵)的磊晶生長的生長表面。The method illustrated in Figure 5 may also include smoothing the substantially single crystal layer (524). In some embodiments, the thickness and surface roughness of the substantially single crystal layer 122 can be modified to obtain high quality epitaxial growth. Different device applications may have slightly different specifications with respect to the thickness and surface smoothness of the substantially single crystal layer 122 . The splitting process delaminates the substantially single crystal layer 122 from the bulk single crystal silicon wafer at the peak of the implanted ion distribution. After splitting, the substantially monocrystalline layer 122 can be adjusted or modified in several ways and then used as a growth surface for epitaxial growth of other materials, such as gallium nitride.

第一,轉移的實質單晶層122可能含有少量的殘餘氫濃度,並且可能具有來自佈植物的一些晶體損傷。因此,移除轉移的實質單晶層122中晶格受損的薄部分可能是有益的。在一些實施例中,可以將佈植物的深度調整為大於實質單晶層122的期望最終厚度。額外的厚度允許移除轉移的實質單晶層被損壞的薄的部分,從而留下所需最終厚度的未損傷部分。First, the transferred substantially single crystal layer 122 may contain a small residual hydrogen concentration and may have some crystal damage from the cloth plant. Therefore, it may be beneficial to remove thin portions of the transferred substantially single crystal layer 122 where the lattice is damaged. In some embodiments, the depth of the cloth plant can be adjusted to be greater than the desired final thickness of the substantially monocrystalline layer 122 . The additional thickness allows removal of the damaged thin portion of the transferred substantially single crystal layer, leaving an undamaged portion of the desired final thickness.

第二,可能需要調整實質單晶層122的總厚度。一般來說,可能希望使實質單晶層122足夠厚以提供高品質的晶格模板用於隨後生長一個或更多個磊晶層、但又足夠薄以具有高度順應性。當實質單晶層122相對較薄時,可以將實質單晶層122稱為「順應的」,使得實質單晶層122的物理性質較不受限並能夠模擬周圍材料的物理性質,且產生結晶缺陷的傾向較低。實質單晶層122的順應性可與實質單晶層122的厚度成反比。較高的順應性可在模板上生長的磊晶層中產生較低的缺陷密度,並能夠生長較厚的磊晶層。在一些實施例中,可以藉由在剝離的矽層上磊晶生長矽來增加實質單晶層122的厚度。Second, the overall thickness of the substantially single crystal layer 122 may need to be adjusted. In general, it may be desirable to make the substantially monocrystalline layer 122 thick enough to provide a high quality lattice template for subsequent growth of one or more epitaxial layers, but thin enough to be highly compliant. When the substantially single crystalline layer 122 is relatively thin, the substantially single crystalline layer 122 may be referred to as "compliant" so that the physical properties of the substantially single crystalline layer 122 are less constrained and capable of mimicking the physical properties of surrounding materials and crystallization Less prone to defects. The compliance of the substantially single crystal layer 122 may be inversely proportional to the thickness of the substantially single crystal layer 122 . Higher compliance results in lower defect densities in epitaxial layers grown on templates and enables thicker epitaxial layers to be grown. In some embodiments, the thickness of the substantially single crystal layer 122 may be increased by epitaxially growing silicon on the exfoliated silicon layer.

第三,提高實質單晶層122的光滑度可能是有益的。層的光滑度可能與總氫劑量、任何共佈植物種的存在、以及用以形成氫基分裂面的退火條件相關。從層轉移(即分裂步驟)產生的初始粗糙度可以藉由熱氧化和氧化物剝除來減小,如以下所討論的。Third, it may be beneficial to improve the smoothness of the substantially single crystal layer 122 . The smoothness of the layer may be related to the total hydrogen dosage, the presence of any co-located plant species, and the annealing conditions used to form the hydrogen-based splitting planes. The initial roughness resulting from layer transfer (ie, the splitting step) can be reduced by thermal oxidation and oxide stripping, as discussed below.

在一些實施例中,移除損傷層並調整實質單晶層122的最終厚度可以透過熱氧化剝離矽層的頂部部分、隨後使用氟化氫(HF)酸進行氧化物層剝除來實現。例如,可以將初始厚度為0.5 μm的剝離矽層熱氧化以產生約420 nm厚的二氧化矽層。移除生長的熱氧化物之後,轉移層中剩餘的矽厚度可以為約53 nm。在熱氧化期間,佈植的氫可能往表面遷移。因此,隨後的氧化物層剝除可以移除一些損傷。並且,熱氧化通常在1000 ℃或更高的溫度下進行。升高的溫度也可以修復晶格損傷。In some embodiments, removing the damaged layer and adjusting the final thickness of the substantially single crystal layer 122 can be accomplished by thermal oxidation stripping the top portion of the silicon layer followed by oxide layer stripping using hydrogen fluoride (HF) acid. For example, a lift-off silicon layer with an initial thickness of 0.5 μm can be thermally oxidized to produce a silicon dioxide layer about 420 nm thick. After removing the grown thermal oxide, the remaining silicon thickness in the transfer layer can be about 53 nm. During thermal oxidation, the implanted hydrogen may migrate to the surface. Therefore, subsequent oxide layer stripping can remove some of the damage. Also, thermal oxidation is usually performed at a temperature of 1000° C. or higher. Elevated temperatures can also repair lattice damage.

在熱氧化期間形成在實質單晶層的頂部部分上的氧化矽層可以使用HF酸蝕刻剝除。可以藉由調整HF溶液的溫度和濃度以及氧化矽的化學計量和密度來調整HF酸對氧化矽和矽(SiO2 :Si)的蝕刻選擇率。蝕刻選擇率是指一種材料相對於另一種材料的蝕刻速率。HF溶液對於(SiO2 :Si)的選擇率可以在約10:1至約100:1的範圍內。高的蝕刻選擇率可以藉由與初始表面粗糙度相似的因子來降低表面粗糙度。然而,所得實質單晶層122的表面粗糙度仍可能大於所需的。例如,在附加處理之前藉由2 μm × 2 μm原子力顯微鏡(AFM)掃描測定,塊體Si(111)表面可能具有小於0.1 nm的均方根(RMS)表面粗糙度。在一些實施例中,在Si(111)上磊晶生長氮化鎵材料所需的表面粗糙度可以例如為在30 μm × 30 μm的AFM掃描區域上小於1 nm、小於0.5 nm、或小於0.2 nm。The silicon oxide layer formed on the top portion of the substantially single crystal layer during thermal oxidation can be stripped using an HF acid etch. The etch selectivity of HF acid to silicon oxide and silicon (SiO 2 : Si) can be adjusted by adjusting the temperature and concentration of the HF solution and the stoichiometry and density of the silicon oxide. Etch selectivity refers to the etch rate of one material relative to another. The selectivity of the HF solution to ( SiO2 :Si) may range from about 10:1 to about 100:1. High etch selectivity can reduce surface roughness by a factor similar to the initial surface roughness. However, the surface roughness of the resulting substantially single crystal layer 122 may still be greater than desired. For example, the bulk Si(111) surface may have a root mean square (RMS) surface roughness of less than 0.1 nm as determined by 2 μm × 2 μm atomic force microscopy (AFM) scanning before additional processing. In some embodiments, the surface roughness required for epitaxial growth of gallium nitride material on Si(111) may be, for example, less than 1 nm, less than 0.5 nm, or less than 0.2 nm over an AFM scan area of 30 μm x 30 μm nm.

假使實質單晶層122在熱氧化和氧化物層剝除之後的表面粗糙度超過所需的表面粗糙度,則可以進行另外的表面光滑化。有幾種將矽表面光滑化的方法。此等方法可以包括氫退火、雷射修整、電漿光滑化、及接觸拋光(例如化學機械拋光或CMP)。此等方法可能涉及優先侵蝕高深寬比的表面峰。因此,表面上的高深寬比特徵可以比低深寬比特徵更快被移除,從而產生更光滑的表面。Additional surface smoothing may be performed if the surface roughness of the substantially single crystal layer 122 after thermal oxidation and oxide layer stripping exceeds the desired surface roughness. There are several methods of smoothing the surface of silicon. Such methods may include hydrogen annealing, laser trimming, plasma smoothing, and contact polishing (eg, chemical mechanical polishing or CMP). Such methods may involve preferential erosion of high aspect ratio surface peaks. Therefore, high aspect ratio features on the surface can be removed faster than low aspect ratio features, resulting in a smoother surface.

應當理解的是,第5圖圖示的具體步驟提供了依據本發明之一實施例製造工程基板的特定方法。還可以依據替代實施例來執行其他的步驟順序。例如,本發明的替代實施例可以以不同的順序執行上述步驟。此外,第5圖圖示的各個步驟可以包括可以單個步驟適合的各種順序執行的多個子步驟。此外,可以視具體應用來添加或移除附加步驟。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。It should be understood that the specific steps illustrated in Figure 5 provide a specific method of fabricating an engineered substrate in accordance with one embodiment of the present invention. Other sequences of steps may also be performed in accordance with alternative embodiments. For example, alternative embodiments of the present invention may perform the steps described above in a different order. Furthermore, the various steps illustrated in Figure 5 may include multiple sub-steps that may be performed in various orders as appropriate for a single step. Furthermore, additional steps may be added or removed depending on the application. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

第6圖為圖示依據本發明之一實施例用於RF及功率應用的磊晶/工程基板結構之簡化示意圖。在一些LED應用中,工程基板結構提供能夠生長高品質GaN層的生長基板,而且隨後移出工程基板結構。然而,對於RF和功率元件的應用來說,工程基板結構形成完成元件的某些部分,結果,工程基板結構或工程基板結構的元件的電、熱及其它特性對於特定應用是重要的。6 is a simplified schematic diagram illustrating an epitaxial/engineered substrate structure for RF and power applications in accordance with one embodiment of the present invention. In some LED applications, the engineered substrate structure provides a growth substrate capable of growing high quality GaN layers, and then removed from the engineered substrate structure. However, for RF and power component applications, the engineered substrate structure forms some portion of the finished component, and as a result, the electrical, thermal, and other properties of the engineered substrate structure or components of the engineered substrate structure are important for the particular application.

參照第1圖,單晶矽層122通常是利用佈植和剝離技術從矽施體晶圓分離的剝離層。典型的佈植物是氫和硼。對於功率和RF元件的應用來說,工程基板結構中的層和材料的電性質是重要的。例如,一些元件架構使用電阻大於103 Ohm-cm的高絕緣矽層來減少或消除透過基板和界面層的洩漏。其他的應用利用包括具有預定厚度(例如1 μm)的導電矽層的設計,以將元件的源極連接到其它元件。因此,在這些應用中,希望可控制單晶矽層的尺寸和效能。在其中於層轉移期間使用佈植和剝離技術的設計中,殘餘佈植原子(例如氫或硼)存在於矽層中,從而改變電特性。另外,利用例如佈植劑量的調整來控制薄矽層的厚度、導電性及其它特性會是困難的,佈植劑量的調整會影響導電性以及佈植物分佈的半高寬(FWHM)、表面粗糙度、及分裂面位置精度、以及可能影響層厚度的佈植深度。Referring to FIG. 1, the monocrystalline silicon layer 122 is typically a lift-off layer separated from the silicon donor wafer using implant and lift-off techniques. Typical cloth plants are hydrogen and boron. The electrical properties of layers and materials in engineered substrate structures are important for power and RF component applications. For example, some device architectures use highly insulating silicon layers with resistances greater than 10 3 Ohm-cm to reduce or eliminate leakage through the substrate and interface layers. Other applications utilize designs that include a conductive silicon layer with a predetermined thickness (eg, 1 μm) to connect the source of an element to other elements. Therefore, in these applications, it is desirable to control the size and performance of the single crystal silicon layer. In designs where implant and lift-off techniques are used during layer transfer, residual implant atoms, such as hydrogen or boron, are present in the silicon layer, changing electrical properties. In addition, it can be difficult to control the thickness, conductivity, and other properties of the thin silicon layer by adjusting, for example, the implant dose, which affects the conductivity, as well as the full width at half maximum (FWHM), surface roughness, and surface roughness of the implant distribution. degree, and the accuracy of the position of the split surface, and the implantation depth which may affect the layer thickness.

依據本發明的實施例,利用在工程基板結構上的矽磊晶來實現單晶矽層適於特定元件設計的期望特性。In accordance with embodiments of the present invention, silicon epitaxy on an engineered substrate structure is utilized to achieve the desired properties of a single crystal silicon layer suitable for a particular device design.

參照第6圖,磊晶/工程基板結構600包括工程基板結構610和形成在工程基板結構610上的矽磊晶層620。工程基板結構610可以類似於第1圖、第3圖、及第4圖圖示的工程基板結構。通常,在層轉移之後,實質單晶矽層122在0.5 μm的等級。在一些製程中,可以使用表面調理製程來將單晶矽層122的厚度減小到約0.3 μm。為了將單晶矽層的厚度增加到約1 μm以用於製造例如可靠的歐姆接觸,使用磊晶製程在由層轉移製程形成的實質單晶矽層122上生長磊晶單晶矽層620。可以使用各種磊晶生長製程來生長磊晶單晶矽層620,包括CVD、ALD、MBE等。磊晶單晶矽層620的厚度可以在約0.1 μm至約20 μm的範圍內,例如介於0.1 μm和10 μm之間。Referring to FIG. 6 , an epitaxial/engineered substrate structure 600 includes an engineered substrate structure 610 and a silicon epitaxial layer 620 formed on the engineered substrate structure 610 . The engineered substrate structure 610 may be similar to the engineered substrate structures illustrated in FIGS. 1 , 3 , and 4 . Typically, after layer transfer, the substantially single crystal silicon layer 122 is on the order of 0.5 μm. In some processes, a surface conditioning process may be used to reduce the thickness of the single crystal silicon layer 122 to about 0.3 μm. In order to increase the thickness of the monocrystalline silicon layer to about 1 μm for making eg reliable ohmic contacts, an epitaxial monocrystalline silicon layer 620 is grown on the substantially monocrystalline silicon layer 122 formed by the layer transfer process using an epitaxial process. The epitaxial monocrystalline silicon layer 620 may be grown using various epitaxial growth processes, including CVD, ALD, MBE, and the like. The thickness of the epitaxial monocrystalline silicon layer 620 may be in the range of about 0.1 μm to about 20 μm, eg, between 0.1 μm and 10 μm.

第7圖為圖示依據本發明之一實施例在工程基板結構上的III-V磊晶層之簡化示意圖。如下所述,可以將第7圖圖示的結構稱為雙磊晶結構。如第7圖所圖示,包括磊晶單晶矽層620的工程基板結構710具有形成在其上的III-V磊晶層720。在一實施例中,III-V磊晶層包含氮化鎵(GaN)。FIG. 7 is a simplified schematic diagram illustrating a III-V epitaxial layer on an engineered substrate structure in accordance with one embodiment of the present invention. As described below, the structure illustrated in FIG. 7 may be referred to as a double epitaxial structure. As illustrated in FIG. 7, an engineered substrate structure 710 including an epitaxial monocrystalline silicon layer 620 has a III-V epitaxial layer 720 formed thereon. In one embodiment, the III-V epitaxial layer includes gallium nitride (GaN).

取決於所需的功能,III-V磊晶層720的期望厚度可以變化很大。在一些實施例中,III-V磊晶層720的厚度可以在0.5 μm與100 μm之間變化,例如厚度大於5 μm。在III-V磊晶層720上製造的元件的所得崩潰電壓可以視III-V磊晶層720的厚度而改變。一些實施例提供至少100 V、300 V、600 V、1.2 kV、1.7 kV、3.3 kV、5.5 kV、13 kV、或20 kV的崩潰電壓。The desired thickness of the III-V epitaxial layer 720 can vary widely depending on the desired functionality. In some embodiments, the thickness of the III-V epitaxial layer 720 may vary between 0.5 μm and 100 μm, eg, the thickness is greater than 5 μm. The resulting breakdown voltage of devices fabricated on the III-V epitaxial layer 720 may vary depending on the thickness of the III-V epitaxial layer 720 . Some embodiments provide a breakdown voltage of at least 100 V, 300 V, 600 V, 1.2 kV, 1.7 kV, 3.3 kV, 5.5 kV, 13 kV, or 20 kV.

為了在可以包括多個子層的III-V磊晶層720的某些部分之間提供導電性,在此實例中形成從III-V磊晶層720的頂部表面通入磊晶單晶矽層620的一組通孔724。通孔724可以襯有絕緣層(未圖示),使得通孔724與III-V磊晶層720絕緣。作為實例,可以藉由提供穿過通孔的歐姆接觸而使用此等通孔來將二極體或電晶體的電極連接到下方的矽層,從而緩和元件中的電荷積累。In order to provide electrical conductivity between certain portions of the III-V epitaxial layer 720, which may include multiple sub-layers, in this example a pass through the epitaxial monocrystalline silicon layer 620 is formed from the top surface of the III-V epitaxial layer 720 A set of through holes 724. The vias 724 may be lined with an insulating layer (not shown) such that the vias 724 are insulated from the III-V epitaxial layer 720 . As an example, vias may be used to connect the electrodes of a diode or transistor to the underlying silicon layer by providing ohmic contacts through the vias, thereby mitigating charge accumulation in the device.

假使在單晶矽層122上生長III-V磊晶層,則穿過通孔獲得此類歐姆接觸會是困難的,因為在單晶矽層122中終止通孔蝕刻將是困難的:例如可靠地在整個晶圓上蝕穿5 μm的GaN,並在0.3 μm的矽層中終止蝕刻。利用本發明的實施例可以提供厚度幾微米的單晶矽層,此舉在使用佈植和剝離製程之下是困難的,因為實現大的佈植深度需要高的佈植能量。接著,厚的矽層致能諸如能夠實現各式各樣元件設計的所說明通孔的應用。Obtaining such ohmic contacts through the vias would be difficult given the growth of a III-V epitaxial layer on the monocrystalline silicon layer 122, since it would be difficult to terminate the via etch in the monocrystalline silicon layer 122: eg reliable The 5 μm GaN is etched through the entire wafer and the etch is terminated in a 0.3 μm silicon layer. Embodiments of the present invention can provide monocrystalline silicon layers with a thickness of several micrometers, which is difficult using implant and lift-off processes because high implant energy is required to achieve large implant depths. Next, the thick silicon layer enables applications such as the illustrated vias that enable a wide variety of device designs.

除了藉由在單晶矽層122上磊晶生長單晶矽層620來增加矽「層」的厚度之外,可以對單晶矽層122的原始特性進行其它調整,包括導電性、結晶度等的修改。例如,假使在另外磊晶生長III-V層或其它材料之前需要在10 μm數量級的矽層,則可以依據本發明的實施例生長此類厚層。In addition to increasing the thickness of the silicon "layer" by epitaxially growing the monocrystalline silicon layer 620 on the monocrystalline silicon layer 122, other adjustments can be made to the original properties of the monocrystalline silicon layer 122, including conductivity, crystallinity, etc. Modifications. For example, if a silicon layer on the order of 10 μm is required before additional epitaxial growth of III-V layers or other materials, such thick layers can be grown in accordance with embodiments of the present invention.

因為佈植製程會影響單晶矽層122的性質,例如殘餘的硼/氫原子會影響矽的電性質,故本發明的實施例在磊晶生長單晶矽層620之前移除一部分的單晶矽層122。例如,可以將單晶矽層122薄化以形成厚度0.1 μm或更薄的層,從而移除大部分或所有的殘餘硼/氫原子。然後使用隨後生長的單晶矽層620來提供電及/或其它性質基本上與使用層轉移製程形成的層的相應性質無關的單晶材料。Because the implantation process can affect the properties of the monocrystalline silicon layer 122 , such as residual boron/hydrogen atoms can affect the electrical properties of silicon, the embodiment of the present invention removes a portion of the monocrystalline silicon layer 620 before epitaxially growing the monocrystalline silicon layer 620 . Silicon layer 122 . For example, the single crystal silicon layer 122 can be thinned to a thickness of 0.1 μm or less to remove most or all of the residual boron/hydrogen atoms. The subsequently grown single crystalline silicon layer 620 is then used to provide a single crystalline material whose electrical and/or other properties are substantially independent of the corresponding properties of the layers formed using the layer transfer process.

除了增加耦接到工程基板結構的單晶矽材料的厚度之外,磊晶單晶矽層620的電性質(包括導電性)可以不同於單晶矽層122的電性質。在生長期間摻雜磊晶單晶矽層620可以藉由使用硼摻雜來產生p型矽,並藉由使用磷摻雜來產生n型矽。可以生長未摻雜的矽以提供在具有絕緣區域的元件中使用的高電阻率矽。尤其,可將絕緣層用於RF元件。In addition to increasing the thickness of the single crystal silicon material coupled to the engineered substrate structure, the electrical properties, including conductivity, of the epitaxial single crystal silicon layer 620 may be different from the electrical properties of the single crystal silicon layer 122 . Doping the epitaxial monocrystalline silicon layer 620 during growth may produce p-type silicon by doping with boron and n-type silicon by doping with phosphorus. Undoped silicon can be grown to provide high resistivity silicon for use in devices with insulating regions. In particular, insulating layers can be used for RF elements.

可以在生長期間調整磊晶單晶矽層620的晶格常數以改變單晶矽層122的晶格常數而產生應變的磊晶材料。除了矽之外,還可以磊晶生長其它元素來提供層,包括含有矽鍺的應變層或類似物。例如,可以在單晶矽層122上、磊晶單晶矽層620上、或層之間生長緩衝層,以增強隨後的磊晶生長。此等緩衝層可以包括應變III-V層、矽鍺應變層等。另外,緩衝層和其它磊晶層可以以莫耳分率、摻雜劑、極性等分級。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。The lattice constant of the epitaxial single crystal silicon layer 620 can be adjusted during growth to change the lattice constant of the single crystal silicon layer 122 to generate a strained epitaxial material. In addition to silicon, other elements may be epitaxially grown to provide layers, including strained layers containing silicon germanium or the like. For example, a buffer layer may be grown on the monocrystalline silicon layer 122, on the epitaxial monocrystalline silicon layer 620, or between layers to enhance subsequent epitaxial growth. Such buffer layers may include strained III-V layers, silicon germanium strained layers, and the like. Additionally, buffer layers and other epitaxial layers can be graded in molar fractions, dopants, polarities, and the like. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

在一些實施例中,存在於單晶矽層122或磊晶單晶矽層620中的應變可以在後續磊晶層(包括III-V磊晶層)的生長期間被鬆弛。In some embodiments, the strain present in the monocrystalline silicon layer 122 or the epitaxial monocrystalline silicon layer 620 may be relaxed during growth of subsequent epitaxial layers, including III-V epitaxial layers.

第8圖為圖示依據本發明之另一實施例製造工程基板的方法之簡化流程圖。該方法包括藉由提供多晶陶瓷芯(810)、形成耦接到該多晶陶瓷芯的至少一部分的第一黏合層(812)來形成支撐結構。該第一黏合層可以包括正矽酸四乙酯(TEOS)層。該方法還包括形成耦接到該第一黏合層的導電層(814)。導電層可以是多晶矽層。該第一黏合層可被形成為TEOS的單層。該導電層可被形成為多晶矽的單層。FIG. 8 is a simplified flow chart illustrating a method of fabricating an engineered substrate in accordance with another embodiment of the present invention. The method includes forming a support structure by providing a polycrystalline ceramic core (810), forming a first adhesive layer (812) coupled to at least a portion of the polycrystalline ceramic core. The first adhesive layer may include a tetraethylorthosilicate (TEOS) layer. The method also includes forming a conductive layer (814) coupled to the first adhesive layer. The conductive layer may be a polysilicon layer. The first adhesive layer may be formed as a single layer of TEOS. The conductive layer may be formed as a single layer of polysilicon.

該方法還包括形成耦接到導電層的至少一部分的第二黏合層(816)、以及形成阻障殼(818)。該第二黏合層可被形成為TEOS的單層。該阻障殼可被形成為氮化矽的單層或一系列形成阻障殼的子層。The method also includes forming a second adhesive layer (816) coupled to at least a portion of the conductive layer, and forming a barrier shell (818). The second adhesive layer may be formed as a single layer of TEOS. The barrier shell can be formed as a single layer of silicon nitride or as a series of sublayers forming the barrier shell.

一旦藉由製程810-818形成了支撐結構,該方法還包括將結合層(例如氧化矽層)結合於支撐結構(820)並將實質單晶矽層或實質單晶層結合於氧化矽層(822)。結合層的結合可以包括如本文所述沉積結合材料,隨後進行平坦化製程。Once the support structure is formed by processes 810-818, the method further includes bonding a bonding layer (eg, a silicon oxide layer) to the support structure (820) and bonding a substantially single crystal silicon layer or a substantially single crystal layer to the silicon oxide layer (820). 822). Bonding of the bonding layer may include depositing bonding material as described herein, followed by a planarization process.

可以使用層轉移製程來將實質單晶矽層122結合於結合層120。在一些實施例中,矽晶圓(例如矽(111)晶圓)被佈植而形成分裂面。在晶圓結合之後,矽基板可與分裂面下方的單晶矽層部分一起被移出,從而產生第1圖圖示的剝離單晶矽層122。可以改變實質單晶矽層122的厚度來滿足各種應用的規格。此外,可以改變實質單晶層122的晶體方向來滿足應用的規格。另外,可以改變實質單晶層122中的摻雜水平和分佈來滿足特定應用的規格。在一些實施例中,可以將實質單晶矽層122光滑化,如上所述。A layer transfer process may be used to bond the substantially single crystal silicon layer 122 to the bonding layer 120 . In some embodiments, silicon wafers (eg, silicon (111) wafers) are implanted to form split planes. After wafer bonding, the silicon substrate can be removed along with the portion of the single crystal silicon layer below the split plane, resulting in the lift-off single crystal silicon layer 122 shown in FIG. 1 . The thickness of the substantially single crystal silicon layer 122 can be varied to meet the specifications of various applications. In addition, the crystal orientation of the substantially single crystal layer 122 can be changed to meet the specifications of the application. Additionally, the doping level and distribution in the substantially single crystal layer 122 can be varied to meet the specifications of a particular application. In some embodiments, the substantially single crystal silicon layer 122 may be smoothed, as described above.

第8圖圖示的方法還可以包括藉由在該實質單晶矽層上磊晶生長而形成磊晶矽層(824)、以及藉由在該磊晶矽層上磊晶生長而形成磊晶III-V層(826)。在一些實施例中,該磊晶III-V層可以包含氮化鎵(GaN)。The method illustrated in FIG. 8 may also include forming an epitaxial silicon layer (824) by epitaxial growth on the substantially single crystal silicon layer, and forming an epitaxial silicon layer by epitaxial growth on the epitaxial silicon layer Layer III-V (826). In some embodiments, the epitaxial III-V layer may comprise gallium nitride (GaN).

應當理解的是,第8圖圖示的具體步驟提供了依據本發明之另一實施例製造工程基板的特定方法。還可以依據替代實施例來執行其他的步驟順序。例如,本發明的替代實施例可以以不同的順序執行上述步驟。此外,第8圖圖示的各個步驟可以包括可以以單個步驟適合的各種順序執行的多個子步驟。另外,可以視特定應用來添加或移除附加步驟。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。It should be understood that the specific steps illustrated in FIG. 8 provide a specific method of fabricating an engineered substrate in accordance with another embodiment of the present invention. Other sequences of steps may also be performed in accordance with alternative embodiments. For example, alternative embodiments of the present invention may perform the steps described above in a different order. Furthermore, the various steps illustrated in Figure 8 may include multiple sub-steps that may be performed in various orders as appropriate for a single step. Additionally, additional steps may be added or removed depending on the particular application. Numerous changes, modifications, and substitutions will be recognized by those of ordinary skill in the art.

還應當理解的是,本文描述的實例和實施例僅用於說明的目的,而且鑒於該等實例和實施例,各種修改或變化將是所屬技術領域中具有通常知識之人士可聯想到的,而且將被包括在本申請的精神和範圍及所附申請專利範圍的範疇內。It should also be understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes will be conceivable to those of ordinary skill in the art in view of these examples and embodiments, and are intended to be included within the spirit and scope of this application and the scope of the appended claims.

100:工程基板 110:芯 112:第一黏合層 114:導電層 116:第二黏合層 118:阻障層 120:結合層 122:實質單晶矽層 130:磊晶材料 300:工程基板 314:導電層 316:第二黏合層 317:平面 412:第一黏合層 414:導電層 416:第二黏合層 418:阻障層 500:方法 600:磊晶/工程基板結構 610:工程基板結構 620:矽磊晶層 710:工程基板結構 720:III-V磊晶層 724:通孔100: Engineering Substrates 110: core 112: The first adhesive layer 114: Conductive layer 116: Second adhesive layer 118: Barrier layer 120: Bonding Layer 122: Substantial monocrystalline silicon layer 130: Epitaxy material 300: Engineering Substrate 314: Conductive layer 316: Second Adhesive Layer 317: Flat 412: The first adhesive layer 414: Conductive layer 416: Second adhesive layer 418: Barrier Layer 500: Method 600: Epitaxy/Engineered Substrate Structure 610: Engineering Substrate Structure 620: silicon epitaxial layer 710: Engineering Substrate Structures 720:III-V epitaxial layer 724: Through hole

第1圖為圖示依據本發明之一實施例的工程基板結構之簡化示意圖。FIG. 1 is a simplified schematic diagram illustrating an engineering substrate structure according to an embodiment of the present invention.

第2A圖為圖示依據本發明之一實施例工程結構的物種濃度為深度的函數之SIMS曲線。Figure 2A is a SIMS curve illustrating the concentration of species as a function of depth for engineered structures in accordance with one embodiment of the present invention.

第2B圖為圖示依據本發明之一實施例工程結構在退火之後的物種濃度為深度的函數之SIMS曲線。Figure 2B is a SIMS curve illustrating the species concentration as a function of depth for an engineered structure after annealing in accordance with one embodiment of the present invention.

第2C圖為圖示依據本發明之一實施例具有氮化矽層的工程結構在退火之後的物種濃度為深度的函數之SIMS曲線。FIG. 2C is a SIMS curve illustrating the species concentration as a function of depth after annealing for an engineered structure having a silicon nitride layer in accordance with one embodiment of the present invention.

第3圖為圖示依據本發明之另一實施例的工程基板結構之簡化示意圖。FIG. 3 is a simplified schematic diagram illustrating the structure of an engineering substrate according to another embodiment of the present invention.

第4圖為圖示依據本發明之又另一實施例的工程基板結構之簡化示意圖。FIG. 4 is a simplified schematic diagram illustrating the structure of an engineering substrate according to yet another embodiment of the present invention.

第5圖為圖示依據本發明之一實施例製造工程基板的方法之簡化流程圖。5 is a simplified flow diagram illustrating a method of fabricating an engineered substrate in accordance with one embodiment of the present invention.

第6圖為圖示依據本發明之一實施例用於RF及功率應用的磊晶/工程基板結構之簡化示意圖。6 is a simplified schematic diagram illustrating an epitaxial/engineered substrate structure for RF and power applications in accordance with one embodiment of the present invention.

第7圖為圖示依據本發明之一實施例在工程基板結構上的III-V磊晶層之簡化示意圖。FIG. 7 is a simplified schematic diagram illustrating a III-V epitaxial layer on an engineered substrate structure in accordance with one embodiment of the present invention.

第8圖為圖示依據本發明之另一實施例製造工程基板的方法之簡化流程圖。FIG. 8 is a simplified flow chart illustrating a method of fabricating an engineered substrate in accordance with another embodiment of the present invention.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無Domestic storage information (please note in the order of storage institution, date and number) without Foreign deposit information (please note in the order of deposit country, institution, date and number) without

100:工程基板 100: Engineering Substrates

110:芯 110: core

112:第一黏合層 112: The first adhesive layer

114:導電層 114: Conductive layer

116:第二黏合層 116: Second adhesive layer

118:阻障層 118: Barrier layer

120:結合層 120: Bonding Layer

122:實質單晶矽層 122: Substantial monocrystalline silicon layer

130:磊晶材料 130: Epitaxy material

Claims (10)

一種製造一基板的方法,該方法包含以下步驟: 藉由以下步驟形成一支撐結構:提供一多晶陶瓷芯;形成一第一黏合層,該第一黏合層耦接到該多晶陶瓷芯;形成一導電層,該導電層耦接到該第一黏合層;形成一第二黏合層,該第二黏合層耦接到該導電層;及形成一阻障層,該阻障層耦接到該第二黏合層;形成一結合層,該結合層耦接到該支撐結構;將一實質單晶矽層結合到該結合層;以及形成一個或更多個磊晶III-V層,該一個或更多個磊晶III-V層耦接到該實質單晶矽層。A method of manufacturing a substrate, the method comprising the steps of: A support structure is formed by the steps of: providing a polycrystalline ceramic core; forming a first adhesive layer coupled to the polycrystalline ceramic core; forming a conductive layer coupled to the first adhesive layer an adhesive layer; forming a second adhesive layer, the second adhesive layer being coupled to the conductive layer; and forming a barrier layer, the barrier layer being coupled to the second adhesive layer; forming a bonding layer, the bonding layers coupled to the support structure; bonding a substantially monocrystalline silicon layer to the bonding layer; and forming one or more epitaxial III-V layers coupled to The substantially single crystal silicon layer. 如請求項1所述之方法,其中該多晶陶瓷芯包含氮化鋁。The method of claim 1, wherein the polycrystalline ceramic core comprises aluminum nitride. 如請求項2所述之方法,其中該一個或更多個磊晶III-V層包含一磊晶氮化鎵層。The method of claim 2, wherein the one or more epitaxial III-V layers comprise an epitaxial gallium nitride layer. 如請求項3所述之方法,其中該磊晶氮化鎵層具有約5 μm或更大的一厚度。The method of claim 3, wherein the epitaxial gallium nitride layer has a thickness of about 5 μm or more. 如請求項3所述之方法,其中該一個或更多個磊晶III-V層進一步包含一磊晶氮化鋁層,或一磊晶氮化鎵鋁層,或其組合。The method of claim 3, wherein the one or more epitaxial III-V layers further comprise an epitaxial aluminum nitride layer, or an epitaxial aluminum gallium nitride layer, or a combination thereof. 如請求項1所述之方法,其中藉由剝離來執行將該實質單晶矽層結合的步驟。The method of claim 1, wherein the step of bonding the substantially single crystal silicon layer is performed by lift-off. 如請求項6所述之方法,在形成該一個或更多個磊晶III-V層的步驟之前,進一步包含以下步驟: 形成一磊晶矽層,該磊晶矽層耦接到該實質單晶矽層; 其中該一個或更多個磊晶III-V層耦接到該磊晶矽層。The method of claim 6, before the step of forming the one or more epitaxial III-V layers, further comprising the following steps: forming an epitaxial silicon layer coupled to the substantially single crystal silicon layer; wherein the one or more epitaxial III-V layers are coupled to the epitaxial silicon layer. 如請求項7所述之方法,其中該磊晶矽層具有應變。The method of claim 7, wherein the epitaxial silicon layer is strained. 如請求項1所述之方法,其中: 該第一黏合層包含正矽酸四乙酯(TEOS); 該導電層包含多晶矽; 該第二黏合層包含TEOS; 該阻障層包含氮化矽;及 該結合層包含氧化矽。A method as claimed in claim 1, wherein: the first adhesive layer comprises tetraethylorthosilicate (TEOS); the conductive layer comprises polysilicon; the second adhesive layer includes TEOS; the barrier layer comprises silicon nitride; and The bonding layer contains silicon oxide. 如請求項9所述之方法,其中: 該第一黏合層包封該多晶陶瓷芯; 該導電層包封該第一黏合層; 該第二黏合層包封該導電層;及 該阻障層包封該第二黏合層。The method of claim 9, wherein: the first adhesive layer encapsulates the polycrystalline ceramic core; the conductive layer encapsulates the first adhesive layer; the second adhesive layer encapsulates the conductive layer; and The barrier layer encapsulates the second adhesive layer.
TW110133509A 2016-06-14 2017-06-13 Engineered substrate structure for power and rf applications TWI793755B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662350084P 2016-06-14 2016-06-14
US201662350077P 2016-06-14 2016-06-14
US62/350,084 2016-06-14
US62/350,077 2016-06-14

Publications (2)

Publication Number Publication Date
TW202203473A true TW202203473A (en) 2022-01-16
TWI793755B TWI793755B (en) 2023-02-21

Family

ID=60664230

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106119602A TWI743136B (en) 2016-06-14 2017-06-13 Engineered substrate structure for power and rf applications
TW110133509A TWI793755B (en) 2016-06-14 2017-06-13 Engineered substrate structure for power and rf applications

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106119602A TWI743136B (en) 2016-06-14 2017-06-13 Engineered substrate structure for power and rf applications

Country Status (7)

Country Link
EP (1) EP3469119A4 (en)
JP (4) JP6626607B2 (en)
KR (1) KR102361057B1 (en)
CN (2) CN109844184B (en)
SG (1) SG11201810919UA (en)
TW (2) TWI743136B (en)
WO (1) WO2017218536A1 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109844184B (en) * 2016-06-14 2021-11-30 克罗米斯有限公司 Engineered substrate structures for power and radio frequency applications
US10297445B2 (en) 2016-06-14 2019-05-21 QROMIS, Inc. Engineered substrate structure for power and RF applications
US10622468B2 (en) 2017-02-21 2020-04-14 QROMIS, Inc. RF device integrated on an engineered substrate
US10734303B2 (en) * 2017-11-06 2020-08-04 QROMIS, Inc. Power and RF devices implemented using an engineered substrate structure
US10586844B2 (en) * 2018-01-23 2020-03-10 Texas Instruments Incorporated Integrated trench capacitor formed in an epitaxial layer
TWI692869B (en) * 2019-05-03 2020-05-01 世界先進積體電路股份有限公司 Substrates and methods for forming the same
JP7319227B2 (en) 2020-05-11 2023-08-01 信越化学工業株式会社 BASE SUBSTRATE FOR III-V COMPOUND CRYSTAL AND METHOD FOR MANUFACTURING THE SAME
EP4163424A1 (en) 2020-06-09 2023-04-12 Shin-Etsu Chemical Co., Ltd. Substrate for group-iii nitride epitaxial growth and method for producing the same
JP2022012558A (en) 2020-07-01 2022-01-17 信越化学工業株式会社 Substrate for large-bore group iii nitride-based epitaxial growth, and production method thereof
KR102446604B1 (en) * 2021-01-04 2022-09-26 한국과학기술원 Growth structure for strained channel, and methods for manufacturing strained channel and device using the same
KR20230137921A (en) 2021-02-05 2023-10-05 신에쯔 한도타이 가부시키가이샤 Nitride semiconductor substrate and manufacturing method thereof
JP2022131086A (en) 2021-02-26 2022-09-07 信越半導体株式会社 Nitride semiconductor substrate and manufacturing method for the same
JPWO2022191079A1 (en) * 2021-03-10 2022-09-15
WO2022259651A1 (en) 2021-06-08 2022-12-15 信越半導体株式会社 Nitride semiconductor substrate and method for producing same
JP2023025432A (en) * 2021-08-10 2023-02-22 信越半導体株式会社 Nitride semiconductor substrate and method for producing the same
JPWO2023047864A1 (en) * 2021-09-21 2023-03-30
WO2023063046A1 (en) * 2021-10-15 2023-04-20 信越半導体株式会社 Nitride semiconductor substrate and manufacturing method therefor
WO2023063278A1 (en) * 2021-10-15 2023-04-20 信越半導体株式会社 Nitride semiconductor substrate and method for producing same
JP2023065227A (en) 2021-10-27 2023-05-12 信越化学工業株式会社 Epitaxial growth seed substrate, method for manufacturing the same, semiconductor substrate and method for manufacturing the same
JP2023098137A (en) * 2021-12-28 2023-07-10 信越化学工業株式会社 Substrate for high characteristic epitaxial growth and method for manufacturing the same
WO2024101019A1 (en) * 2022-11-11 2024-05-16 信越半導体株式会社 Substrate for high frequency devices and method for producing same

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4430149A (en) * 1981-12-30 1984-02-07 Rca Corporation Chemical vapor deposition of epitaxial silicon
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US6972255B2 (en) * 2003-07-28 2005-12-06 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
US7420226B2 (en) * 2005-06-17 2008-09-02 Northrop Grumman Corporation Method for integrating silicon CMOS and AlGaN/GaN wideband amplifiers on engineered substrates
US20060284167A1 (en) * 2005-06-17 2006-12-21 Godfrey Augustine Multilayered substrate obtained via wafer bonding for power applications
CN100424878C (en) * 2006-11-21 2008-10-08 华中科技大学 Ferroelectric film capacity used for ferroelectric memorizer and its manufacturing method
CN101192533B (en) * 2006-11-28 2010-06-16 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof, and etch stop layer forming method
FR2912552B1 (en) * 2007-02-14 2009-05-22 Soitec Silicon On Insulator MULTILAYER STRUCTURE AND METHOD FOR MANUFACTURING THE SAME
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
CN101669193B (en) 2007-04-27 2012-02-15 株式会社半导体能源研究所 Soi substrate and manufacturing method of the same, and semiconductor device
US20090278233A1 (en) * 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
CN101621005B (en) * 2008-07-02 2012-08-22 中芯国际集成电路制造(上海)有限公司 TFT MONOS or SONOS memory cell structure
US7915645B2 (en) 2009-05-28 2011-03-29 International Rectifier Corporation Monolithic vertically integrated composite group III-V and group IV semiconductor device and method for fabricating same
CN102044473B (en) * 2009-10-13 2013-03-06 中芯国际集成电路制造(上海)有限公司 Formation method of semiconductor device
US9012253B2 (en) * 2009-12-16 2015-04-21 Micron Technology, Inc. Gallium nitride wafer substrate for solid state lighting devices, and associated systems and methods
KR20120020526A (en) * 2010-08-30 2012-03-08 삼성전자주식회사 Substrate have buried conductive layer and formation method thereof, and fabricating method of semiconductor device using the same
CN102456721A (en) * 2010-10-17 2012-05-16 金木子 Gallium nitride-based chip with ceramic substrate and manufacturing method
US8546165B2 (en) * 2010-11-02 2013-10-01 Tsmc Solid State Lighting Ltd. Forming light-emitting diodes using seed particles
JP2014507363A (en) * 2010-12-14 2014-03-27 ヘクサテック,インコーポレイテッド Thermal expansion treatment of polycrystalline aluminum nitride sintered body and its application to semiconductor manufacturing
JP2012142385A (en) * 2010-12-28 2012-07-26 Sumitomo Electric Ind Ltd Semiconductor device manufacturing method
US8916483B2 (en) 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
JP6152548B2 (en) * 2012-08-06 2017-06-28 並木精密宝石株式会社 Gallium oxide substrate and manufacturing method thereof
US9082692B2 (en) * 2013-01-02 2015-07-14 Micron Technology, Inc. Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices
US9650723B1 (en) * 2013-04-11 2017-05-16 Soraa, Inc. Large area seed crystal for ammonothermal crystal growth and method of making
JP6176069B2 (en) * 2013-11-13 2017-08-09 住友電気工業株式会社 Group III nitride composite substrate and method for manufacturing the same, laminated group III nitride composite substrate, group III nitride semiconductor device and method for manufacturing the same
KR102300972B1 (en) * 2014-07-04 2021-09-09 미쓰비시 마테리알 가부시키가이샤 Substrate unit for power modules, and power module
JP2016058693A (en) 2014-09-12 2016-04-21 株式会社東芝 Semiconductor device, semiconductor wafer, and method of manufacturing semiconductor device
US9997391B2 (en) * 2015-10-19 2018-06-12 QROMIS, Inc. Lift off process for chip scale package solid state devices on engineered substrate
WO2017096032A1 (en) * 2015-12-04 2017-06-08 Quora Technology, Inc. Wide band gap device integrated circuit architecture on engineered substrate
CN109844184B (en) 2016-06-14 2021-11-30 克罗米斯有限公司 Engineered substrate structures for power and radio frequency applications
JP6580267B2 (en) * 2016-07-26 2019-09-25 三菱電機株式会社 Semiconductor device and manufacturing method of semiconductor device
CN109671612B (en) * 2018-11-15 2020-07-03 中国科学院上海微系统与信息技术研究所 Gallium oxide semiconductor structure and preparation method thereof

Also Published As

Publication number Publication date
JP2022058405A (en) 2022-04-12
TWI743136B (en) 2021-10-21
JP2019523994A (en) 2019-08-29
SG11201810919UA (en) 2019-01-30
TWI793755B (en) 2023-02-21
JP6626607B2 (en) 2019-12-25
EP3469119A4 (en) 2020-02-26
CN114256068A (en) 2022-03-29
KR20190019122A (en) 2019-02-26
TW202322418A (en) 2023-06-01
CN109844184B (en) 2021-11-30
JP7416556B2 (en) 2024-01-17
CN109844184A (en) 2019-06-04
JP2020074399A (en) 2020-05-14
WO2017218536A1 (en) 2017-12-21
EP3469119A1 (en) 2019-04-17
JP2023182643A (en) 2023-12-26
JP7001660B2 (en) 2022-01-19
TW201807839A (en) 2018-03-01
KR102361057B1 (en) 2022-02-08

Similar Documents

Publication Publication Date Title
JP7416556B2 (en) Engineered board structure for power and RF applications
TWI781132B (en) Gallium nitride epitaxial structures for power devices
US11387101B2 (en) Methods of manufacturing engineered substrate structures for power and RF applications
TWI801447B (en) Power and rf devices implemented using an engineered substrate structure
JP7118069B2 (en) Method and system for vertical power devices
TWI839076B (en) Engineered substrate structure for power and rf applications