TW202143328A - 用於調整膜應力之方法 - Google Patents

用於調整膜應力之方法 Download PDF

Info

Publication number
TW202143328A
TW202143328A TW110113550A TW110113550A TW202143328A TW 202143328 A TW202143328 A TW 202143328A TW 110113550 A TW110113550 A TW 110113550A TW 110113550 A TW110113550 A TW 110113550A TW 202143328 A TW202143328 A TW 202143328A
Authority
TW
Taiwan
Prior art keywords
film
reactant
substrate
sih
stress
Prior art date
Application number
TW110113550A
Other languages
English (en)
Inventor
李承泫
崔丞佑
金顯哲
具𠯋炫
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202143328A publication Critical patent/TW202143328A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

所提供者係一種調整膜應力之方法。在一實施例中,藉由在第一步驟中循序且交替地供應第一反應物及第二反應物,而在基板上形成第一膜,並藉由在第二步驟中供應第三反應物至第一膜,而將第一膜轉化成第二膜。第二膜之膜應力係藉由控制第一步驟對第二步驟的比率來進行調整。

Description

用於處理一基板之方法
本揭露提供一種用於處理基板之方法,更具體地,一種用於調整形成於基板上之膜的應力之方法。
基板及形成於其上的膜可在高溫製程中經受熱處理而產生應力。此可導致基板變形(諸如翹曲(warpage)或開裂(crack))、或膜剝離、或裝置性質劣化。第1圖顯示膜的應力可如何導致膜的剝離或者基板的斷裂或變形。
本揭露提供一種用於處理一基板之方法。更具體地,本揭露提供一種用於調整一膜的一應力之方法。
根據一實施例,一第一膜可藉由在一第一步驟中循序且交替地供應一第一反應物及一第二反應物而形成在基板上。第一膜可藉由在一第二步驟中供應一第三反應物而轉化成一第二膜。第二反應物可經活化。第三反應物可經活化並對第一膜具反應性。第二膜之應力可藉由控制第一步驟對第二步驟的循環比率來進行調整。
根據另一實施例,一第一膜可藉由在一第一步驟中循序且交替地供應一第一反應物及一第二反應物,而形成在具有作為一硬遮罩的一圖案之基板上。第一膜可藉由在一第二步驟中供應一第三反應物而轉化成一第二膜。第二反應物可經活化。第三反應物可經活化並對第一膜具反應性。在50°C下形成之硬遮罩的濕式蝕刻率可與在300°C或更高溫度下形成之氧化矽硬遮罩的濕式蝕刻率幾乎相同。
根據另一實施例,一第一膜可藉由在一第一步驟中循序且交替地供應一第一反應物及一第二反應物而形成在一基板背側上,並可藉由在一第二步驟中供應一第三反應物而轉化成一第二膜。第二反應物可經活化。第三反應物可經活化並對第一膜具反應性。一第三膜可在高溫下形成於基板前側上。可在處理基板之後蝕除第二膜。
根據另一實施例,提供一種具有一待填充間隙之基板,且間隙可以一第一膜填充。一第二膜可藉由在一第一步驟中循序且交替地供應一第一反應物及一第二反應物而形成在第一膜上,並可藉由在一第二步驟中供應一第三反應物而轉化成一第三膜。第二反應物可經活化。第三反應物係經活化並對第二膜具反應性。
根據本揭露之基板處理方法提供調整膜應力以及防止及/或抑制由於膜或基板之應力而發生的基板變形或膜剝離之方法。
第2圖係根據一實施例之製程流程,且第2圖之細節係描述如下。
-裝載基板(101):可將基板裝載至反應空間中之基板支撐(substrate support)上。基板支撐(substrate support)支撐了基板,並對基板提供熱能,以保持基板溫度處於指定溫度。
-形成第一膜(201):第一膜可藉由交替且循序地供應第一反應物及第二反應物至基板而形成在基板上。第一反應物可以是含有Si元素之前驅物,且第二反應物可藉由射頻功率來活化。第二反應物可不與第一反應物起化學反應。例如,第一反應物可以是胺基矽烷(aminosilane)前驅物。第二反應物可以是非活性氣體(諸如Ar、或He、或其組合)。在此步驟中,第一反應物可藉由電漿來解離(dissociated)及打斷,並吸附至基板上。由於在第一反應物與第二反應物之間可不存在化學反應,經吸附至基板上的膜可包含經解離或打斷的第一反應物分子之片段(fragments)(例如矽(Si)、碳(C)、氮(N)、氯(Cl)、碘(I)、烷基配位基(例如 Cn H2n+1 )、及氫(H)片段、及/或其混合物)。第一膜的第一層可化學吸附至基板。第二層可沉積在第一膜上方,並可包含那些片段的堆疊。第一膜可藉由經活化的第二氣體來緻密化(densified)。同樣地,經活化的第二氣體可有助於第一氣體的解離。此第一步驟可重複「M」次。
-將第一膜轉化成第二膜(301):第三反應物可供應至形成在基板上之第一膜。第三反應物可由射頻功率活化,並與第一膜起化學反應。第三反應物可以是含氧氣體,更佳地,第三反應物可以是氧。在此步驟中,第一膜可轉化成第二膜。由於經活化之第三反應物與第一膜起化學反應,第一膜可轉化成第二膜。例如,第二膜可以是SiO2 膜。此第二步驟可重複「N」次。
在第2圖中,第一步驟201對第二步驟301之循環比率可大於5、較佳地大於20、或更佳地大於50。例如,第一步驟201可重複50次,且第二步驟301可重複一次。進一步地,第一步驟201及第二步驟301可作為群組循環或超循環重複「X」次(至少一次),以在第一膜厚度增加時更加地促成第一膜轉化成第二膜。
第3圖係第2圖之製程流程的示意圖。第3圖之第一步驟及第二步驟分別對應於第2圖之第一步驟201、第二步驟301。在第3圖之第一步驟中,第一層可藉由循序且交替地供應第一反應物及第二反應物來形成。例如,第二反應物可藉由射頻功率來活化。
在另一實施例中,第二反應物可如第3圖所示般連續地供應。在第3圖中,第一步驟可重複「M」次(至少一次),隨後進行第二步驟。在第3圖之第二步驟中,可供應並由電漿活化第三反應物,且第二步驟可重複「N」次(至少一次)。第一步驟對第二步驟之循環比率(也就是M/N)可大於5、較佳地大於20、或更佳地大於50。經活化的第三反應物可將第一膜轉化成第二膜。例如,第一反應物可以是含Si前驅物,第二反應物可以是Ar,且第三反應物可以是氧。作為第一反應物之含矽前驅物可以是下列中之至少一者:DIPAS(SiH3 N(iPr)2 )、TSA((SiH3 )3 N)、DSO((SiH3 )2 )、DSMA((SiH3 )2 NMe)、DSEA((SiH3 )2 NEt)、DSIPA((SiH3 )2 N(iPr) )、DSTBA((SiH3 )2 N(tBu) )、DEAS(SiH3 NEt2 )、DTBAS(SiH3 N(tBu)2 )、BDEAS(SiH2 (NEt2 )2 )、BDMAS(SiH2 (NMe2 )2 )、BTBAS(SiH2 (NHtBu)2 )、BITS(SiH2 (NHSiMe3 )2 )、TEOS(Si(OEt)4 )、SiCl4 、HCD(Si2 Cl6 )、3DMAS(SiH(N(Me)2 )3 )、BEMAS(SiH2 [N(Et)(Me)]2 )、AHEAD(Si2 (NHEt)6 )、TEAS(Si(NHEt)4 )、Si3 H8 、DCS(SiH2 Cl2 )、SiHI3 、SiH2 I2 、或其混合物。作為第三反應物之氧氣可以是O2 、O3 、CO2 、H2 O、NO2 、及N2 O、或其混合物中之至少一者。
第一膜可以是由電漿緻密化之Si前驅物分子的片段堆疊,並可藉由氧電漿來轉化成作為第二膜之SiO2 。換言之,步驟1可以是源塗佈(source coating)步驟,且步驟2可以是氧處理步驟。在第3圖中,步驟2之電漿條件可改變。例如,射頻功率可以提供為具有某一工作比的脈衝,以減少對基板或子層(sublayer)的損壞。
如第4圖所示,當以脈衝提供射頻功率時,實際射頻功率供應時間b對射頻脈衝之單位循環時間a的比率(也就是b/a)係定義為工作比。在根據本揭露概念之另一實施例中,射頻功率可以提供為具有10%至75%之工作比範圍的脈衝。
第5圖係根據本揭露概念之另一實施例。在第一步驟中,可以連續模式供應電漿,但在第二步驟中,可以具有某一工作比的脈衝模式供應電漿。但以脈衝供應電漿並未受限於此。在另一實施例中,可以脈衝供應電漿至第一步驟及第二步驟中之至少一者。
表1係根據第3圖之一實施例的實驗條件,其中可以連續模式供應電漿,其中可不以脈衝供應電漿。 表1:一實施例之實驗條件
項目 條件
製程溫度(°C) 室溫至150°C(較佳地50至150°C)
製程壓力托耳((Torr)) 1.0至5.0(較佳地2.0至3.0托耳)
Si前驅物 DIPAS(二異丙基胺基矽烷(diisopropylaminosilane))
反應物 O2
吹掃氣體 Ar
形成第一膜之第一步驟
製程時間(秒) 源饋送(S1) 0.05至2.0秒(較佳地0.1至1.0秒)
源吹掃(S2) 0.05至2.0秒(較佳地0.1至1.0秒)
電漿開啟(S3) 0.05至2.0秒(較佳地0.1至1.0秒)
吹掃(S4) 0.05至2.0秒(較佳地0.1至1.0秒)
S1至S4循環 50至200個循環(較佳地100至150個循環)
氣體   流量率(單位時間標準毫升數(sccm)) 源載體Ar 100至10,000 單位時間標準毫升數(較佳地600至1,200 單位時間標準毫升數)
吹掃Ar 1,000至10,000 單位時間標準毫升數(較佳地3,000至6,000 單位時間標準毫升數)
電漿條件 射頻(RF)功率(瓦(W)) 100至1,000瓦(較佳地200至400瓦)
射頻頻率 13至100百萬赫茲(MHz)(較佳地27至60百萬赫茲)
將第一膜轉化成第二膜之第二步驟
製程時間(秒) 預吹掃(S5) 0.05至5.0秒(較佳地0.5至5.0秒)
電漿開啟(S6) 0.05至3.0秒(較佳地0.1至2.0秒)
吹掃(S7) 0.05至2.0秒(較佳地0.1至1.0秒)
S5至S7循環 1至10個循環(較佳地1至5個循環)
氣體   流量率(單位時間標準毫升數) 反應物(O2 50至1000 單位時間標準毫升數(較佳地200至500 單位時間標準毫升數)
吹掃Ar 1,000至10,000 單位時間標準毫升數(較佳地3,000至6,000 單位時間標準毫升數)
電漿 條件   射頻功率(瓦) 100至1,000瓦(較佳地200至500瓦)
射頻頻率 13至100百萬赫茲(較佳地27至60百萬赫茲)
第6圖顯示根據第一步驟對第二步驟之循環比率的膜應力。在第6圖中,藉由在其中交替且循序地提供含矽前驅物及氧電漿以形成SiO2 膜之正規製程(normal process)於室溫下所形成的SiO2 膜可具有109.8百萬帕(MPa)的拉伸應力,但根據本揭露概念之SiO2 膜之應力可根據第一步驟對第二步驟的循環比率而改變。
在第一步驟中,可交替且循序地將作為第一反應物之含Si前驅物及作為第二反應物之Ar電漿提供至基板,以形成由元素及經解離之Si前驅物的配位基之片段所組成的第一膜。
在第二步驟中,可將作為第三反應物之氧電漿提供至第一膜,以將第一膜轉化成作為第二膜之SiO2 膜。在一實施例中,第一步驟對第二步驟的循環比率可以是50。例如,第一步驟可以是50個循環,且第二步驟可以是一個循環。在另一實施例中,第一步驟對第二步驟的循環比率可以是100。例如,第一步驟可以是100個循環,且第二步驟可以是一個循環。
第7圖顯示在實行第一步驟及第二步驟之後的膜組成。如第7圖所示,在第6圖之50:1及100:1的循環比率條件下所形成之SiO2 膜例如具有理想配比(stoichiometric)的SiO2 膜組成,而無來自氮、碳、及氫、或其混合物之片段的其他元素。此意指第一膜實質上可藉由作為第三反應物之氧電漿來轉化成第二膜。
如第6圖所示,第一膜之膜應力具有-74.8百萬帕之壓縮應力,但隨著氧電漿的提供及第一膜經轉化成第二膜,膜應力轉為拉伸應力。同樣地,步驟1對步驟2之循環比率越高,SiO2 膜之拉伸應力越高。也就是說,SiO2 膜之應力可藉由控制第一步驟對第二步驟的循環比率而適當地調整。因此,可設定最佳製程條件或循環比率以防止基板變形及膜剝離(例如翹曲、開裂等)。因此,可藉由控制循環比率來形成具有目標應力的膜。
在另一實施例中,可實行此製程以引入應力控制膜。例如,若在反應器中以高溫處理基板,使其經受壓縮應力或拉伸應力,則基板可能會變形或斷裂或者可能在其中發生膜剝離或開裂。在此情況下,可將應力控制膜引入基板背側,以抵消基板的壓縮應力或拉伸應力。應力控制膜可以是在處理基板之前藉由根據前述之第2圖及第3圖之方法所形成之具有壓縮應力或拉伸應力的膜。也就是說,應力控制膜的應力可藉由調整源塗佈步驟對電漿處理步驟的循環比率來抵消基板的應力。因此,應力控制膜可在基板的高溫處理期間抑制基板變形及基板上之膜中的開裂。第8圖顯示引入應力控制膜之製程流程。
在第8圖中,第一膜可藉由在第一步驟中供應第一反應物及第二反應物而形成在基板背側上。接著,第一膜可藉由在第二步驟中供應第三反應物而轉化成第二膜。第二膜之應力可藉由控制第一步驟對第二步驟的循環比率來進行調整,且第二膜可充當應力控制膜。製程序列係更詳細地描述於第2圖及第3圖中,因此在本文中省略其詳細描述。之後,第三膜可形成於基板前側上。在完成製程之後,應力控制膜(也就是第二膜)可藉由含氟蝕刻劑(諸如CF4 )來移除。藉由實行此製程,可抑制基板之變形或斷裂以及第三膜中之開裂或損壞。
第8圖之製程可非原位(ex-situ)執行。例如,此製程可在其中具有複數個反應器之室中實行。例如,基板可藉由循序地從一個反應器轉移至另一者以實行第8圖的各步驟來進行處理。
第9圖顯示第8圖之實施例。在第9A圖中,第二膜或應力控制膜2可在低溫(例如室溫)下形成於基板1之背側上。接著,目標第三膜3可在高溫下形成於基板1之前側上。基板之應力可藉由將具有壓縮應力或拉伸應力之應力控制膜引入至基板1的背側來抵消。
在第9A圖中,可藉由在基板1的背側上形成具有壓縮應力之應力控制膜2來抵消基板之拉伸應力,因此可抑制基板的變形或斷裂或者基板上之第三膜3中的開裂。
在第9B圖中,可藉由在基板1的背側上形成具有拉伸應力之應力控制膜2來抵消基板之壓縮應力,因此可抑制基板的變形或斷裂或者基板上之第三膜3中的開裂。
藉由在低溫下將一膜轉化成另一膜,而控制膜應力提供另一技術優勢。SiO2 硬遮罩(hardmask)可用在半導體裝置製造之圖案化製程中。但隨著裝置收縮,裝置上的膜厚度變得更薄,且熱預算(thermal budget)變成嚴重的問題,因為其導致子層損壞、電子跨裝置結構的異常遷移、及裝置失效。因此,可能需要低溫下的SiO2 硬遮罩製程具有與現有高溫製程下所形成者相同的膜性質。因此,根據本揭露之發明概念可提供其之解決方案。
第10圖顯示根據另一實施例之用於在基板圖案上形成硬遮罩的製程流程。
在第10圖之第一步驟101中,可將具有圖案結構之基板裝載至基板支撐。在第10圖的第二步驟301中,可在基板圖案上形成第一膜。第一膜可根據前述之第2圖及第3圖中的方法形成,因此在本文中將省略詳細描述。在第二步驟301之後,可在第三步驟501中將第一膜轉化成第二膜。第一膜至第二膜的轉化可根據前述之第2圖及第3圖中的方法實行,因此在本文中將省略詳細描述。
第11圖顯示根據第10圖及現有方法形成之SiO2 膜的濕式蝕刻率(wet etch ratio,WER)。濕式蝕刻率係在以100:1的比率稀釋於去離子水(deionized water,DIW)中的氫氟酸(HF)中實行。
在第11圖中,各製程條件之細節係描述如下。
-A:藉由正規電漿增強原子層沉積(PEALD)方法而在50°C下形成SiO2 膜,其中可交替且循序地提供二異丙基胺基矽烷(DIPAS,diisopropylaminosilane)前驅物及氧電漿。
-B:前驅物沉積。含Si膜可在50°C下藉由交替且循序地供應二異丙基胺基矽烷矽前驅物及Ar電漿而形成。
-C:藉由實行交替且循序地供應二異丙基胺基矽烷前驅物及Ar電漿的50個循環以及氧電漿的一個循環,以轉化成SiO2 膜而在50°C下形成SiO2 膜。
-D:藉由正規電漿增強原子層沉積方法而在300°C下形成用於硬遮罩應用的SiO2 膜,其中可交替且循序地提供二異丙基胺基矽烷前驅物及氧電漿。
如第11圖之A所示,藉由正規電漿增強原子層沉積方法而在50°C下形成之SiO2 膜具有175.84埃(Å)/分鐘之高濕式蝕刻率,換言之,低濕式蝕刻抗性。但在B條件下,藉由供應二異丙基胺基矽烷前驅物及Ar電漿,而在50°C下形成之含Si膜且具有極低的濕式蝕刻率,換言之,高濕式蝕刻抗性。但如C所示,氧處理及50°C下之含Si膜至SiO2 膜的所得轉化使濕式蝕刻率增加至更接近條件D所示之在300°C下形成之SiO2 膜的濕式蝕刻率。在另一實施例中,藉由進一步控制第一步驟對第二步驟的循環比率,在50°C下形成之SiO2 膜的濕式蝕刻率可與在300°C或更高溫度下形成之SiO2 膜的濕式蝕刻率幾乎相同。換言之,藉由調整前驅物沉積步驟對電漿處理步驟之循環比率,可在低溫下達成在高溫(例如300°C或更高溫度)下可達成的膜性質,減少裝置的熱預算。因此,本揭露的發明概念可針對低溫製程的需求提供解決方案。第11圖亦顯示可藉由調整前驅物沉積步驟對電漿處理步驟之循環比率而在低溫下實現具有不同濕式蝕刻率的膜,且此可使各種應用得以實現。
藉由將一膜轉化成另一膜而控制膜應力可在間隙填充製程中提供另一技術優勢。在第12圖中,覆蓋頂表面的膜1可由於膜應力(例如第12A圖所示的拉伸應力)而剝離。但將應力控制膜引入至膜的頂表面可抑制膜剝離,如第12B圖所示者。
在第12B圖中,以第一膜1填充間隙,且第二膜或應力控制膜2可藉由在第一步驟中供應第一反應物及由電漿活化之第二反應物而形成,且第二膜可在第二步驟中轉化成第三膜。第三膜3之應力可藉由控制第一步驟對第二循環的循環比率來進行調整。製程的細節先前係在第2圖及第3圖中描述,因此在本文中將省略其詳細描述。
第13圖顯示第12圖之製程流程,其中可在步驟101處裝載具有間隙結構之基板,隨後在步驟301處以第一膜填充間隙。可在步驟501處於第一膜上形成第二膜,隨後在步驟701處將其轉化成第三膜。
1:基板 2:膜 3:膜 101:步驟 201:步驟 301:步驟 501:步驟 701:步驟 a:單位循環時間 b:供應時間 S1:源饋送 S2:源吹掃 S3:電漿開啟 S4:吹掃 S5:預吹掃 S6:電漿開啟 S7:吹掃
本揭露之某些實施例的上述及其他態樣、特徵、及優點將從結合隨附圖式的下列描述而更加明白,其中: 第1圖係膜的應力感生(stress-induced)剝離及基板變形的視圖。 第2圖係根據一實施例的基板處理流程圖。 第3圖係根據一實施例的製程流程示意圖。 第4圖係工作比之定義的示意圖。 第5圖係根據另一實施例的製程流程示意圖。 第6圖係顯示根據步驟1對步驟2的循環比率之SiO2 膜應力的圖。 第7圖係SiO2 膜組成的視圖。 第8圖係根據另一實施例的基板處理流程的視圖。 第9圖係根據另一實施例的製程示意圖。 第10圖係根據另一實施例的基板處理流程圖。 第11圖係顯示在各種條件下之SiO2 膜之濕式蝕刻率的圖。 第12圖係根據另一實施例的製程示意圖。 第13圖係根據另一實施例的基板處理流程圖。
101:步驟
201:步驟
301:步驟

Claims (41)

  1. 一種用於調整一膜應力之方法,包括: 將一基板裝載至一基板支撐上; 在一第一步驟中於該基板上形成一第一膜,該第一步驟包括: 供應一第一反應物;以及 供應一第二反應物,其中該第一反應物及該第二反應物係循序且交替地供應; 藉由在一第二步驟中將一第三反應物供應至該第一膜,而將該第一膜轉化成一第二膜,其中該第一步驟對該第二步驟之循環比率係大於5,以調整該第二膜之應力。
  2. 如請求項1之方法,其中該第二反應物係由一射頻功率而活化。
  3. 如請求項2之方法,其中該第二反應物係Ar、及He、或其混合物中之至少一者。
  4. 如請求項3之方法,其中該第二反應物使該第一膜緻密化,且該第一膜包括該第一反應物之複數個片段。
  5. 如請求項1之方法,其中該第三反應物係由一射頻功率活化,並與該第一膜起化學反應。
  6. 如請求項1之方法,其中該第一步驟係重複至少一次,且該第二步驟係重複至少一次, 其中該第一步驟及該第二步驟係循序地重複至少一次。
  7. 如請求項1之方法,其中該第一反應物係含矽氣體。
  8. 如請求項1之方法,其中該第二反應物係Ar、及He、或其混合物中之至少一者。
  9. 如請求項1之方法,其中該第三反應物係含氧氣體。
  10. 如請求項7之方法,該含矽氣體係下列中之至少一者:DIPAS(SiH3 N(iPr)2 )、TSA((SiH3 )3 N)、DSO((SiH3 )2 )、DSMA((SiH3 )2 NMe)、DSEA((SiH3 )2 NEt)、DSIPA((SiH3 )2 N(iPr) )、DSTBA((SiH3 )2 N(tBu) )、DEAS(SiH3 NEt2 )、DTBAS(SiH3 N(tBu)2 )、BDEAS(SiH2 (NEt2 )2 )、BDMAS(SiH2 (NMe2 )2 )、BTBAS(SiH2 (NHtBu)2 )、BITS(SiH2 (NHSiMe3 )2 )、TEOS(Si(OEt)4 )、SiCl4 、HCD(Si2 Cl6 )、3DMAS(SiH(N(Me)2 )3 )、BEMAS(SiH2 [N(Et)(Me)]2 )、AHEAD(Si2 (NHEt)6 )、TEAS(Si(NHEt)4 )、Si3 H8 、DCS(SiH2 Cl2 )、SiHI3 、SiH2 I2 、或其混合物。
  11. 如請求項9之方法,其中該含氧氣體係下列中之至少一者:O2 、O3 、CO2 、H2 O、NO2 、及N2 O、或其混合物。
  12. 如請求項1之方法,其中該第二膜包括氧化矽。
  13. 如請求項4之方法,其中該第一反應物之該等片段係矽、碳、氮、氯、碘、氫、及烷基、或其混合物中之至少一者。
  14. 如請求項1之方法,其中隨著該第一步驟對該第二步驟之循環比率增加,該第二膜之一拉伸應力增加。
  15. 如請求項1之方法,其中隨著該第一步驟對該第二步驟之循環比率減小,該第二膜之一壓縮應力增加。
  16. 如請求項5之方法,其中一射頻功率係使用10%至75%之一工作比,而以脈衝供應。
  17. 如請求項1之方法,其中該基板包括一圖案,且該第二膜係形成在該圖案上之一硬遮罩,其中該第一步驟及該第二步驟係在50°C或更低溫度下實行。
  18. 如請求項17之方法,其中藉由控制該第一步驟對該第二步驟之循環比率來調整該第二膜之一濕式蝕刻率。
  19. 如請求項18之方法,其中該第二膜之該濕式蝕刻率與在300°C或更高溫度下形成之氧化矽硬遮罩的濕式蝕刻率幾乎相同。
  20. 如請求項17之方法,其中該第二膜係一氧化矽硬遮罩。
  21. 一種用於調整一膜應力之方法,包括: 將一基板裝載至一反應器之一基板支撐上; 藉由在一第一步驟中循序且交替地供應一第一反應物及一第二反應物,而在該基板之一背側上形成一第一膜; 藉由在一第二步驟中將一第三反應物供應至該第一膜,而將該第一膜轉化成一第二膜; 在該基板之一前側上形成一第三膜; 從該基板之該背側移除該第二膜,其中該第一步驟對該第二步驟之循環比率係大於5,以調整該第二膜之一應力。
  22. 如請求項21之方法,其中該第二膜之一應力抵消該基板之一應力。
  23. 如請求項21之方法,其中該第三膜係在300°C或更高溫度下形成。
  24. 如請求項21之方法,其中該基板係藉由在具有複數個反應器的一系統中循序地從一反應器轉移至另一反應器來進行處理。
  25. 如請求項21之方法,其中該第二反應物係由一射頻功率而活化。
  26. 如請求項21之方法,其中該第三反應物係由一射頻功率而活化,並與該第一膜起化學反應。
  27. 如請求項21之方法,其中該第一反應物係含矽氣體。
  28. 如請求項21之方法,其中該第二反應物係Ar、及He、或其混合物中之至少一者。
  29. 如請求項21之方法,其中該第三反應物係包括氧的一氣體。
  30. 如請求項21之方法,其中該第二膜係氧化矽。
  31. 如請求項21之方法,其中該第一步驟係重複至少一次,且該第二步驟係重複至少一次,且該第一步驟及該第二步驟係作為一群組循環,而循序地重複至少一次。
  32. 一種用於調整一膜應力之方法,包括: 將一基板裝載至一基板支撐上; 在該基板上形成一第一膜; 在該第一膜上形成一第二膜,包括: 在一第一步驟中交替且循序地供應一第一反應物及一第二反應物。 藉由在一第二步驟中將一第三反應物供應至該第二膜,而將該第二膜轉化成一第三膜, 其中該第一步驟對該第二步驟之循環比率係大於5,以調整該第二膜之一應力。
  33. 如請求項32之方法,其中該第二反應物係由一射頻功率而活化。
  34. 如請求項32之方法,其中該第三反應物係由一射頻功率而活化,並與該第二膜起化學反應。
  35. 如請求項32之方法,其中該第三膜之一應力抵消該第一膜之一應力。
  36. 如請求項32之方法,其中該第一反應物係含矽氣體。
  37. 如請求項32之方法,該第二反應物係Ar、及He、或其混合物中之至少一者。
  38. 如請求項32之方法,該第三反應物係含氧氣體。
  39. 如請求項32之方法,其中該第三膜係氧化矽。
  40. 如請求項32之方法,其中該第一步驟係重複至少一次,且該第二步驟係重複至少一次;且 該第一步驟及該第二步驟係作為一群組循環,而循序地重複至少一次。
  41. 如請求項32之方法,其中該基板具有待填充之一間隙,且該間隙係填充有該第一膜。
TW110113550A 2020-04-21 2021-04-15 用於調整膜應力之方法 TW202143328A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063013517P 2020-04-21 2020-04-21
US63/013,517 2020-04-21

Publications (1)

Publication Number Publication Date
TW202143328A true TW202143328A (zh) 2021-11-16

Family

ID=78081528

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110113550A TW202143328A (zh) 2020-04-21 2021-04-15 用於調整膜應力之方法

Country Status (4)

Country Link
US (1) US20210327714A1 (zh)
KR (1) KR20210130646A (zh)
CN (1) CN113529054A (zh)
TW (1) TW202143328A (zh)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220310623A1 (en) * 2021-03-24 2022-09-29 Changxin Memory Technologies, Inc. Memory device capacitor contact structure and method for preparing same
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9163310B2 (en) * 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
WO2015065709A1 (en) * 2013-11-04 2015-05-07 Applied Materials, Inc. Adhesion improvements for oxide-silicon stack
EP3087584A4 (en) * 2013-12-26 2017-08-30 Intel Corporation Direct plasma densification process and semiconductor devices
US20160329206A1 (en) * 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US9786496B2 (en) * 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
KR102457289B1 (ko) * 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102354258B1 (ko) * 2017-07-06 2022-01-21 어플라이드 머티어리얼스, 인코포레이티드 다수의 증착된 반도체 층들의 적층체를 형성하는 방법들
WO2020068254A1 (en) * 2018-09-25 2020-04-02 Applied Materials, Inc. Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems
US11443953B2 (en) * 2018-11-13 2022-09-13 Tokyo Electron Limited Method for forming and using stress-tuned silicon oxide films in semiconductor device patterning
KR20220006663A (ko) * 2019-06-07 2022-01-17 램 리써치 코포레이션 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어

Also Published As

Publication number Publication date
US20210327714A1 (en) 2021-10-21
KR20210130646A (ko) 2021-11-01
CN113529054A (zh) 2021-10-22

Similar Documents

Publication Publication Date Title
TW202143328A (zh) 用於調整膜應力之方法
US11643724B2 (en) Method of forming structures using a neutral beam
JP2021061414A5 (zh)
US20220145452A1 (en) Method of depositing silicon oxide films
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP3476801B2 (ja) トリスジメチルアミノシランを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
CN112309850A (zh) 使用氟去除形成结构的方法
US7488694B2 (en) Methods of forming silicon nitride layers using nitrogenous compositions
JP5775947B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP4050655B2 (ja) 多層ナノラミネート構造を有する半導体装置の絶縁膜及びその形成方法
KR101161098B1 (ko) 낮은 에칭 레이트 유전체 라이너들을 이용한 갭충진 향상
TWI737612B (zh) 用於均勻且共形之混成氧化鈦薄膜的沉積方法
KR20120012579A (ko) 사이클릭 박막 증착 방법
KR20090060768A (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
KR101147727B1 (ko) 사이클릭 박막 증착 방법
JP6523080B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2018157095A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2016157871A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW202204689A (zh) 沉積方法、半導體結構、及沉積系統
WO2017158848A1 (ja) 半導体装置の製造方法、基板処理装置、および記録媒体
KR20180035684A (ko) 하드 마스크 및 그 제조 방법
US20230343551A1 (en) Substrate processing method
TWI830206B (zh) 矽前驅物化合物及形成含矽膜之方法
TW202202649A (zh) 基板處理方法
KR20240059561A (ko) 기판 처리 방법