TW201730688A - Substrate tuning system and method using optical projection - Google Patents

Substrate tuning system and method using optical projection Download PDF

Info

Publication number
TW201730688A
TW201730688A TW105140977A TW105140977A TW201730688A TW 201730688 A TW201730688 A TW 201730688A TW 105140977 A TW105140977 A TW 105140977A TW 105140977 A TW105140977 A TW 105140977A TW 201730688 A TW201730688 A TW 201730688A
Authority
TW
Taiwan
Prior art keywords
substrate
processing
image
projection system
work surface
Prior art date
Application number
TW105140977A
Other languages
Chinese (zh)
Other versions
TWI640837B (en
Inventor
安東 J 德維利耶
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/974,974 external-priority patent/US9645391B2/en
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201730688A publication Critical patent/TW201730688A/en
Application granted granted Critical
Publication of TWI640837B publication Critical patent/TWI640837B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/702Reflective illumination, i.e. reflective optical elements other than folding mirrors, e.g. extreme ultraviolet [EUV] illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Techniques herein include systems and methods that provide a spatially-controlled or pixel-based projection of light onto a substrate to tune various substrate properties. A given pixel-based image projected on to a substrate surface can be based on a substrate signature. The substrate signature can spatially represent non-uniformities across the surface of the substrate. Such non-uniformities can include energy, heat, critical dimensions, photolithographic exposure dosages, etc. Such pixel-based light projection can be used to tune various properties of substrates, including tuning of critical dimensions, heating uniformity, evaporative cooling, and generation of photo-sensitive agents. Combining such pixel-based light projection with photolithographic patterning processes and/or heating processes improves processing uniformity and decreases defectivity.

Description

使用光學投影之基板調整系統及方法Substrate adjustment system and method using optical projection

[相關申請案的交互參照]本申請案主張2015年12月18日申請之美國專利申請案第14/974974號作為優先權母案,該申請案之發明名稱為「Substrate Tuning System and Method Using Optical Projection」,且該申請案整體揭露內容併入本說明書中以供參照。[Reciprocal Reference to Related Applications] This application claims priority to U.S. Patent Application Serial No. 14/974,974, filed on Dec. The entire disclosure of this application is incorporated herein by reference.

本揭露內容概括上係關於基板(包含半導體基板,例如矽晶圓)的圖案化。本揭露內容亦關於作為半導體元件加工的一部分之涉及光微影的製程,光微影包含在基板上塗佈膜以及使該膜顯影。本揭露內容尤其關於作為光微影及圖案化製程的一部分,控制圖案化之特徵部的尺寸及準確度。The disclosure generally relates to the patterning of substrates, including semiconductor substrates, such as germanium wafers. The present disclosure also relates to a process involving photolithography as part of the processing of semiconductor components, the photolithography comprising coating a film on a substrate and developing the film. The present disclosure relates, in particular, to controlling the size and accuracy of the patterned features as part of the photolithography and patterning process.

光微影涉及以對電磁(EM, electromagnetic)輻射敏感的膜塗佈基板、將此等膜暴露於光化輻射之圖案以在膜內定義潛在圖案,然後將膜之若干者顯影(溶解及移除)以顯露基板上的實體或起伏圖案。用於塗佈及顯影基板的加工工具通常包含許多可用以添加膜、添加阻劑、及使基板顯影的模組。Photolithography involves coating a substrate with a film that is sensitive to electromagnetic (EM) radiation, exposing the film to a pattern of actinic radiation to define a latent pattern within the film, and then developing (dissolving and shifting) some of the film. Divide) to reveal a solid or undulating pattern on the substrate. Processing tools for coating and developing substrates typically include a number of modules that can be used to add films, add resists, and develop substrates.

本說明書中的技術包含提供將光或電磁(EM, electromagnetic)輻射空間控制地投影至基板上的系統及方法。指向目標之波長400-700nm的光、紫外線(UV, Ultra Violet)光、紅外線光、或任何波長的光可藉由加熱或提供光化輻射來處理基板。The techniques in this specification include systems and methods that provide spatially controlled projection of light or electromagnetic (EM) radiation onto a substrate. Light, ultraviolet (UV, Ultra Violet) light, infrared light, or any wavelength of light directed at a target wavelength of 400-700 nm can be processed by heating or providing actinic radiation.

本揭露內容提出空間上地改變基板關鍵尺寸(CDs, critical dimensions)及/或溫度的技術,且可應用於半導體、平板顯示器、及光伏系統中的真空及非真空處理系統,包含沉積系統、蝕刻系統(濕式及乾式)。例如,基於像素之所投影的光圖案可校正關鍵尺寸、微影曝光非均勻性、步進器曝光延遲時間等。The present disclosure proposes techniques for spatially changing the critical dimensions (CDs) and/or temperature of substrates, and can be applied to vacuum and non-vacuum processing systems in semiconductors, flat panel displays, and photovoltaic systems, including deposition systems, etching. System (wet and dry). For example, pixel-based projected light patterns can correct for critical dimensions, lithographic exposure non-uniformities, stepper exposure delay times, and the like.

當然,如本說明書中所描述之不同步驟的論述順序以清楚明確為目的來呈現。一般而言,該等步驟可以任何合適的順序來實施。此外,雖然本說明書中不同的特徵、技術、結構配置等其中各者可論述於本揭露內容中的不同位置,其用意在於各概念可各自獨立執行或互相組合來執行。因此,可以許多不同的方式來實施或考量本發明。Of course, the order of discussion of the various steps as described in this specification is presented for clarity and clarity. In general, the steps can be performed in any suitable order. In addition, although various features, techniques, structural configurations, and the like in the specification may be discussed in various places in the disclosure, it is intended that the concepts can be performed independently or in combination with each other. Thus, the invention can be implemented or considered in many different ways.

應注意,本發明內容部分不具體說明本揭露內容或所請發明之各實施例及/或漸增新穎態樣。反而,本發明內容僅提供不同實施例之初步討論及優於習知技術之新穎性的對應要點。對於本發明及實施例的額外細節及/或合理觀點,讀者將被導引至本揭露內容之實施方式部分及對應之圖,如以下進一步討論。It should be noted that the present disclosure does not specifically describe the various embodiments and/or incremental novel aspects of the present disclosure or the claimed invention. Instead, the present disclosure provides only a preliminary discussion of various embodiments and corresponding points that are superior to the novelty of the prior art. The reader will be directed to the embodiments of the disclosure and the corresponding figures, as further discussed below.

本說明書中的技術包含提供將光空間控制地或基於像素地投影至基板上以調整各種基板特性的系統及方法。如此的基於像素的光投影可用以調整各種基板特性,包含調節關鍵尺寸(CDs, critical dimensions)、加熱均勻性、蒸發式冷卻、光微影閃焰、光柵延遲、及光敏劑之產生。如此的基於像素的光投影在整個基板表面之關鍵尺寸均勻性上可達成顯著的改善。將如此的基於像素的光投影與光微影圖案化製程結合,可改善處理均勻性,且降低缺陷率。The techniques in this specification include systems and methods that provide for spatially or pixel-based projection onto a substrate to adjust various substrate characteristics. Such pixel-based light projection can be used to adjust various substrate characteristics, including critical dimensions (CDs), heating uniformity, evaporative cooling, photolithographic flash, grating retardation, and photosensitizer generation. Such pixel-based light projection can achieve significant improvements in critical dimensional uniformity across the substrate surface. Combining such pixel-based light projection with a photolithographic patterning process can improve processing uniformity and reduce defect rates.

在一實施例中,數位光處理(DLP, digital light processing)晶片、柵光閥(GLV, grating light valve)、雷射檢流計、或其他柵式的微投影技術,結合光源,可使影像聚焦(選用性地使用透鏡)至基板上且校正或調節關鍵尺寸、溫度及其他非均勻性。系統可配置以變化所投影之影像的輻射輸出。例如利用可見光譜燈泡所投影至板上的實心白影像,將會將該板加熱至該特定燈泡的特定最大溫度。可藉由使用光源所產生之光波長的全部或其中若干或不使用該光波長,而調整每個所投影之像素的溫度。如此的技術對半導體之特定烘烤製程提供極精確的控制,足以將半導體烘烤至1 nm內。同樣地,可將基板工作表面上每個投影像素位置之光化輻射的量調整成在(特定光源的)無投影輻射及全投影輻射之間,其中該兩者間還有許多層次變化。DLP晶片或雷射檢流計可例如將影像投影至基板上,且在基板上任何特定點或複數點改變熱能或CD調節的量(藉由光活化劑之產生)。In an embodiment, a digital light processing (DLP) chip, a GLV (glowing light valve), a laser galvanometer, or other grid micro-projection technology, combined with a light source, can make an image Focus (optional use of the lens) onto the substrate and correct or adjust critical dimensions, temperature and other non-uniformities. The system can be configured to vary the radiant output of the projected image. For example, using a solid white image projected onto the panel by a visible spectrum bulb will heat the panel to a particular maximum temperature for that particular bulb. The temperature of each projected pixel can be adjusted by using all or some of the wavelengths of light produced by the light source or not using the wavelength of the light. Such a technique provides extremely precise control of the specific baking process of the semiconductor, sufficient to bake the semiconductor to within 1 nm. Likewise, the amount of actinic radiation at each projected pixel location on the substrate working surface can be adjusted to be between the non-projecting radiation (of a particular source) and the total projection radiation, with many levels of variation between the two. A DLP wafer or laser galvanometer can, for example, project an image onto a substrate and change the amount of thermal energy or CD adjustment (by photoactivator generation) at any particular point or point on the substrate.

如本說明書中所揭露的投影影像,可取決於選定之投影系統及入射區域所支援的像素數量或像素投影尺寸而變化對於基板上個別特徵部的輸出。亦即,使用微鏡(micro-mirror)投影可取得的CD控制,可如其最大投影解析度般靈活或被微調。應注意,本說明書中的系統可配置以將特定影像投影至基板上,如所有所指示之像素位置的同步投影、或如光柵掃描投影(其中特定影像係以逐線的方式投影至基板上)。在一實施例中,基於像素的光投影系統係連接至烘烤裝置、曝光腔室、分配腔室、加熱板、蝕刻腔室等的控制電腦。可將基於像素的光投影系統選擇性地透過透鏡系統聚焦至曝光腔室中,在該曝光腔室中將基板對準。投影至基板上或在基板處的光接著調節基板的所需區域,例如藉由產生更多光酸。如此的方法及系統有數種用途。一應用係用以維持溫度均勻性。另一應用係用以降低或增加所加工之晶圓上的關鍵尺寸而作為半導體生產的一部分。As with the projected image disclosed in this specification, the output to individual features on the substrate may vary depending on the number of pixels or pixel projection size supported by the selected projection system and the incident area. That is, the CD control that can be obtained using micro-mirror projection can be as flexible or fine-tuned as its maximum projection resolution. It should be noted that the system in this specification can be configured to project a particular image onto a substrate, such as a simultaneous projection of all indicated pixel locations, or as a raster scan projection (where a particular image is projected onto the substrate in a line-by-line manner) . In one embodiment, the pixel-based light projection system is coupled to a control computer of a bake unit, an exposure chamber, a dispensing chamber, a heater plate, an etch chamber, and the like. A pixel-based light projection system can be selectively focused through the lens system into the exposure chamber where the substrate is aligned. Light projected onto or at the substrate then adjusts the desired area of the substrate, for example by creating more photoacid. There are several uses for such methods and systems. An application is used to maintain temperature uniformity. Another application is to reduce or increase critical dimensions on the wafer being processed as part of semiconductor production.

圖1繪示範例基板調整系統的示意圖。處理腔室108的尺寸可設計成用以接收基板,例如矽晶圓、平板等。處理腔室108可為相對最小尺寸(基於基板的尺寸),例如有模組安裝於較大工具內的情況下。基板對準系統107可用以將影像對準至基板上的可操作區域上,其可被對準在0.1奈米以內。可將基板105放置於基板固持器上。基板105可為習知的反射式或非反射式矽碟片,其具有任何類型的塗層。Figure 1 depicts a schematic diagram of an exemplary substrate adjustment system. The processing chamber 108 can be sized to receive a substrate, such as a germanium wafer, a flat panel, or the like. The processing chamber 108 can be of a relatively small size (based on the size of the substrate), such as where a module is mounted within a larger tool. The substrate alignment system 107 can be used to align the image onto an operable area on the substrate that can be aligned within 0.1 nanometers. The substrate 105 can be placed on a substrate holder. The substrate 105 can be a conventional reflective or non-reflective cymbal disk having any type of coating.

系統包含光源102,其可位於處理腔室108內、鄰近處理腔室108、或遠離處理腔室108。光源102可為數種光源其中任一者,例如可見光源、紅外線光源、UV光源、雷射、或產生其他光波長的燈泡。可對於特定受處理基板及特定調整應用而定製(或選擇)光源特性。對於某些基板,60瓦的(或等效的)光源可為充足的,其具有400-700 nm的波長範圍,以及1080p的DLP解析度(1080水平線的垂直解析度及循序掃描)。其他應用可能需要較高的功率及較高的解析度。可基於所需的特定波長而選擇光源。例如,可選擇紫外線光源用於某些應用,而可選擇白光或紅外線光源用於其他應用。光源選擇可基於特定基板及/或膜的吸收特性。可使用由DLP、GLV、雷射檢流計、或其他光投影技術所支援的任何解析度。The system includes a light source 102 that can be located within the processing chamber 108, adjacent to the processing chamber 108, or remote from the processing chamber 108. Light source 102 can be any of a number of light sources, such as a visible light source, an infrared light source, a UV light source, a laser, or a light bulb that produces other wavelengths of light. The source characteristics can be tailored (or selected) for a particular substrate being processed and for particular adjustment applications. For some substrates, a 60 watt (or equivalent) source can be sufficient, with a wavelength range of 400-700 nm, and a DLP resolution of 1080p (vertical resolution of 1080 horizontal lines and sequential scanning). Other applications may require higher power and higher resolution. The light source can be selected based on the particular wavelength desired. For example, an ultraviolet light source can be selected for some applications, and a white or infrared light source can be selected for other applications. The choice of source can be based on the absorption characteristics of a particular substrate and/or film. Any resolution supported by DLP, GLV, laser galvanometer, or other light projection techniques can be used.

光投影元件103可實現為雷射檢流計、DLP晶片、柵光閥(GLV, grating light valve)、或其他光投影技術。DLP晶片及GLV係為習知可取得的。數位雷射檢流計亦為已知的。透鏡系統104可選用性地用以協助產生影像,該影像在投影至基板105上時具有與基板105之尺寸相等的尺寸,且具有最小像差。投影線106表示利用同步投影或基於光柵的投影向基板105投影之像場或視訊。可基於預期CD值及/或基於來自配置以識別整個基板上CD差異之計量元件的動態回饋而設計視訊或影像。部件101顯示基板105上的範例位置,其具有與基板其他部分不同的關鍵尺寸。投影的影像109以部件101其中一者的形狀投影光。若部件101正好具有相較於基板105其餘表面區域更大的CD,則投影的影像109可增加所投影在此等區域上的光化輻射,以使均勻的CD值記號遍及基板105的整個表面,例如藉由像是增加光活化劑的產生以協助移除過量的材料。The light projection element 103 can be implemented as a laser galvanometer, a DLP wafer, a grating light valve (GLV), or other light projection technique. DLP wafers and GLV systems are conventionally available. Digital laser galvanometers are also known. Lens system 104 is optionally used to assist in the generation of images that, when projected onto substrate 105, have dimensions equal to the dimensions of substrate 105 and have minimal aberrations. Projection line 106 represents an image field or video projected onto substrate 105 using simultaneous projection or raster based projection. The video or image can be designed based on the expected CD value and/or based on dynamic feedback from metering elements configured to identify CD differences across the substrate. Component 101 displays an exemplary location on substrate 105 that has a different critical dimension than the rest of the substrate. The projected image 109 projects light in the shape of one of the components 101. If the component 101 has exactly a larger CD than the remaining surface area of the substrate 105, the projected image 109 can increase the actinic radiation projected on such regions such that a uniform CD value mark extends throughout the entire surface of the substrate 105. For example, by increasing the production of photoactivators to assist in the removal of excess material.

本說明書中的系統因而結合用於關鍵尺寸之微控制的粗細控制系統。可開啟或關閉所投影之像素的每個位置因而成為可對於熱能、溫度、CD校正、及光反應性具有微調能力的區域。The system in this specification thus incorporates a coarse control system for micro-control of critical dimensions. Each position of the projected pixel can be turned on or off and thus becomes an area that can be fine-tuned for thermal energy, temperature, CD correction, and photoreactivity.

圖5係為繪示特定基板之簡化範例CD記號的圖。此可為橫越基板剖面的CD記號。在此範例CD記號中,有19個點位置用於量測CD的相對差異。此圖的頂部表示相對較大的CD變化或CD值,圖的底部亦可指示CD的相對差異,但當圖的頂部指示過大的CD時,圖的底部可指示過小的CD。應注意,在整個基板上有CD變化,此隨平面位置的CD變化係為CD記號的一實施例。Figure 5 is a diagram showing a simplified example CD mark for a particular substrate. This can be a CD mark across the cross section of the substrate. In this example CD mark, there are 19 point positions for measuring the relative difference in CD. The top of the figure represents a relatively large CD change or CD value, and the bottom of the figure also indicates the relative difference in CD, but when the top of the figure indicates an oversized CD, the bottom of the figure may indicate a too small CD. It should be noted that there is a CD change across the substrate, and this CD change with the planar position is an embodiment of the CD mark.

圖6係為呈現投影影像的圖,該投影影像係用以校正圖5中所呈現的CD記號之CD變化。換言之,投影影像補償具變動的CD記號。例如,應注意,圖5中CD記號的點1、9、10、17、及18具有相對較小的CD。可注意到,圖6中的投影影像不具有投影至這些位置的光,其造成光反應劑並無增加。圖5中CD記號的點位置2及12具有相對較大的CD,而因此在圖6中的影像投影中,此等點位置係顯示為白色,代表全曝光/全曝輻射,用以引起在特定光源下最大可能的光反應劑之產生。其他點位置係以不同灰色陰影部分來繪示,代表CD值的中度變動係以可變光投影來進行類似的校正。圖7顯示經修改或經校正的CD記號,其為圖6中的投影影像應用至圖5中的CD記號所得的結果。應注意,相較於與圖5中的CD記號,大部分的CD值已被修改,而使CD變化大幅減少。並且應注意,在烘烤及/或顯影以自較理想值更大的CD移除材料的任何中間步驟後,可實現經校正的CD記號。Figure 6 is a diagram showing a projected image used to correct the CD change of the CD mark presented in Figure 5. In other words, the projected image compensates for the changed CD mark. For example, it should be noted that points 1, 9, 10, 17, and 18 of the CD mark in Fig. 5 have relatively small CDs. It can be noted that the projected image in Figure 6 does not have light projected to these locations, which results in no increase in photoreactive agent. The dot positions 2 and 12 of the CD mark in Fig. 5 have relatively large CDs, and thus in the image projection in Fig. 6, these dot positions are displayed in white, representing full exposure/full exposure radiation, to cause The largest possible photoreactive agent under a particular light source. Other point locations are depicted in different shades of gray, with moderate variations representing CD values being similarly corrected with variable light projection. Figure 7 shows a modified or corrected CD mark which is the result of applying the projected image of Figure 6 to the CD mark of Figure 5. It should be noted that most of the CD values have been modified compared to the CD mark in Fig. 5, and the CD variation is greatly reduced. It should also be noted that the corrected CD mark can be achieved after any intermediate steps of baking and/or developing to remove material from a CD of greater desired value.

圖5中所繪示的基板記號係為簡化的線形記號。基板通常為平面的,且因此均勻性變動可基於基板上平面或X, Y位置而變化。圖3為描繪範例關鍵尺寸記號的圖。此關鍵尺寸記號係映射成特定基板(例如在微加工製程中所使用的晶圓)表面上的點位置。應注意,在CD記號圖示上的各種點有明暗程度的變化。在CD記號圖上之點位置處的此等相對差異,代表在CD均勻性的相對差異。例如,完全黑暗的點位置可代表具有過小CD的區域,而完全明亮或較亮的點位置可代表具有過大CD的區域。可基於所觀察及/或量測的尺寸而產生此CD記號。The substrate mark depicted in Figure 5 is a simplified linear mark. The substrate is generally planar, and thus the uniformity variation can vary based on the plane or X, Y position on the substrate. 3 is a diagram depicting an example key dimension token. This critical dimension mark maps to the point locations on the surface of a particular substrate, such as a wafer used in a micromachining process. It should be noted that various points on the CD mark diagram have a change in the degree of shading. These relative differences at the points on the CD mark represent the relative differences in CD uniformity. For example, a completely dark point location may represent an area with an oversized CD, while a fully bright or lighter point location may represent an area with an excessively large CD. This CD mark can be generated based on the observed and/or measured dimensions.

圖3中所繪示的此基板記號圖示亦可代表在受處理基板上特定光投影可能看起來的樣貌。應注意,特定光源可為UV或紅外線,而因此圖3可代表所投影之能量記號看起來的樣貌,或能量記號之累積效應可能看起來的樣貌。在影線圖案黑暗度的變化可代表光之強度、振幅及/或頻率。因此,接收投影光全亮度之基板表面上的點位置可包含圖中的明亮或白區域。同樣地,具有較少空白的點位置可具有中等亮度或部分亮度之投射於該等位置的光。在此圖中顯示為黑色塊的點位置可能未接收光或接收相對微量的曝光。應注意,基板記號可基於非均勻性或記號的類型而在視覺表現上變化。例如,CD記號可呈現為,對應切割道、記號而具有若干可察覺的線。顯示光柵延遲非均勻性的基板記號可顯示特定步進器/掃描器行進橫越基板表面的證據。針對CD非均勻性的基板記號可具有圓形圖案或可於CD區介面處顯示差異。The substrate indicia illustrated in Figure 3 may also represent a look that a particular light projection may look on the substrate being processed. It should be noted that the particular source may be UV or infrared, and thus Figure 3 may represent the appearance of the projected energy signature, or the appearance of the cumulative effect of the energy signature. The change in the darkness of the hatch pattern may represent the intensity, amplitude, and/or frequency of the light. Thus, the position of the point on the surface of the substrate that receives the full brightness of the projected light can include bright or white areas in the figure. Likewise, a dot location with fewer blanks may have light of a medium or partial brightness projected at the locations. The dot locations shown as black blocks in this figure may not receive light or receive a relatively small amount of exposure. It should be noted that the substrate indicia may vary in visual performance based on the type of non-uniformity or indicia. For example, a CD mark can appear to have a number of perceptible lines corresponding to a scribe lane, a mark. A substrate mark showing raster delay non-uniformity can show evidence that a particular stepper/scanner travels across the surface of the substrate. The substrate mark for CD non-uniformity may have a circular pattern or may show a difference at the CD area interface.

圖4類似於圖1,且圖4繪示用於調節基板105之光學投影調整系統的範例實施例。基板105可包含下列各者:膜115,其可為光阻膜;以及下層110,其可成為硬遮罩或其他圖案化層或記憶層用於圖案轉移。光投影元件103,或隨附的控制器,可接收一基於像素的影像以投影至基板105上。此基於像素的影像之投影係以投影的影像109來顯示。應注意,基板105的部分係受到照射,而其他部分則未受到照射。使用基於像素的影像投影,而非用於光微影曝光之基於遮罩的光投影。在投影期間,所投影的影像可改變或變化,例如響應即時回饋或其他調整目標。4 is similar to FIG. 1, and FIG. 4 illustrates an exemplary embodiment of an optical projection adjustment system for conditioning substrate 105. The substrate 105 can comprise the following: a film 115, which can be a photoresist film, and a lower layer 110, which can be a hard mask or other patterned layer or memory layer for pattern transfer. The light projection element 103, or the accompanying controller, can receive a pixel based image for projection onto the substrate 105. The projection of this pixel-based image is displayed as a projected image 109. It should be noted that portions of the substrate 105 are illuminated while others are not illuminated. Use pixel-based image projection instead of mask-based light projection for photolithographic exposure. During projection, the projected image may change or change, such as in response to instant feedback or other adjustment goals.

所投影的特定影像或視訊,可基於一或更多感測器,該一或更多感測器可在處理製程之前(靜態調整)或在用於動態調整的處理製程期間收集資料。在回饋迴路中,特定的感測器或感測器陣列可收集資料(例如CD記號)且接著將所收集的資料傳送至控制器。基於所收集的資料及/或基於是否需要熱或光校正(CD校正),該控制器可接著計算影像以投影至基板上。比例積分微分控制器(PID控制器)可用以實現CD記號回饋。所投影影像可基於整個基板上的任何變動而改變,例如中央至邊緣變動。The particular image or video being projected may be based on one or more sensors that may collect data prior to processing (static adjustment) or during processing for dynamic adjustment. In the feedback loop, a particular sensor or sensor array can collect data (eg, CD marks) and then transfer the collected data to the controller. Based on the collected data and/or based on whether thermal or optical correction (CD correction) is required, the controller can then calculate an image for projection onto the substrate. A proportional integral derivative controller (PID controller) can be used to implement CD token feedback. The projected image can be changed based on any variation on the entire substrate, such as a center to edge variation.

應注意,可基於基板表面上的材料類型而調整光之強度或振幅。例如,有些聚合物可具有低反射率,而其他材料(例如矽及金屬)可具有最大反射率數值。在一特定範例材料中,即銅,其反射率可為45%至99%,儘管當光入射於銅上時,銅表面將升溫。因此,本說明書中的技術可應用於大部分的基板材料。It should be noted that the intensity or amplitude of the light can be adjusted based on the type of material on the surface of the substrate. For example, some polymers may have low reflectivity, while other materials (such as tantalum and metals) may have maximum reflectance values. In a particular example material, namely copper, its reflectivity can range from 45% to 99%, although the copper surface will heat up when light is incident on the copper. Therefore, the technology in this specification can be applied to most substrate materials.

圖2係為用於改善的基板處理之範例系統的側視圖。基板105位於基板固持器130上,基板固持器130可實現為或包含熱卡盤。在該基板上方(面向受處理的基板側),可設置雷射檢流計、DLP投影器、或類似物以作為光投影元件103的部分而將影像投影至基板表面上。投影器的位置可基於特定腔室內的空間可利用性而改變。例如,許多微加工工具的加熱模組係相當短的。在此等實施例中,可使用各種開口135及/或透鏡系統,以在基板上方任何受限的垂直空間內投影影像。顯示範例高度及寬度量測值,但此等範例高度及寬度量測值為非限定的,且僅用以說明特定實施例。2 is a side view of an example system for improved substrate processing. The substrate 105 is located on the substrate holder 130, and the substrate holder 130 can be implemented as or include a thermal chuck. Above the substrate (toward the side of the substrate being processed), a laser galvanometer, DLP projector, or the like can be placed to project an image onto the surface of the substrate as part of the light projection element 103. The position of the projector can vary based on the space availability within a particular chamber. For example, the heating modules of many micromachining tools are quite short. In such embodiments, various openings 135 and/or lens systems can be used to project an image in any limited vertical space above the substrate. The example height and width measurements are shown, but such example height and width measurements are non-limiting and are merely illustrative of specific embodiments.

可生產特製的光投影系統,以用於如此的基板調整或加熱模組。或者,可使用習知的雷射檢流計及DLP投影器。A special light projection system can be produced for such substrate adjustment or heating modules. Alternatively, a conventional laser galvanometer and a DLP projector can be used.

其他實施例可使用不同波長的燈泡以將光投影至單一基板上。此等燈泡可皆有助於光投影,或可被選擇性啟動。同樣地,可使用每基板處理模組多個投影器的配置。在其他實施例中,光投影可具有基於頻率的輸出以進行較精細的調整,例如使用3D圖形。除了基於影像的光投影器之外,可考量基板105而設置相機143或其他計量元件,以即時識別特定CD記號而動態調整基於CD記號之所投影影像。在另一實施例中,可安裝感測器陣列,且將其連接至PID控制器的回饋迴路。Other embodiments may use bulbs of different wavelengths to project light onto a single substrate. These bulbs can all contribute to light projection or can be selectively activated. Likewise, a configuration of multiple projectors per module processing module can be used. In other embodiments, the light projection may have a frequency based output for finer adjustments, such as using 3D graphics. In addition to the image-based light projector, the camera 105 or other metering elements can be placed in consideration of the substrate 105 to dynamically adjust the projected image based on the CD mark in real time by identifying a particular CD mark. In another embodiment, a sensor array can be mounted and connected to the feedback loop of the PID controller.

將基於CD記號的影像投影至位於基板固持器上的基板上,僅為本說明書中之系統及方法的一實施例。有許多其他的應用及實施例用於在半導體加工的各種階段處理基板。因此,應用不限定於微影技術。在另一實施例中,可在基板塗佈(例如塗佈光阻)期間使用投影的光–熱技術。在液體塗佈期間將影像投影至旋轉的基板上可協助減緩蒸發式冷卻的影響。益處是需要較低的分配量且同時提供較佳的塗佈均勻性。若在旋塗腔室中具有非透光性物體阻礙光投影,則光可至少投影至基板的一區段上,由於基板的旋轉,這將實質為基於頻率的投影(此針對其中僅一徑向區段可在一特定時點被照射的實施例)。Projecting a CD-based image onto a substrate on a substrate holder is only one embodiment of the systems and methods in this specification. There are many other applications and embodiments for processing substrates at various stages of semiconductor processing. Therefore, the application is not limited to lithography. In another embodiment, a projected light-thermal technique can be used during substrate coating (eg, coating photoresist). Projecting an image onto a rotating substrate during liquid coating can help mitigate the effects of evaporative cooling. The benefit is that a lower dispense amount is required while at the same time providing better coating uniformity. If a non-transmissive object in the spin-coating chamber obstructs light projection, the light can be projected onto at least a section of the substrate, which will be substantially a frequency-based projection due to the rotation of the substrate (this is for only one of the diameters) Embodiments in which the segments can be illuminated at a particular point in time).

在其他實施例中,光影像投影可用於塗佈後烘烤(PAB, post application bake)及曝光後烘烤(PEB, post exposure bake)二者。光影像投影可用於複合邊緣球狀物移除(EBR, edge bead removal)清除部—可針對邊緣球狀物移除而「劃定」或投影的區域。光影像投影可用以界定用於嵌段共聚物的定向自組裝(DSA, directed self-assembly)之區域而作為印製陣列的一種方式。亦即,可對於定向自組裝(DSA, directed self-assembly)在陣列中可印製之處充分加強曝光,而其餘區域則不曝光,以使嵌段共聚物在不使用切割遮罩的情況下自組裝,此可在某些微加工製程中節省一製程步驟。In other embodiments, optical image projection can be used for both post application bake (PAB) and post exposure bake (PEB). Light image projection can be used for EBR (edge bead removal) removal - an area that can be "delimited" or projected for edge ball removal. Light image projection can be used to define a region for directed self-assembly of a block copolymer as a means of printing an array. That is, the exposure can be sufficiently enhanced in the array where the self-assembly of the directed self-assembly (DSA) can be printed, while the remaining areas are not exposed, so that the block copolymer can be used without the use of a cutting mask. Self-assembly, which saves one process step in some micromachining processes.

本說明書中之實施例可與濕式或乾式基板清潔系統一起使用。利用濕式清潔系統,所投影的光影像可有助於中央至邊緣溫度均勻性。在液體分配於旋轉基板上的某些製程中,相較於邊緣,膜厚度係朝向基板中央增大。然而,本說明書中的技術可有助於等化徑向溫度均勻性。取決於分配噴嘴與分配臂的位置,投影至分配腔室中的影像可實質為部分影像(例如派餅形影像)。儘管如此,僅投影至基板一部分上可為有效的,特別是利用旋轉基板時,因為所有的表面可被照射或通過投影影像。使用UV光投影影像可進一步有助於化學品之反應性,以改善如此的化學品之徑向反應性,而作為可與例如直接提供大部分照射之UV燈結合的空間光增強技術。應注意,對於UV光增強及投影而言,應選擇光學器件以達成UV傳輸,例如石英、氟化鈣、或其他通透性的傳導介質。例如,在許多溫度增強及光化輻射增強的實施例中,增強的量通常少於主要熱或光化輻射處理的15%。例如,利用掃描器或步進器工具將具有光阻膜的特定基板暴露於基於遮罩的圖案。在如此的光微影曝光下,在各晶粒位置的光劑量係實質上相同的。本說明書中的實施例接著可用以依據基板的點位置而增強相當少量且不同量的曝光劑量。Embodiments in this specification can be used with wet or dry substrate cleaning systems. With a wet cleaning system, the projected light image can contribute to center-to-edge temperature uniformity. In some processes in which the liquid is dispensed onto the rotating substrate, the film thickness increases toward the center of the substrate compared to the edges. However, the techniques in this specification can help to equalize radial temperature uniformity. Depending on the position of the dispensing nozzle and the dispensing arm, the image projected into the dispensing chamber may be substantially a partial image (eg, a pie-shaped image). Nonetheless, projection onto only a portion of the substrate can be effective, particularly when rotating a substrate, as all surfaces can be illuminated or projected through the image. Projecting images using UV light can further contribute to the reactivity of the chemical to improve the radial reactivity of such chemicals, as a spatial light enhancement technique that can be combined with, for example, a UV lamp that provides most of the illumination directly. It should be noted that for UV light enhancement and projection, optics should be selected to achieve UV transmission, such as quartz, calcium fluoride, or other permeable conductive media. For example, in many embodiments of temperature enhancement and actinic radiation enhancement, the amount of enhancement is typically less than 15% of the primary thermal or actinic radiation treatment. For example, a particular substrate having a photoresist film is exposed to a mask-based pattern using a scanner or stepper tool. Under such photolithographic exposure, the photod dose at each grain location is substantially the same. Embodiments in this specification can then be used to enhance a relatively small amount and varying amounts of exposure dose depending on the point location of the substrate.

應明白,針對本說明書中所揭露的系統及方法存在許多及各種的實施例。It should be understood that there are many and various embodiments of the systems and methods disclosed herein.

一實施例包含用於處理基板的系統或設備。此系統包含腔室,其在尺寸上可設計成、且可配置以接收基板進行處理。基板固持器係設置於腔室內,且配置以固持基板。系統包含影像投影系統,其配置以在基板位於腔室中時將影像投影至基板的上表面(亦即,工作表面或受處理的表面)上。影像投影系統使用微鏡投影元件來投影影像。微鏡投影元件可包含例如:用於反射雷射束之可控制的鏡、或對應在待投影影像中之像素的微視鏡(microscopic mirror)陣列。系統包含控制器,其配置以控制影像投影系統,且使影像投影系統將基於像素的影像投影至基板的工作表面上。影像投影系統包含光源,且可使用基於像素的投影系統。可藉由選自由光波長、光強度、光頻率、及光振幅所構成之群組的參數而變化各投影的像素。影像投影系統可配置以基於預定基板記號而投影影像,該記號可為不同表面特性(熱、曝光劑量、關鍵尺寸變化)之基於像素的表徵。光源可配置以對特定基板提供光化輻射。光源可配置以提供波長小於400奈米的輻射,例如紫外線輻射。可基於基板上特別的輻射敏感性膜而選擇具有特別光譜線或複數光譜線的特定光源。基於預定基板記號的投影可包含空間上地映射基板表面之不同特性的基板記號。An embodiment includes a system or apparatus for processing a substrate. The system includes a chamber that is sized and configurable to receive a substrate for processing. The substrate holder is disposed within the chamber and configured to hold the substrate. The system includes an image projection system configured to project an image onto an upper surface (ie, a working surface or a treated surface) of the substrate when the substrate is in the chamber. Image projection systems use micromirror projection elements to project images. The micromirror projection element can comprise, for example, a controllable mirror for reflecting the laser beam, or an array of microscopic mirrors corresponding to pixels in the image to be projected. The system includes a controller configured to control the image projection system and cause the image projection system to project the pixel-based image onto the work surface of the substrate. The image projection system includes a light source and a pixel based projection system can be used. The pixels of each projection can be varied by a parameter selected from the group consisting of light wavelength, light intensity, light frequency, and light amplitude. The image projection system can be configured to project an image based on predetermined substrate indicia, which can be a pixel-based representation of different surface characteristics (heat, exposure dose, critical dimension changes). The light source can be configured to provide actinic radiation to a particular substrate. The light source can be configured to provide radiation having a wavelength of less than 400 nanometers, such as ultraviolet radiation. A particular source of light having a particular spectral line or complex spectral line can be selected based on a particular radiation sensitive film on the substrate. The projection based on the predetermined substrate mark may include substrate marks that spatially map different characteristics of the substrate surface.

在其他實施例中,特定的投影影像可基於基板記號以及特定/特別的蝕刻腔室之CD蝕刻記號兩者。特定蝕刻腔室之CD蝕刻記號代表或識別由特定蝕刻圖案轉移製程所造成的各種蝕刻非均勻性。例如,在電漿式乾式蝕刻腔室的情況下,取決於特定類型的電漿反應器,整個基板表面上通常存在蝕刻非均勻性。例如,電漿可具有中央至邊緣的密度變化及/或方位角的密度變化。因此,在基板的某些區域,可能會相較於其他區域發生更多或更少的蝕刻。結果為,具有轉移的圖案之經蝕刻的基板會具有CD非均勻性(即使蝕刻遮罩具有均勻的CD)。本說明書中的系統及方法可補償如此的蝕刻非均勻性。藉由使投影影像基於基板記號(送入的CD記號)以及用以識別特定蝕刻腔室通常將或已蝕刻基板之方式的資料兩者,則結果為,投影影像以產生預偏移的CD,其在後續蝕刻程序期間達成CD標準化。作為非限定的範例,若特定蝕刻系統在基板的中央部分蝕刻較多而在基板的邊緣部分蝕刻較少,則投影影像可配置以調節送入的CD且使CD偏移,使得中央部分有相較於邊緣更大(或更小)的CD。接著當基板受到蝕刻時,送入的CD已應付了蝕刻非均勻性,使得所造成的蝕刻在整個基板上產出均勻的CD。In other embodiments, the particular projected image may be based on both the substrate mark and the CD etch mark of the particular/special etch chamber. The CD etch marks of a particular etch chamber represent or identify various etch non-uniformities caused by a particular etch pattern transfer process. For example, in the case of a plasma dry etch chamber, depending on the particular type of plasma reactor, there is typically etch non-uniformity across the surface of the substrate. For example, the plasma can have a density change from center to edge and/or a change in density of the azimuthal angle. Therefore, in some areas of the substrate, more or less etching may occur than in other areas. As a result, the etched substrate with the transferred pattern will have CD non-uniformity (even if the etch mask has a uniform CD). The systems and methods in this specification can compensate for such etch non-uniformities. By projecting the projected image based on both the substrate mark (the incoming CD mark) and the data used to identify the particular etch chamber that would or have etched the substrate, the result is that the image is projected to produce a pre-shifted CD, It achieves CD standardization during subsequent etching procedures. As a non-limiting example, if a particular etching system etches more in the central portion of the substrate and less etching in the edge portion of the substrate, the projected image can be configured to adjust the incoming CD and offset the CD such that the central portion has a phase A CD that is larger (or smaller) than the edge. Then, when the substrate is etched, the fed CD has coped with the etching non-uniformity, so that the resulting etching produces a uniform CD across the substrate.

應注意,例如半導體晶圓的基板通常被支撐於或被安裝於其背側表面上(其中背側表面面對地面),而在其相反表面上執行例如塗佈、烘烤、微影、顯影、蝕刻等的製程。就此而言,工作表面通常面朝上,而因此為「上表面」,其在背側表面的相反面。於是上表面指涉在背側表面相反面的表面,換言之,即工作表面。在某些加工製程(例如電鍍)中,可垂直地固持基板。在如此的垂直配置中,工作表面面對側邊,而因此上表面面對側邊,但儘管如此仍為上表面。It should be noted that a substrate such as a semiconductor wafer is typically supported or mounted on its backside surface (where the backside surface faces the ground) while performing, for example, coating, baking, lithography, development on its opposite surface. , etching, etc. process. In this regard, the working surface is generally face up, and thus is the "upper surface", which is on the opposite side of the back side surface. The upper surface then refers to the surface opposite the back side surface, in other words, the working surface. In some processing processes, such as electroplating, the substrate can be held vertically. In such a vertical configuration, the work surface faces the sides, and thus the upper surface faces the sides, but nevertheless remains the upper surface.

處理系統亦可包含CD計量系統,其配置以識別基板之基於像素的CD記號。影像投影系統可使用雷射檢流計、數位光處理(DLP, digital light processing)元件或柵光閥(GLV, grating light valve)元件以將影像投影至基板的工作表面上。可使用可根據位置調整光學強度的任何影像投影元件。該系統可包含分配系統,其配置以在相同的處理腔室中將液體組成物分配於基板表面上。可將該腔室設置於半導體加工工具內,該工具包含將液體分配於旋轉之基板上的至少一模組,且包含具有用於加熱基板之加熱機構的至少一模組。如此的工具往往被稱為塗佈器/顯影器。在另一實施例中,可將該腔室設置於半導體加工工具內,該工具包含下列各者:配置以將光阻分配於基板上的至少一模組;配置以將顯影化學品分配於基板上的至少一模組;用以量測CD的至少一模組;及配置以烘烤基板的至少一模組,如圖8中所繪示。可將其他系統實現為掃描器/步進器工具,其包含微鏡投影系統或基於像素的投影系統。如此的實施例可配置有處理腔室,其為與微影曝光堆疊獨立的模組,或定位以在微影曝光期間將影像投影至基板表面。The processing system can also include a CD metering system configured to identify pixel-based CD marks of the substrate. The image projection system may use a laser galvanometer, a digital light processing (DLP) component, or a grating light valve (GLV) component to project an image onto a work surface of the substrate. Any image projection element that adjusts the optical intensity depending on the position can be used. The system can include a dispensing system configured to dispense a liquid composition onto a substrate surface in the same processing chamber. The chamber can be disposed within a semiconductor processing tool, the tool including at least one module for dispensing liquid onto the rotating substrate, and including at least one module having a heating mechanism for heating the substrate. Such tools are often referred to as applicators/developers. In another embodiment, the chamber can be disposed within a semiconductor processing tool, the tool comprising: at least one module configured to distribute photoresist to the substrate; configured to dispense developing chemicals on the substrate At least one module thereon; at least one module for measuring the CD; and at least one module configured to bake the substrate, as illustrated in FIG. Other systems may be implemented as a scanner/stepper tool that includes a micromirror projection system or a pixel based projection system. Such an embodiment may be configured with a processing chamber that is a separate module from the lithographic exposure stack, or positioned to project an image onto the substrate surface during lithographic exposure.

在其他實施例中,影像投影系統係配置以用逐線的方式將特定影像投影至晶圓之工作表面上。在另一實施例中,影像投影系統係配置以藉由使用一或更多鏡而將特定影像投影至晶圓之工作表面上,該一或更多鏡係配置以移動雷射束遍及工作表面,且變化指向基板之工作表面的各像素位置之雷射輻射量。例如,如此的影像投影系統可包含使用雷射檢流計。影像投影系統可配置以在少於例如30秒內將特定影像投影至基板的工作表面上。或者,可將特定影像每秒多次投影至基板的工作表面上。例如,雷射檢流計具有光柵掃描或光柵式的投影機構。如此的光柵式投影可包含以逐線方式投射雷射束遍及整個基板表面。投影速度的範圍可為自每秒約數百次至每數秒或更久一次。當雷射檢流計移動特定雷射束或UV光束遍及基板時,可在基板之工作表面上各像素位置或解析點處使雷射束的強度在0%至100%間變化。例如,可使用聲光調節器以調節特定基板表面上每個點位置的光強度。或者,亦可變化投影輻射在特定像素位置的停留時間以提供所需的光劑量。In other embodiments, the image projection system is configured to project a particular image onto a work surface of the wafer in a line-by-line manner. In another embodiment, the image projection system is configured to project a particular image onto a work surface of the wafer by using one or more mirrors configured to move the laser beam across the work surface And varying the amount of laser radiation directed at each pixel location of the working surface of the substrate. For example, such an image projection system can include the use of a laser galvanometer. The image projection system can be configured to project a particular image onto a work surface of the substrate in less than, for example, 30 seconds. Alternatively, a particular image can be projected onto the work surface of the substrate multiple times per second. For example, a laser galvanometer has a raster scan or raster projection mechanism. Such a raster projection can include projecting a laser beam across the entire substrate surface in a line-by-line manner. The projection speed can range from about hundreds of times per second to every few seconds or more. When the laser galvanometer moves a particular laser beam or UV beam throughout the substrate, the intensity of the laser beam can be varied from 0% to 100% at each pixel location or resolution point on the working surface of the substrate. For example, an acousto-optic adjuster can be used to adjust the light intensity at each point location on a particular substrate surface. Alternatively, the dwell time of the projected radiation at a particular pixel location can also be varied to provide the desired light dose.

另一實施例包含處理基板的方法。此方法包含將基板設置於基板固持器上。設置基板可包含在半導體加工工具的模組中接收基板。此半導體加工工具可包含至少一模組,其將光阻分配於基板上。如此的加工工具可包含基板搬運機構,其用於在不同處理模組之間自動化地移動基板。光接著經由柵式的光投影系統而投影至基板表面上,該柵式的光投影系統係配置以依據位置變化所投影光的振幅。使用遮罩或初縮遮罩執行典型的光微影曝光,該遮罩或初縮遮罩會阻擋一部分的光,使得光圖案到達基板表面。相較之下,柵式的光投影系統將光投影為一陣列或矩陣的點,其中可將各投影點切換開啟或關閉,及/或變化其頻率或振幅。所投影的光接著在基板表面上依基板上的位置變化振幅,其中該變化係基於基板記號。將光投影至基板表面上可包含藉由雷射檢流計或數位光處理(DLP, digital light processing)元件將影像投影至基板上。特定的投影影像可基於對應基板或其上之特徵部的特性之預定記號。如此的記號可包含關鍵尺寸記號、熱記號、光反射記號、表面能量、x光、微波等。所產生影像可基於對應該基板之預定的或即時量測的關鍵尺寸(CD, critical dimension)記號、或對應該基板之預定微影曝光記號,其可為光柵延遲或閃焰的結果。如此的記號可補償光柵掃描/曝光延遲以及極紫外線(EUV, extreme ultraviolet)閃焰。Another embodiment includes a method of processing a substrate. The method includes disposing a substrate on a substrate holder. Setting the substrate can include receiving the substrate in a module of the semiconductor processing tool. The semiconductor processing tool can include at least one module that distributes the photoresist to the substrate. Such a processing tool can include a substrate handling mechanism for automatically moving the substrate between different processing modules. The light is then projected onto the surface of the substrate via a grid-type light projection system configured to vary the amplitude of the projected light depending on the position. A typical photolithographic exposure is performed using a mask or a priming mask that blocks a portion of the light such that the light pattern reaches the surface of the substrate. In contrast, a grid-type light projection system projects light into an array or matrix of points where each projection point can be switched on or off and/or its frequency or amplitude can be varied. The projected light then varies in amplitude on the surface of the substrate depending on the position on the substrate, wherein the change is based on the substrate mark. Projecting light onto the surface of the substrate can include projecting the image onto the substrate by a laser galvanometer or a digital light processing (DLP) component. The particular projected image may be based on a predetermined mark of the characteristic of the corresponding substrate or features thereon. Such indicia may include key dimension indicia, thermal indicia, light reflecting indicia, surface energy, x-rays, microwaves, and the like. The resulting image may be based on a predetermined or instantaneously measured critical dimension (CD) mark corresponding to the substrate, or a predetermined lithographic exposure mark corresponding to the substrate, which may be the result of raster delay or flare. Such marks compensate for raster scan/exposure delay and extreme ultraviolet (EUV) flash flame.

應注意,可自已藉由特定工具、工具組、及/或製程順序來處理的先前基板來辨識特定基板記號。換言之,可針對處理中的基板即時計算基板記號,或針對特定微加工製程自重複的記號圖案來計算/觀察基板記號。如此的重複圖案可能係由於所使用之特定工具及/或材料的假影(artifact)所致。基板特性可包含光學特性、電特性、機械特性、結構高度、膜厚度、溫度等。It should be noted that a particular substrate mark can be identified from a previous substrate that has been processed by a particular tool, tool set, and/or process sequence. In other words, the substrate mark can be calculated on-the-fly for the substrate being processed, or the substrate mark can be calculated/observed for a repeat pattern of marks for a particular micro-machining process. Such repeated patterns may be due to artifacts of the particular tool and/or material used. The substrate characteristics may include optical properties, electrical properties, mechanical properties, structural height, film thickness, temperature, and the like.

在若干實施例中,雷射檢流計或數位光處理元件係配置以將可獨立定址像素之影像投影至基板表面上。數位光處理元件可配置以變化各可獨立定址像素的光強度。In several embodiments, a laser galvanometer or digital light processing component is configured to project an image of independently addressable pixels onto a substrate surface. The digital light processing element can be configured to vary the light intensity of each independently addressable pixel.

另一實施例包含處理基板的方法。將基板設置於處理腔室內的基板固持器上。藉由數位控制微鏡投影元件來將基於像素的影像投影至基板表面上,其中該基於像素的影像係基於基板記號而產生。基板可包含具有光反應劑的層,以使所投影之基於像素的影像造成光反應劑基於在基板上特定點位置處之所投影光的振幅及/或波長而對該基於像素的影像起化學反應。換言之,所投影光的圖案可有助於造成光反應劑產生酸、鹼、或其他溶解度轉變材料。基板記號可對應於基板表面上溫度的預定熱記號。投影基於像素的影像,可包含依各投影的像素來變化光強度、持續時間、及波長。Another embodiment includes a method of processing a substrate. The substrate is placed on a substrate holder within the processing chamber. A pixel-based image is projected onto the surface of the substrate by digitally controlling the micromirror projection element, wherein the pixel-based image is generated based on the substrate mark. The substrate can include a layer having a photoreactive agent such that the projected pixel-based image causes the photoreactive agent to chemistry the pixel-based image based on the amplitude and/or wavelength of the projected light at a particular point on the substrate. reaction. In other words, the pattern of projected light can help cause the photoreactive agent to produce an acid, base, or other solubility converting material. The substrate mark can correspond to a predetermined thermal mark of the temperature on the surface of the substrate. Projecting a pixel-based image may include varying the light intensity, duration, and wavelength for each projected pixel.

在另一實施例中,處理基板的方法包含將基板設置於半導體加工工具的基板固持器上。使用位於基板固持器內的加熱機構來加熱在基板固持器上的基板,且使用數位控制的微鏡投影元件將基於像素的影像投影至基板上而空間上地調整基板的表面溫度。基於像素的影像依可獨立定址之像素來變化光振幅,而所投影之基於像素的影像係基於基板的熱記號。In another embodiment, a method of processing a substrate includes disposing a substrate on a substrate holder of a semiconductor processing tool. The substrate on the substrate holder is heated using a heating mechanism located within the substrate holder, and the pixel-based image is projected onto the substrate using a digitally controlled micromirror projection element to spatially adjust the surface temperature of the substrate. The pixel-based image varies the light amplitude according to the independently addressable pixels, and the projected pixel-based image is based on the thermal signature of the substrate.

另一實施例包含接收基板,該基板具有用於嵌段共聚物之定向自組裝的膜。使用數位光投影來將影像係投影至基板膜上,以使該影像依據空間投影影像來改質該膜。塗佈嵌段共聚物膜,且活化或引發自組裝,以使共聚物基於空間投影(基於像素的)影像組裝成圖案。Another embodiment includes a receiving substrate having a film for directed self-assembly of the block copolymer. The digital light projection is used to project the image system onto the substrate film such that the image is modified according to the spatial projection image. The block copolymer film is coated and activated or self-assembled to assemble the copolymer into a pattern based on spatial projection (pixel based) images.

在前述說明中已提出特定細節,如處理系統之特定幾何結構、及本說明書中所使用的各種構件與製程之描述。然而應瞭解,在悖離該等特定細節的其他實施例中,仍可實施本說明書中之技術,並且如此的細節係以說明為目的而非限制。本說明書中所揭露之實施例已參照隨附之圖來加以描述。同樣地,為了說明之目的,已提出特定的數量、材料、及配置,以提供透徹的理解。儘管如此,在無如此的特定細節的情況下仍可實施本發明。具有實質上相同功能性結構的構件以相似的參考符號來表示,因而可省略任何多餘的說明。Specific details have been set forth in the foregoing description, such as the particular geometry of the processing system, and the description of various components and processes used in this specification. It is to be understood, however, that the present invention may be practiced in other embodiments of the present invention, and such details are not intended to be limiting. The embodiments disclosed in the specification have been described with reference to the accompanying drawings. Also, specific quantities, materials, and configurations have been presented for the purpose of illustration. Nevertheless, the invention may be practiced without such specific details. Components having substantially the same functional structure are denoted by like reference numerals, and thus any redundant description may be omitted.

各種技術已被敘述為多個分離的操作以幫助了解各種實施例。敘述之順序不應被視為暗指這些操作必須順序相依。更確切地,該等操作並不一定需依出現的順序來執行。可以不同於所敘述之實施例的順序來執行所敘述之操作。在額外的實施例中,可執行各種額外操作,及/或可省略所敘述之操作。Various techniques have been described as a plurality of separate operations to aid in understanding various embodiments. The order of narration should not be taken to imply that these operations must be sequentially dependent. Rather, such operations are not necessarily required to be performed in the order presented. The recited operations may be performed in a different order than the described embodiments. In additional embodiments, various additional operations may be performed, and/or the operations recited may be omitted.

依據本發明,本說明書中所使用之「基板」或「目標基板」一般係指被處理之物件。基板可包含元件的任何材料部分或結構,特別指半導體或其他電子元件,且例如可為基座基板結構,如半導體晶圓、初縮遮罩、或基座基板結構上或上覆於該基座基板結構之層(如薄膜)。因此,基板並不被限定於任何特定的基座結構、下伏層或上覆層、圖案化或非圖案化,而是意指基板係包含任何如此的層或基座基板,及任何層及/或基座基板之組合。該描述可涉及特殊類型之基板,但僅用於說明性之目的。In accordance with the present invention, "substrate" or "target substrate" as used in this specification generally refers to an object to be processed. The substrate may comprise any material portion or structure of the component, particularly a semiconductor or other electronic component, and may, for example, be a base substrate structure, such as a semiconductor wafer, a priming mask, or a pedestal substrate structure or overlying the substrate. A layer of a substrate structure (such as a film). Thus, the substrate is not limited to any particular pedestal, underlying or overlying layer, patterned or unpatterned, but rather means that the substrate comprises any such layer or base substrate, and any layers and / or a combination of base substrates. This description may relate to a particular type of substrate, but for illustrative purposes only.

熟習該領域技術者亦將瞭解,可對以上所說明之技術的操作進行諸多變化並同時仍達到與本發明相同之目的。如此的變化係意欲涵蓋於本揭露內容之範圍。就此而言,本發明實施例的上述說明並非意欲限制。確切而言,本發明之實施例的任何限制呈現於以下申請專利範圍中。It will also be apparent to those skilled in the art that many variations can be made in the operation of the techniques described above while still achieving the same objectives as the present invention. Such variations are intended to be included within the scope of the disclosure. In this regard, the above description of the embodiments of the present invention is not intended to be limiting. In particular, any limitations of embodiments of the invention are set forth in the scope of the following claims.

101‧‧‧部件
102‧‧‧光源
103‧‧‧光投影元件
104‧‧‧透鏡系統
105‧‧‧基板
106‧‧‧投影線
107‧‧‧基板對準系統
108‧‧‧處理腔室
109‧‧‧投影的影像
110‧‧‧下層
115‧‧‧膜
130‧‧‧基板固持器
135‧‧‧開口
143‧‧‧相機
101‧‧‧ Parts
102‧‧‧Light source
103‧‧‧Light projection elements
104‧‧‧Lens system
105‧‧‧Substrate
106‧‧‧Projection line
107‧‧‧Substrate alignment system
108‧‧‧Processing chamber
109‧‧‧Projected images
110‧‧‧Under
115‧‧‧ film
130‧‧‧Sheet holder
135‧‧‧ openings
143‧‧‧ camera

參照以下連同隨附之圖式一併考量之「實施方式」,將可更加容易地透徹理解本發明之各種實施例及伴隨其中之許多優點。該等圖式並不一定按比例繪製,而是要強調說明其特徵、原則、及概念。The various embodiments of the present invention, together with many of the advantages thereof, will be more readily understood by reference to the <RTIgt; These figures are not necessarily drawn to scale, but rather to emphasize their characteristics, principles, and concepts.

圖1係為用於調整基板之範例影像投影系統的示意立體圖。1 is a schematic perspective view of an exemplary image projection system for adjusting a substrate.

圖2係為用於調整基板之範例影像投射系統的示意側視圖。2 is a schematic side view of an exemplary image projection system for adjusting a substrate.

圖3係為呈現空間上變化的特性之範例基板記號的圖。Figure 3 is a diagram of an exemplary substrate symbol that exhibits spatially varying characteristics.

圖4係為用於調整基板之範例影像投射系統的示意側視圖。4 is a schematic side view of an exemplary image projection system for adjusting a substrate.

圖5係為橫越基板剖面之範例簡化關鍵尺寸或熱記號的圖。Figure 5 is a diagram of a simplified simplification of critical dimensions or thermal marks across an example of a cross-section of a substrate.

圖6係為呈現補償特定的關鍵尺寸記號之投影影像的圖。Figure 6 is a diagram showing a projected image that compensates for a particular critical dimension symbol.

圖7係為橫越基板剖面之範例簡化關鍵尺寸或熱記號的圖。Figure 7 is a diagram of a simplified simplification of critical dimensions or thermal marks across an example of a cross-section of a substrate.

圖8係為半導體加工工具的示意圖。Figure 8 is a schematic illustration of a semiconductor processing tool.

103‧‧‧光投影元件 103‧‧‧Light projection elements

105‧‧‧基板 105‧‧‧Substrate

109‧‧‧投影的影像 109‧‧‧Projected images

110‧‧‧下層 110‧‧‧Under

115‧‧‧膜 115‧‧‧ film

Claims (17)

一種用於處理基板的處理系統,該處理系統包含: 腔室,其在尺寸上設計成、且配置以接收基板進行處理; 基板固持器,其係設置於該腔室內,且配置以固持該基板; 影像投影系統,其配置以在該基板位於該腔室中時將影像投影至該基板的工作表面上,該影像投影系統使用微鏡投影元件來投影該影像,該影像投影系統係配置以基於預定基板記號而投影該影像,該影像投影系統係配置以用逐線的方式將該影像投影至該基板的該工作表面上;及 控制器,其配置以控制該影像投影系統,且使該影像投影系統將基於像素的影像投影至該基板的該工作表面上。A processing system for processing a substrate, the processing system comprising: a chamber sized and configured to receive a substrate for processing; a substrate holder disposed within the chamber and configured to hold the substrate An image projection system configured to project an image onto a work surface of the substrate while the substrate is in the chamber, the image projection system projecting the image using a micromirror projection element configured to be based on Projecting the image with a predetermined substrate mark, the image projection system configured to project the image onto the work surface of the substrate in a line-by-line manner; and a controller configured to control the image projection system and to cause the image A projection system projects a pixel based image onto the work surface of the substrate. 如申請專利範圍第1項之用於處理基板的處理系統,其中該影像投影系統係配置以另外基於特定蝕刻腔室的CD蝕刻記號來投影該影像。A processing system for processing a substrate according to claim 1, wherein the image projection system is configured to additionally project the image based on a CD etch mark of a particular etch chamber. 如申請專利範圍第2項之用於處理基板的處理系統,其中該影像投影系統係配置以投影該影像以在該基板上產生偏移的CD記號,以用於後續蝕刻程序期間的CD標準化。A processing system for processing a substrate according to claim 2, wherein the image projection system is configured to project the image to produce an offset CD mark on the substrate for CD normalization during a subsequent etching process. 如申請專利範圍第1項之用於處理基板的處理系統,其中該影像投影系統係配置以藉由使用一或更多鏡來將特定影像投影至該基板的該工作表面上,該一或更多鏡係配置以移動雷射束遍及該工作表面,且變化指向該基板之該工作表面的各像素位置之雷射輻射量。A processing system for processing a substrate according to claim 1, wherein the image projection system is configured to project a specific image onto the work surface of the substrate by using one or more mirrors, the one or more The multi-mirror configuration is configured to move the laser beam throughout the work surface and vary the amount of laser radiation directed at each pixel location of the work surface of the substrate. 如申請專利範圍第4項之用於處理基板的處理系統,其中該影像投影系統包含雷射檢流計元件。A processing system for processing a substrate according to claim 4, wherein the image projection system comprises a laser galvanometer element. 如申請專利範圍第5項之用於處理基板的處理系統,其中該影像投影系統包含光源,其配置以對特定基板提供光化輻射。A processing system for processing a substrate according to claim 5, wherein the image projection system comprises a light source configured to provide actinic radiation to a particular substrate. 如申請專利範圍第6項之用於處理基板的處理系統,其中該光源係配置以提供波長小於400奈米的輻射。A processing system for processing a substrate according to claim 6 wherein the light source is configured to provide radiation having a wavelength of less than 400 nm. 如申請專利範圍第1項之用於處理基板的處理系統,其中該影像投影系統使用數位光處理(DLP, digital light processing)元件或柵光閥(GLV, grating light valve)元件或雷射檢流計元件,以將該影像投影至該基板的該工作表面上。The processing system for processing a substrate according to claim 1, wherein the image projection system uses a digital light processing (DLP) component or a grating light valve (GLV) or a laser galvanic current The component is projected to project the image onto the work surface of the substrate. 如申請專利範圍第1項之用於處理基板的處理系統,其中可藉由選自由光之強度及光振幅所構成之群組的參數而變化所投影的各像素。A processing system for processing a substrate according to claim 1, wherein each of the projected pixels is changed by a parameter selected from the group consisting of intensity of light and amplitude of light. 如申請專利範圍第1項之用於處理基板的處理系統,其中該影像投影系統係配置以在少於60秒內將特定影像投影至該基板的該工作表面上。A processing system for processing a substrate according to claim 1, wherein the image projection system is configured to project a specific image onto the work surface of the substrate in less than 60 seconds. 如申請專利範圍第1項之用於處理基板的處理系統,其中該影像投影系統係配置以將特定影像每秒多次投影至該基板的該工作表面上。A processing system for processing a substrate according to claim 1, wherein the image projection system is configured to project a particular image onto the work surface of the substrate multiple times per second. 如申請專利範圍第1項之用於處理基板的處理系統,其中所投影的各像素之強度係基於該基板之關鍵尺寸記號。A processing system for processing a substrate according to claim 1, wherein the intensity of each of the projected pixels is based on a critical dimension of the substrate. 如申請專利範圍第1項之用於處理基板的處理系統,其中該腔室係設置於半導體加工工具內,該半導體加工工具包含將液體分配於旋轉之基板上的至少一模組,且包含具有用於加熱該基板之加熱機構的至少一模組。The processing system for processing a substrate according to claim 1, wherein the chamber is disposed in a semiconductor processing tool, the semiconductor processing tool comprising at least one module for dispensing liquid onto the rotating substrate, and comprising At least one module for heating the heating mechanism of the substrate. 如申請專利範圍第1項之用於處理基板的處理系統,其中該腔室係設置於半導體加工工具內,該半導體加工工具包含: 配置以將光阻分配於該基板上的至少一模組; 配置以將顯影化學品分配於該基板上的至少一模組;及 配置以烘烤該基板的至少一模組。The processing system for processing a substrate according to claim 1, wherein the chamber is disposed in a semiconductor processing tool, the semiconductor processing tool comprising: at least one module configured to distribute the photoresist on the substrate; At least one module configured to dispense developing chemicals onto the substrate; and at least one module configured to bake the substrate. 一種用於處理基板的處理系統,該處理系統包含: 腔室,其在尺寸上設計成、且配置以接收基板進行處理; 基板固持器,其係設置於該腔室內,且配置以固持該基板; 影像投影系統,其配置以在該基板位於該腔室中時將影像投影至該基板的工作表面上,該影像投影系統使用微鏡投影元件來投影該影像,該影像投影系統係配置以藉由使用一或更多鏡來將該影像投影至該基板的該工作表面上,該一或更多鏡係配置以移動雷射束遍及該工作表面,且變化指向該基板之該工作表面的各像素位置之雷射輻射量;及 控制器,其配置以控制該影像投影系統,且使該影像投影系統將基於像素的影像投影至該基板的該工作表面上,其中該基於像素的影像係基於基板記號,其空間上地映射該基板的該工作表面之不同特性。A processing system for processing a substrate, the processing system comprising: a chamber sized and configured to receive a substrate for processing; a substrate holder disposed within the chamber and configured to hold the substrate An image projection system configured to project an image onto a work surface of the substrate while the substrate is in the chamber, the image projection system projecting the image using a micromirror projection element configured to Projecting the image onto the work surface of the substrate by using one or more mirrors configured to move the laser beam throughout the work surface and to vary the orientation of the work surface directed to the substrate a laser radiation amount at a pixel location; and a controller configured to control the image projection system and cause the image projection system to project a pixel-based image onto the work surface of the substrate, wherein the pixel-based image is based on A substrate mark that spatially maps different characteristics of the working surface of the substrate. 如申請專利範圍第15項之用於處理基板的處理系統,其中該控制器係配置以基於該基板之關鍵尺寸記號而產生該基於像素的影像。A processing system for processing a substrate according to claim 15 wherein the controller is configured to generate the pixel-based image based on a critical dimension of the substrate. 如申請專利範圍第15項之用於處理基板的處理系統,其中該影像投影系統係進一步配置以基於特定蝕刻腔室的CD蝕刻記號來投影該影像。A processing system for processing a substrate according to claim 15 wherein the image projection system is further configured to project the image based on a CD etch mark of a particular etch chamber.
TW105140977A 2015-12-18 2016-12-12 Substrate tuning system and method using optical projection TWI640837B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/974,974 US9645391B2 (en) 2013-11-27 2015-12-18 Substrate tuning system and method using optical projection
US14/974,974 2015-12-18

Publications (2)

Publication Number Publication Date
TW201730688A true TW201730688A (en) 2017-09-01
TWI640837B TWI640837B (en) 2018-11-11

Family

ID=59080724

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105140977A TWI640837B (en) 2015-12-18 2016-12-12 Substrate tuning system and method using optical projection

Country Status (4)

Country Link
JP (1) JP2017111446A (en)
KR (1) KR102544422B1 (en)
CN (1) CN106896646B (en)
TW (1) TWI640837B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111919283B (en) * 2018-03-19 2024-02-27 东京毅力科创株式会社 Method for correcting critical dimensions using calibrated trim dose
CN110187607A (en) * 2019-05-08 2019-08-30 苏州源卓光电科技有限公司 A kind of direct-write photoetching mechanism and its exposure method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6248168B1 (en) * 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP2002072491A (en) * 2000-09-01 2002-03-12 Airex Inc Printed circuit board manufacturing apparatus
JP2005189714A (en) * 2003-12-26 2005-07-14 Fuji Photo Film Co Ltd Aligner
US6960775B1 (en) * 2004-04-13 2005-11-01 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2006201692A (en) * 2005-01-24 2006-08-03 Sony Corp Device for forming stereoscopic pattern, and method for forming stereoscopic pattern
US7534627B2 (en) * 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
JP2008250140A (en) * 2007-03-30 2008-10-16 Fujifilm Corp Exposure method of exposure device, and exposure device
JP5852374B2 (en) * 2011-09-07 2016-02-03 株式会社Screenホールディングス Drawing apparatus and drawing method
US9646898B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Methods for treating a substrate by optical projection of a correction pattern based on a detected spatial heat signature of the substrate
WO2015112802A1 (en) * 2014-01-27 2015-07-30 Tokyo Electron Limited System and method for shifting critical dimensions of patterned films

Also Published As

Publication number Publication date
KR20170073537A (en) 2017-06-28
JP2017111446A (en) 2017-06-22
TWI640837B (en) 2018-11-11
KR102544422B1 (en) 2023-06-15
CN106896646B (en) 2020-09-11
CN106896646A (en) 2017-06-27

Similar Documents

Publication Publication Date Title
US9646898B2 (en) Methods for treating a substrate by optical projection of a correction pattern based on a detected spatial heat signature of the substrate
JP6321189B2 (en) System and method for shifting critical dimensions of patterned films
TWI298825B (en) Lithographic apparatus and device manufacturing method
TWI237744B (en) Level sensor for lithographic apparatus
JP5160688B2 (en) Lithographic apparatus and device manufacturing method
KR100756504B1 (en) Lithographic apparatus and device manufacturing method
CN109313395B (en) Critical dimension control through the use of light agents
US20060119827A1 (en) Maskless optical writer
TW200823605A (en) System and method to compensate for critical dimension non-uniformity in a lithography system
US9645391B2 (en) Substrate tuning system and method using optical projection
JP2005303312A (en) Lithography device, and device manufacturing method and device manufactured by this method
JP4023541B2 (en) Lithographic projection apparatus and device manufacturing method
TWI640837B (en) Substrate tuning system and method using optical projection
JP2007043168A (en) Lithography equipment and method for manufacturing device