TW201404945A - Methods and apparatus for wetting pretreatment for through resist metal plating - Google Patents

Methods and apparatus for wetting pretreatment for through resist metal plating Download PDF

Info

Publication number
TW201404945A
TW201404945A TW102108847A TW102108847A TW201404945A TW 201404945 A TW201404945 A TW 201404945A TW 102108847 A TW102108847 A TW 102108847A TW 102108847 A TW102108847 A TW 102108847A TW 201404945 A TW201404945 A TW 201404945A
Authority
TW
Taiwan
Prior art keywords
fluid
wafer substrate
wet
wafer
wetting
Prior art date
Application number
TW102108847A
Other languages
Chinese (zh)
Other versions
TWI591213B (en
Inventor
Bryan L Buckalew
Steven T Mayer
Thomas A Ponnuswamy
Robert Rash
Brian Blackman
Doug Higley
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/775,987 external-priority patent/US9455139B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201404945A publication Critical patent/TW201404945A/en
Application granted granted Critical
Publication of TWI591213B publication Critical patent/TWI591213B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

Disclosed are pre-wetting apparatus designs and methods. In some embodiments, a pre-wetting apparatus includes a degasser, a process chamber, and a controller. The process chamber includes a wafer holder configured to hold a wafer substrate, a vacuum port configured to allow formation of a subatmospheric pressure in the process chamber, and a fluid inlet coupled to the degasser and configured to deliver a degassed pre-wetting fluid onto the wafer substrate at a velocity of at least about 7 meters per second whereby particles on the wafer substrate are dislodged and at a flow rate whereby dislodged particles are removed from the wafer substrate. The controller includes program instructions for forming a wetting layer on the wafer substrate in the process chamber by contacting the wafer substrate with the degassed pre-wetting fluid admitted through the fluid inlet at a flow rate of at least about 0.4 liters per minute.

Description

用於貫穿型光阻電鍍用潤濕前處理之方法及設備 Method and apparatus for pre-wetting treatment for through-type photoresist plating

本發明係關於預濕設備設計與方法。更詳細而言,實施例係關於在用於積體電路製造的半導體晶圓上沉積導電材料之前,預濕晶圓的預濕設備設計與方法。 The present invention relates to pre-wet device design and methods. In more detail, embodiments relate to a pre-wet device design and method for pre-wetting a wafer prior to depositing a conductive material on a semiconductor wafer for integrated circuit fabrication.

潤濕是液體/固體界面的一種屬性,取決於液體與固體之間的附著力及液體中的凝聚力。液體與固體之間的附著力使液體在固體表面上散開。液體中的凝聚力使固體表面上的液體接觸最小化。在許多發生液體與固體表面相互作用的工業製程中,液體作用在固體表面上的潤濕是很重要的。電鍍(陰極反應),如包括積體電路製造過程中的電鍍,便是此類工業製程之一。在如電蝕刻及電拋光的陽極反應中,潤濕亦很重要。 Wetting is an attribute of the liquid/solid interface, depending on the adhesion between the liquid and the solid and the cohesion in the liquid. The adhesion between the liquid and the solid causes the liquid to spread out on the solid surface. Cohesion in the liquid minimizes liquid contact on the solid surface. Wetting of liquids on solid surfaces is important in many industrial processes where liquid to solid surfaces interact. Electroplating (cathode reaction), such as electroplating in the manufacturing process of integrated circuits, is one of such industrial processes. Wetting is also important in anodic reactions such as electroetching and electropolishing.

例如,許多半導體與微電子製程利用貫穿型光阻電沉積。此電鍍處理有時也被稱為貫穿遮罩或光阻圖案化電沉積。這些處理可與下列相關:在GaAs晶圓上電鍍亞微米金互連線、為薄膜記錄頭電鍍銅線圈或磁性合金、為再分配或積體被動應用裝置電鍍銅導體、或倒裝晶片接合電鍍PbSn或無鉛焊錫。這些處理全都涉及將金屬沉積在具有毯覆式導電晶種層或導電電鍍基底及圖案化介電樣板的基板。 For example, many semiconductor and microelectronic processes utilize through-type photoresist electrodeposition. This plating process is sometimes referred to as through-mask or photoresist patterned electrodeposition. These treatments can be related to plating submicron gold interconnects on GaAs wafers, electroplating copper coils or magnetic alloys for thin film recording heads, electroplating copper conductors for redistribution or integrated passive applications, or flip chip bonding plating. PbSn or lead-free solder. These processes all involve depositing a metal on a substrate having a blanket conductive seed layer or a conductive plated substrate and a patterned dielectric template.

在此說明於貫穿光阻電鍍或其他處理之前所用的預濕基板的方法與設備。對基板預濕及清潔,俾使移除包括光阻粒子與殘餘物的汙 染粒子材料,以提供潤濕的表面供後續處理。 Methods and apparatus for pre-wet substrates used prior to photoresist plating or other processing are described herein. Pre-wet and clean the substrate to remove dirt including photoresist particles and residues The particulate material is dyed to provide a wetted surface for subsequent processing.

說明設備之一態樣。設備包括:除氣器,其用以從預濕流體移除一或更多種溶解氣體,以產生除氣預濕流體;處理腔室,包括用以固持晶圓基板並用以旋轉晶圓基板的晶圓固持部、用以使處理腔室內形成次大氣壓的真空埠、及耦合至除氣器的流體入口部,流體入口部用以將除氣預濕流體以至少約每秒7公尺的速度輸送至晶圓基板上,藉此晶圓基板上的粒子材料(包括粒子與殘餘物)會鬆脫,且流體入口部係用以將除氣預濕流體以從晶圓基板移除鬆脫粒子的流量輸送。設備更包含控制器,其程式指令係用以旋轉晶圓基板,並藉由在旋轉晶圓基板時,使晶圓基板接觸來自除氣器且以至少約每分鐘0.4公升的流量從流體入口部引入的除氣預濕流體,除氣的預濕流體處於液態狀態,如此在處理腔室內於次大氣壓下在晶圓基板上形成一潤濕層。所提供之流體速度與流體流量足夠鬆脫並移除基板上的粒子材料,並提供包括扇狀噴嘴之流體輸送方式。 Describe one aspect of the device. The apparatus includes: a degasser for removing one or more dissolved gases from the pre-wetting fluid to generate a degassed pre-wet fluid; and a processing chamber including a crystal for holding the wafer substrate and for rotating the wafer substrate a circular holding portion, a vacuum port for forming a sub-atmospheric pressure in the processing chamber, and a fluid inlet portion coupled to the deaerator, the fluid inlet portion for conveying the degassed pre-wetting fluid to at least about 7 meters per second to On the wafer substrate, the particle material (including particles and residues) on the wafer substrate is released, and the fluid inlet portion is used to transport the degassed pre-wetting fluid to remove the loose particles from the wafer substrate. . The device further includes a controller, the program instructions for rotating the wafer substrate, and by rotating the wafer substrate, contacting the wafer substrate from the degasser and flowing from the fluid inlet portion at a flow rate of at least about 0.4 liters per minute The degassed pre-wet fluid is introduced, and the degassed pre-wet fluid is in a liquid state, so that a wetting layer is formed on the wafer substrate under sub-atmospheric pressure in the processing chamber. The supplied fluid velocity and fluid flow are sufficiently loose to remove particulate material on the substrate and provide a fluid delivery means including a fan nozzle.

除氣器在某些實施例中為薄膜接觸式除氣器,用以產生具有約0.5ppm或更低溶解大氣氣體之用以接觸晶圓基板的除氣預濕流體。流體較佳是去離子水或化學溶液,協助將粒子從晶圓基板鬆脫與移除。真空埠在某些實施例中位於低於晶圓固持部。設備在某些實施例中用以在形成潤濕層於晶圓基板期間維持低於約50Torr的次大氣壓。 The deaerator, in some embodiments, is a membrane contact degasser for producing a degassed pre-wet fluid having a dissolved atmospheric gas of about 0.5 ppm or less for contacting the wafer substrate. The fluid is preferably deionized water or a chemical solution that assists in the release and removal of particles from the wafer substrate. The vacuum crucible is located below the wafer holding portion in some embodiments. The device is used in certain embodiments to maintain a sub-atmospheric pressure of less than about 50 Torr during formation of the wetting layer on the wafer substrate.

某些實施例中,流體入口部包括用以將除氣預濕流體輸送到晶圓基板上的噴嘴。某些實施例中噴嘴設於處理腔室的側壁上,且在某些實施例中,是扇狀噴嘴,用以將除氣預濕流體輸送到晶圓基板上,俾使撞擊晶圓基板的除氣預濕流體具有線狀。某些實施例中流體入口部包括歧管,歧管包括用以將除氣預濕流體輸送至晶圓基板上的至少一噴嘴,其中噴嘴位於晶圓基板上方,且是扇狀噴嘴,用以將除氣預濕流體輸送到晶圓基板上,俾使撞擊晶圓基板的除氣預濕流體具有線狀。 In some embodiments, the fluid inlet portion includes a nozzle for delivering a degassed pre-wetting fluid onto the wafer substrate. In some embodiments, the nozzle is disposed on a sidewall of the processing chamber, and in some embodiments, a fan-shaped nozzle for transporting the degassed pre-wetting fluid onto the wafer substrate to cause impacting of the wafer substrate The gas pre-wet fluid has a linear shape. In some embodiments, the fluid inlet portion includes a manifold, and the manifold includes at least one nozzle for conveying the degassed pre-wetting fluid onto the wafer substrate, wherein the nozzle is located above the wafer substrate and is a fan-shaped nozzle for The degassed pre-wet fluid is transported onto the wafer substrate such that the degassed pre-wetting fluid striking the wafer substrate has a linear shape.

某些實施例中,處理腔室包括蓋與本體,其中蓋維持固定不動,本體用以實質垂直地移動,使本體接觸蓋而形成真空密封,且其中歧管的噴嘴接附於蓋。歧管的噴嘴在某些實施例中將除氣預濕流體從晶圓基板的一邊緣到晶圓的實質中心輸送至晶圓基板上。 In some embodiments, the processing chamber includes a cover and a body, wherein the cover remains stationary, the body is configured to move substantially vertically, the body contacts the cover to form a vacuum seal, and wherein the nozzle of the manifold is attached to the cover. The nozzle of the manifold, in some embodiments, delivers the degassed pre-wetting fluid from one edge of the wafer substrate to the substantial center of the wafer onto the wafer substrate.

某些實施例中,晶圓固持部用以將晶圓基板固持為面朝上的 定向,且設備用以將預濕流體從高速噴嘴噴灑於晶圓基板上。 In some embodiments, the wafer holding portion is used to hold the wafer substrate face up Oriented, and the device is used to spray pre-wetting fluid from the high speed nozzle onto the wafer substrate.

在此設備中可預濕的典型基板包括金屬層及覆蓋的光阻,其中光阻的特徵部使金屬層的部分曝露出。某些實施例中,光阻包括具有長寬比為約2比1至1比2的特徵部,其中光阻中的特徵部具有尺寸為約5微米至200微米的開口。 A typical substrate that can be pre-wetted in this device includes a metal layer and a covered photoresist, wherein the features of the photoresist expose portions of the metal layer. In some embodiments, the photoresist comprises features having an aspect ratio of about 2 to 1 to 1 to 2, wherein the features in the photoresist have openings having a size of between about 5 microns and 200 microns.

某些實施例中,控制器的程式指令更包括以下指令:形成潤濕層於晶圓基板後,停止輸送除氣預濕流體,且停止輸送除氣預濕流體後,以不同轉速旋轉晶圓基板,以移除晶圓基板上的多餘表面曳出除氣預濕流體。 In some embodiments, the program instructions of the controller further include: after forming the wetting layer on the wafer substrate, stopping the delivery of the degassed pre-wetting fluid, and stopping the delivery of the degassed pre-wetting fluid, rotating the wafer substrate at different rotational speeds, The degassed pre-wet fluid is drawn to remove excess surface on the wafer substrate.

某些實施例中,控制器的程式指令更包括在停止輸送除氣預濕流體後且在移除多餘表面曳出預濕流體前,將處理腔室增壓至大氣壓或超過大氣壓。 In some embodiments, the programmed instructions of the controller further include pressurizing the processing chamber to atmospheric pressure or over atmospheric pressure after stopping the delivery of the degassed pre-wetting fluid and before removing excess surface to draw the pre-wetting fluid.

通常程式指令更包括指令來在形成潤濕層於晶圓基板上之前將處理腔室降壓至次大氣壓。例如程式指令可明訂當處理腔室壓力降至低於約50Torr時,啟動於晶圓基板上形成潤濕層的步驟,且使晶圓基板接觸除氣預濕流體約10秒至120秒。 Typically, the program instructions further include instructions to step down the processing chamber to sub-atmospheric pressure prior to forming the wetting layer on the wafer substrate. For example, the program instructions may specify the step of forming a wetting layer on the wafer substrate when the processing chamber pressure drops below about 50 Torr, and contacting the wafer substrate with the degassed pre-wetting fluid for about 10 seconds to 120 seconds.

在另一態樣中,設有一系統,其包括前述設備及一步進機。 In another aspect, a system is provided that includes the aforementioned apparatus and a stepper.

又於另一態樣中,其中方法包括:(a)在處理腔室中設置晶圓基板,晶圓基板在其表面至少一部份上具有曝露金屬層;(b)將處理腔室的壓力降至次大氣壓;(c)將預濕流體除氣;(d)旋轉晶圓基板;及(e)在處理腔室中於次大氣壓下使旋轉的晶圓基板接觸除氣預濕流體,以形成潤濕層於晶圓基板上,除氣預濕流體以至少約每秒7公尺的速度及至少約每分鐘0.4公升的流量接觸晶圓基板,以足夠將粒子材料從晶圓基板上鬆脫並移除。 In still another aspect, the method includes: (a) disposing a wafer substrate in the processing chamber, the wafer substrate having an exposed metal layer on at least a portion of the surface thereof; and (b) processing the pressure of the chamber Dropping to sub-atmospheric pressure; (c) degassing the pre-wet fluid; (d) rotating the wafer substrate; and (e) contacting the rotating wafer substrate with the degassed pre-wetting fluid at sub-atmospheric pressure in the processing chamber to form Wetting the layer on the wafer substrate, the degassed pre-wetting fluid contacting the wafer substrate at a velocity of at least about 7 meters per second and at least about 0.4 liters per minute to adequately release the particulate material from the wafer substrate and Remove.

某些實施例中,方法更包括:施加光阻於晶圓基板;曝露光阻於光;圖案化光阻,轉印圖案至晶圓基板;選擇性自工作件移除光阻。 In some embodiments, the method further comprises: applying a photoresist to the wafer substrate; exposing the photoresist to the light; patterning the photoresist, transferring the pattern to the wafer substrate; and selectively removing the photoresist from the workpiece.

在另一包括用以控制設備之程式指令的非瞬間電腦機器可讀媒體中,其中程式指令包括以下指令:(a)在處理腔室中設置晶圓基板,晶圓基板在其表面至少一部份上具有曝露金屬層;(b)將處理腔室的壓力降至次大氣壓;(c)將預濕流體除氣;(d)旋轉晶圓基板;及(e)在處理腔室中於次大氣壓下使旋轉的晶圓基板接觸除氣預濕流體,以形成潤濕層於晶圓基 板上,除氣預濕流體以至少約每秒7公尺的速度(以足夠鬆脫曝露金屬層上任何粒子材料)及至少約每分鐘0.4公升的流量(以足夠從晶圓基板上移除鬆脫的粒子材料)接觸該晶圓基板。 In another non-transitory computer-readable medium comprising program instructions for controlling a device, wherein the program instructions include the following instructions: (a) providing a wafer substrate in the processing chamber, the wafer substrate having at least one surface on the surface thereof Having an exposed metal layer; (b) reducing the pressure of the processing chamber to sub-atmospheric pressure; (c) degassing the pre-wet fluid; (d) rotating the wafer substrate; and (e) rotating in the processing chamber Contacting the rotating wafer substrate with a degassed pre-wetting fluid at atmospheric pressure to form a wetting layer on the wafer base On the plate, the degassed pre-wet fluid is at a rate of at least about 7 meters per second (to sufficiently loosen any particulate material on the exposed metal layer) and at least about 0.4 liters per minute (to remove enough pine from the wafer substrate) The removed particulate material) contacts the wafer substrate.

301‧‧‧腔室 301‧‧ ‧ chamber

303‧‧‧真空幫浦 303‧‧‧vacuum pump

305‧‧‧閥連接部 305‧‧‧ valve connection

306‧‧‧除氣迴圈 306‧‧‧degassing loop

307‧‧‧流體槽 307‧‧‧ fluid tank

309‧‧‧除氣裝置 309‧‧‧Degassing device

311‧‧‧幫浦 311‧‧‧

313‧‧‧三向閥 313‧‧‧Three-way valve

315‧‧‧閥 315‧‧‧ valve

317‧‧‧針閥 317‧‧‧needle valve

501‧‧‧預濕腔室 501‧‧‧Pre-wet chamber

503‧‧‧馬達 503‧‧‧Motor

504‧‧‧腔室底部 504‧‧‧Bottom of the chamber

505‧‧‧馬達及軸承支撐構件 505‧‧‧Motor and bearing support members

509‧‧‧耦合件 509‧‧‧Couplings

511‧‧‧驅動軸 511‧‧‧ drive shaft

513‧‧‧夾頭底部 513‧‧‧The bottom of the chuck

515‧‧‧支臂 515‧‧‧ Arm

517‧‧‧對準設備 517‧‧‧Alignment equipment

519‧‧‧排出部 519‧‧‧Exporting Department

521‧‧‧真空入口部及真空釋放管線 521‧‧‧Vacuum inlet and vacuum release line

523‧‧‧保護罩 523‧‧‧ protective cover

525‧‧‧預濕流體噴嘴 525‧‧‧Pre-wet fluid nozzle

527‧‧‧腔室真空門 527‧‧‧chamber vacuum door

601‧‧‧腔室 601‧‧ ‧ chamber

603‧‧‧晶圓 603‧‧‧ wafer

605‧‧‧冷卻元件 605‧‧‧Cooling element

607‧‧‧固持部 607‧‧‧ Holding Department

609‧‧‧真空蓋 609‧‧‧vacuum cover

611‧‧‧管線 611‧‧‧ pipeline

613‧‧‧管線 613‧‧‧ pipeline

615‧‧‧入口部 615‧‧‧ Entrance Department

617‧‧‧密封部 617‧‧‧ Sealing Department

619‧‧‧容器 619‧‧‧ Container

701‧‧‧晶圓 701‧‧‧ wafer

702‧‧‧晶圓固持部 702‧‧‧ Wafer Holding Department

703‧‧‧腔室 703‧‧‧室

705‧‧‧馬達 705‧‧‧Motor

707‧‧‧真空埠 707‧‧‧vacuum

709‧‧‧埠 709‧‧‧埠

711‧‧‧入口部 711‧‧‧ Entrance Department

713‧‧‧預濕流體 713‧‧‧Pre-wetting fluid

801‧‧‧預濕腔室 801‧‧‧Pre-wet chamber

803‧‧‧晶圓固持部 803‧‧‧ Wafer Holding Department

805‧‧‧馬達 805‧‧‧Motor

807‧‧‧真空埠 807‧‧‧vacuum

809‧‧‧晶圓 809‧‧‧ wafer

811‧‧‧埠 811‧‧‧埠

813‧‧‧流體 813‧‧‧ fluid

901‧‧‧腔室 901‧‧‧ chamber

903‧‧‧槽壁 903‧‧‧ slot wall

905‧‧‧晶圓固持部 905‧‧‧ Wafer Holding Department

907‧‧‧高阻抗虛陽極 907‧‧‧High impedance virtual anode

909‧‧‧分開陽極腔室區域 909‧‧‧Separate anode chamber area

911‧‧‧真空埠 911‧‧‧vacuum

913‧‧‧電鍍液 913‧‧‧ plating solution

915‧‧‧晶圓 915‧‧‧ wafer

1001‧‧‧電鍍系統/模組 1001‧‧‧Electroplating system/module

1003‧‧‧機器人 1003‧‧‧Robot

1004‧‧‧機器人 1004‧‧‧Robot

1005‧‧‧裝載器 1005‧‧‧Loader

1013‧‧‧預濕腔室 1013‧‧‧Pre-wet chamber

1015‧‧‧模組 1015‧‧‧Module

1021、1023、1025‧‧‧電鍍槽 1021, 1023, 1025‧‧‧ plating bath

1100、1150、1200、1600‧‧‧處理 1100, 1150, 1200, 1600‧‧‧

1105、1115、1155、1160、1165、1170、1605、1610、1615、1620、1625‧‧‧步驟 1105, 1115, 1155, 1160, 1165, 1170, 1605, 1610, 1615, 1620, 1625‧ ‧ steps

1350‧‧‧預濕腔室 1350‧‧‧Pre-wet chamber

1352‧‧‧腔室本體 1352‧‧‧Cell body

1354‧‧‧腔室蓋 1354‧‧‧Case cover

1356‧‧‧固持部 1356‧‧‧ Holding Department

1358‧‧‧晶圓基板 1358‧‧‧ wafer substrate

1360‧‧‧真空埠 1360‧‧‧vacuum

1362‧‧‧入口部 1362‧‧‧ Entrance Department

1364‧‧‧噴嘴 1364‧‧‧Nozzles

1366‧‧‧預濕流體 1366‧‧‧Pre-wetting fluid

1400‧‧‧預濕腔室 1400‧‧‧Pre-wet chamber

1402‧‧‧腔室本體 1402‧‧‧ chamber body

1404‧‧‧腔室蓋 1404‧‧‧Case cover

1406‧‧‧固持部 1406‧‧‧ Holding Department

1408‧‧‧晶圓基板 1408‧‧‧ wafer substrate

1410‧‧‧真空埠 1410‧‧‧vacuum

1412‧‧‧入口部 1412‧‧‧ Entrance Department

1414‧‧‧噴嘴 1414‧‧‧Nozzles

1416‧‧‧歧管 1416‧‧‧Management

1418、1420‧‧‧埠 1418, 1420‧‧‧埠

1422‧‧‧預濕流體 1422‧‧‧Pre-wetting fluid

1500‧‧‧預濕腔室 1500‧‧‧Pre-wet chamber

1502‧‧‧腔室本體 1502‧‧‧ chamber body

1504‧‧‧腔室蓋 1504‧‧‧Case cover

1506‧‧‧固持部 1506‧‧‧ Holding Department

1508‧‧‧晶圓基板 1508‧‧‧ wafer substrate

1510‧‧‧真空埠 1510‧‧‧vacuum

1512‧‧‧入口部 1512‧‧‧ Entrance Department

1514‧‧‧噴嘴 1514‧‧‧Nozzles

1516‧‧‧歧管 1516‧‧‧Management

1518、1520‧‧‧埠 1518, 1520‧‧‧埠

1522‧‧‧預濕流體 1522‧‧‧Pre-wetting fluid

圖1繪示預濕設備之一實施例的簡圖。 Figure 1 depicts a simplified diagram of one embodiment of a pre-wet device.

圖2繪示預濕腔室一實施例的立體截面圖。 2 is a perspective cross-sectional view of an embodiment of a pre-wet chamber.

圖3繪示用以進行凝結預濕處理之預濕腔室的實施例。 Figure 3 illustrates an embodiment of a pre-wet chamber for performing a coagulation pre-wet treatment.

圖4繪示用以進行浸漬預濕處理之預濕腔室的實施例。 Figure 4 illustrates an embodiment of a pre-wet chamber for performing an immersion pre-wet treatment.

圖5繪示另一用以進行浸漬預濕處理之預濕腔室的實施例。 Figure 5 illustrates another embodiment of a pre-wet chamber for performing an immersion pre-wet treatment.

圖6繪示預濕處理於電鍍槽中進行的設備的實施例。 Figure 6 illustrates an embodiment of an apparatus for pre-wetting treatment in a plating bath.

圖7繪示電鍍系統實施例。 Figure 7 illustrates an embodiment of an electroplating system.

圖8a、8b是預濕處理實施例的流程圖。 Figures 8a, 8b are flow diagrams of a pre-wet processing embodiment.

圖9是用以在晶圓基板上電鍍一層金屬之電鍍處理的實施例的流程圖。 Figure 9 is a flow diagram of an embodiment of a plating process for plating a layer of metal on a wafer substrate.

圖10a、10b是貫穿光阻電鍍之預濕腔室的實施例。 Figures 10a, 10b are embodiments of a pre-wet chamber through a photoresist plating.

圖11a、11b是貫穿光阻電鍍之預濕腔室的實施例。 Figures 11a, 11b are embodiments of a pre-wet chamber through a photoresist plating.

圖12繪示貫穿光阻電鍍之預濕腔室的實施例。 Figure 12 illustrates an embodiment of a pre-wet chamber through photoresist plating.

圖13是貫穿光阻電鍍之預濕處理的實施例的流程圖。 Figure 13 is a flow diagram of an embodiment of a pre-wet treatment through photoresist plating.

茲說明特定實施例。特定實施例的例子在圖式中有繪示。本發明雖以特定實施例說明,但卻不受此限。反而,只要是具有專利申請範圍定義的精神與範圍,任何替代物或方式、修改及均等手段皆屬本發明。下述中,為了更詳細說明本發明,將利用到許多詳細又特定的例子。本發明可不需要全部或部分的這些詳例來實施。其它情況下,為了不必要地混淆本發明,熟知的製程等將不再贅述。 Specific embodiments are described. Examples of specific embodiments are illustrated in the drawings. The invention has been described in terms of specific embodiments, but is not limited thereto. Instead, any alternatives or means, modifications, and equivalents are intended to be within the scope of the invention. In the following, in order to explain the present invention in more detail, many detailed and specific examples will be utilized. The invention may be practiced without all or a portion of these details. In other instances, well-known processes and the like are not described again in order to unnecessarily obscure the present invention.

在此揭露的是晶圓預濕的設備設計及方法,用以修正在電鍍期間晶圓進入以及晶圓處理的條件及預濕流體組成。根據在此實施例,預濕處理可在電鍍腔室中執行,或是在包含預濕處理站及電鍍處理站之模組 的分開的預濕處理站執行。某些實施例中,預濕和電鍍在不同的設備中執行。 Disclosed herein are wafer pre-wet device designs and methods for correcting wafer entry and wafer processing conditions and pre-wetting fluid composition during plating. According to this embodiment, the pre-wetting treatment can be performed in the plating chamber or in a module including a pre-wet processing station and a plating processing station. The separate pre-wet processing stations are executed. In some embodiments, pre-wetting and plating are performed in different equipment.

基板通常是半導體基板,其上有導電材料(如含有銅或銅合金的晶種層)。電鍍期間,電連線連到導電層,對晶圓基板施加負極偏壓,藉此做為陰極。將晶圓與含有金屬鹽(如硫酸銅、烷基磺酸銅或鹽類混合物)的電鍍液接觸,金屬鹽在晶圓陰極還原,使金屬沉積在晶圓上。許多實施例中,基板含有一或更多個凹部特徵(如貫穿孔或孔洞),而這些特徵需要以電鍍處理填充。除了金屬鹽之外,電鍍液亦可含有酸,且通常含有一或更多種如鹵化物(如氯化物、溴化物等)的添加劑、加速劑、平整劑及抑制劑,用以調節基板各種表面的電鍍率。 The substrate is typically a semiconductor substrate having a conductive material thereon (eg, a seed layer containing copper or a copper alloy). During electroplating, the electrical connection is connected to the conductive layer, and a negative bias is applied to the wafer substrate, thereby serving as a cathode. The wafer is contacted with a plating solution containing a metal salt such as copper sulfate, copper sulfonate or a mixture of salts, and the metal salt is reduced at the cathode of the wafer to deposit the metal on the wafer. In many embodiments, the substrate contains one or more recess features (such as through holes or holes) that need to be filled with a plating process. In addition to the metal salt, the plating solution may also contain an acid, and usually contains one or more additives such as halides (such as chlorides, bromides, etc.), accelerators, leveling agents, and inhibitors to adjust various substrates. The plating rate of the surface.

本發明的處理及相關設備設計特別適用且有必要於電填充更寬(如通常大於5μm)更深(如通常大於10μm)的鑲嵌結構(貫穿孔),如常見於新興銅矽穿孔(TSV)電填充結構或與貫穿型光阻電鍍有關之凹部特徵中。有關矽穿孔結構之說明,請參照美國專利第7,776,741號(2001年8月17日頒證),此文獻在此援引加入。被捕捉或存在於特徵部的表面或裡面的氣體泡泡,不論是藉由以不導電之氣體阻隔特徵部的表面,或是對電流的自由流通產生阻礙,均會干涉場及特徵部的電鍍處理。此揭露的方法及相關設備設計能確保無空隙的銅電填充。 The processing and related device design of the present invention is particularly applicable and it is necessary to electrically fill a wider (e.g., typically greater than 5 μm) deeper (e.g., typically greater than 10 μm) damascene structure (through holes), as is common in emerging copper bore perforated (TSV) electricity. Filler structure or recess feature associated with through-type photoresist plating. For a description of the perforated structure, reference is made to U.S. Patent No. 7,776,741 (issued on August 17, 2001), which is incorporated herein by reference. The gas bubbles trapped or present on the surface or inside of the feature, whether by blocking the surface of the feature with a non-conductive gas or obstructing the free flow of current, will interfere with the plating of the field and features. deal with. The disclosed method and associated equipment design ensures a void-free copper fill.

對TSV與貫穿型光阻的電鍍及電填充會有一些困難,包括因非常大及/或深的結構而致使電鍍時間長,或因特徵部潤濕不良或晶種層覆蓋不良而導致電鍍不全。再者,很重要的是,確保所有凹部特徵部的內部都以液體填充,且沒有氣泡捕集在特徵部裡面而妨礙內部的電鍍。此說明之預濕設備設計及方法,通常針對金屬(特別是銅)電鍍(陰極反應)。但此說明之預濕設備設計及方法對於所有電解反應都適用,包括電蝕刻及電拋光,其二者皆為陽極反應。 There are some difficulties in electroplating and electrical filling of TSVs and through-type photoresists, including long plating times due to very large and/or deep structures, or poor plating due to poor wetting of features or poor coverage of seed layers. . Furthermore, it is important to ensure that the interior of all of the recess features are filled with liquid and that no bubbles are trapped inside the features to prevent internal plating. The pre-wet device design and method described herein is typically directed to metal (especially copper) electroplating (cathode reaction). However, the pre-wet device design and method described herein is applicable to all electrolytic reactions, including electroetching and electropolishing, both of which are anodic reactions.

在此將說明電鍍處理所需之用以形成以液體填充、無氣泡、無粒子之凹部特徵部的方法。再者,亦說明使晶種層侵蝕最小化且同時增加電鍍率的預濕流體的組成。 Here, a method for forming a liquid-filled, bubble-free, particle-free recess feature required for the plating process will be described. Furthermore, the composition of the pre-wet fluid which minimizes the erosion of the seed layer while increasing the plating rate is also illustrated.

在此描述之設備設計及方法,藉由首先在以流體預濕表面及特徵部之前先從特徵部裡面移除氣體(主要全是非凝結性氣體,如氮或氧), 避免在晶圓基板上的凹部特徵部(如貫穿孔)裡面形成氣泡。要達到此效果,將具有凹部特徵部的晶圓放入適用於既可固持晶圓又容許將氣體從晶圓表面移除的容器中(如真空容器)。除了容器本身之外,亦須要移除氣體的機構(如一管線連接到如幫浦的真空源),以及在維持真空狀態的同時將液體沉積在表面上的機構。 The apparatus and method described herein by first removing gas (mainly non-condensable gases such as nitrogen or oxygen) from the features prior to pre-wetting the surface and features with the fluid, Avoid forming bubbles in the recess features (such as through holes) on the wafer substrate. To achieve this effect, the wafer with the recess features is placed in a container (such as a vacuum container) suitable for both holding the wafer and allowing gas to be removed from the wafer surface. In addition to the container itself, a mechanism for removing the gas (such as a line connected to a vacuum source such as a pump) and a mechanism for depositing liquid on the surface while maintaining a vacuum state are also required.

在此說明之各種設備設計,係在電鍍處理開始之前或緊接著其之後所進行的晶圓預濕,以避免氣泡和氣體捕集在表面中凹部特徵部內。預濕設備的實施例包括各種元件。通常,預濕設備包括預濕流體儲槽及回收槽,包括液體混合裝置及液面控制器與感測器。某些實施例中,設備包括預濕流體除氣流迴路。此除氣流迴路包括循環幫浦、繞徑/分岔閥、液體除氣元件及液體除氣元件與系統真空幫浦(用以抽空而施加真空於工具與預濕腔室的各種液體除氣元件)之間的連結。預濕設備亦包括預濕腔室。某些實施例中,預濕腔室包括用以存取腔室的雙位(開啟/關閉)真空晶圓存取門或蓋,以及防止液體打擊到上壁或門後滴落到晶圓表面上的結合的門或蓋與噴濺檔板。某些實施例中,在腔室內的是將晶圓固持在腔室內並使其旋轉的晶圓固持件。某些實施例中,腔室包括氣頂腔室加熱器,用以防止液體凝結在腔室壁上而存在於晶圓及真空晶圓存取門的上方而有可能滴落在晶圓上。預濕腔室通常包括:入口埠,用以讓預濕流體進入腔室,並將預濕流體引導到旋轉晶圓的上表面上;及入口管線及腔室埠,用以引入並釋放真空給腔室,入口管線包括粒子過濾裝置,入口埠包括用以分散流入氣流並使腔室流動紊流最小化的流擴散器。某些實施例中,腔室包括液面感測器,用以監視空槽/預備中及滿出/超過滿槽的狀態。預濕腔室亦通常包括排洩部,用以將液體從腔室中移除,並將排洩出去的液體導引回儲存槽。 The various device designs described herein are wafer pre-wetting performed prior to or immediately after the start of the plating process to avoid trapping of bubbles and gases within the recess features in the surface. Embodiments of the pre-wet device include various components. Typically, the pre-wet device includes a pre-wet fluid reservoir and a recovery tank, including a liquid mixing device and a level controller and sensor. In some embodiments, the apparatus includes a pre-wet fluid removal loop. The degassing circuit includes a circulation pump, a bypass/branching valve, a liquid degassing element, and a liquid degassing element and a system vacuum pump (a liquid degassing element for evacuating and applying a vacuum to the tool and the pre-wetting chamber) ) The link between. The pre-wet device also includes a pre-wet chamber. In some embodiments, the pre-wetting chamber includes a two-position (open/close) vacuum wafer access door or cover for accessing the chamber, and preventing liquid from escaping to the upper wall or door and dropping onto the wafer surface. The combined door or cover is attached to the splash baffle. In some embodiments, within the chamber is a wafer holder that holds the wafer within the chamber and rotates it. In some embodiments, the chamber includes a dome chamber heater to prevent liquid from condensing on the chamber wall and above the wafer and vacuum wafer access gates, possibly dropping onto the wafer. The pre-wetting chamber typically includes an inlet port for allowing pre-wetting fluid to enter the chamber and directing the pre-wetting fluid onto the upper surface of the rotating wafer, and an inlet line and chamber port for introducing and releasing a vacuum to The chamber, the inlet line includes a particle filtration device, and the inlet port includes a flow diffuser for dispersing the inflow gas stream and minimizing turbulent flow in the chamber. In some embodiments, the chamber includes a level sensor for monitoring the condition of the empty/prepared and full/over full tank. The pre-wetting chamber also typically includes a drain to remove liquid from the chamber and direct the drained liquid back to the reservoir.

在此描述的實施例解決了捕集氣泡造成的有害影響,特別是那些可形成在晶圓中較大貫穿孔或溝槽中的氣泡,方法是藉由:(1)藉由移除晶圓上及貫穿孔或洞中的實質所有大氣壓下非凝結氣體而完全地避免在預濕時氣體被捕集在貫穿孔中,然後以預濕流體預濕晶圓;及/或(2)藉由施加相當大的外部壓力於流體而大幅增加氣泡溶解率,藉此在氣泡界面產生高度超飽和狀態而驅使氣泡溶解於流體中。除了這些預濕及預電鍍措施之外,某些實施例中,在維持於除氣狀態的電鍍液中進行電鍍,而在其它實 施例中,電鍍溶液在接觸到晶圓表面之前,在管線中除氣。 The embodiments described herein address the deleterious effects of trapping bubbles, particularly those that can form in larger through holes or trenches in the wafer by: (1) by removing the wafer And substantially non-condensing gas at all atmospheric pressures in the through-hole or hole to completely prevent gas from being trapped in the through-hole during pre-wetting, and then pre-wetting the wafer with a pre-wet fluid; and/or (2) by application The relatively large external pressure on the fluid greatly increases the bubble dissolution rate, thereby creating a highly supersaturated state at the bubble interface that drives the bubbles to dissolve in the fluid. In addition to these pre-wetting and pre-plating measures, in some embodiments, electroplating is performed in a plating solution maintained in a degassed state, while in other embodiments In the embodiment, the plating solution is degassed in the pipeline before it contacts the surface of the wafer.

某些實施例中,是有可能在電鍍池中做預濕,預濕流體的組成與電鍍溶液的相同。然而因種種因素,包括因將電鍍處理與真空處理結合而使硬體結構趨於複雜,預濕(包括真空特徵部回填預濕)通常在不同於電鍍池的池、次池(sub-cell)或模組中進行。當預濕在真空下是在電鍍池中完全不同的區域進行,或是在與電鍍池完全分離的模組中進行,而不是在電鍍溶液中進行時,預濕流體的組成便可以選擇。預濕流體可以與後續用來電鍍晶圓的流體有著相同或非常相似的組成。預濕流體可包括電鍍液中全部的成分,如有著相同的一或多個溶劑,相同的溶解的金屬離子、酸類、正離子、添加劑、鹵化物,且與電鍍液的濃度相同或非常相似。這樣的預濕流體可在某些實施例中實施。或者,在其它實施例,可使用與電鍍溶液非常不相同的預濕流體。如在某些實施例中,預濕流體可為:1)水;2)金屬離子濃度比電鍍溶液的實質高上許多的流體;3)具有鹵化物的濃度較低、鹵化物的組合不同、無溶解鹵化物這三種狀態中任一種的流體;4)實質缺少一種、部分或全部電鍍添加劑的流體;或5)可混溶於水的溶劑。這些預濕流體將於下文中說明。 In some embodiments, it is possible to pre-wet in the plating bath, the composition of the pre-wetting fluid being the same as the plating solution. However, due to various factors, including the combination of electroplating and vacuum processing, the hard structure tends to be complicated. Pre-wet (including vacuum feature backfill pre-wet) is usually in a different pool and sub-cell than the electroplating pool. Or in the module. The composition of the pre-wet fluid can be selected when the pre-wetting is carried out under vacuum in a completely different area of the plating bath or in a module that is completely separate from the plating bath, rather than in a plating solution. The pre-wetting fluid can have the same or very similar composition as the fluid used to electroplate the wafer. The pre-wetting fluid may comprise all of the components of the electroplating bath, such as having the same solvent or solvents, the same dissolved metal ions, acids, cations, additives, halides, and being at the same or very similar concentrations to the plating solution. Such pre-wetting fluids can be implemented in certain embodiments. Alternatively, in other embodiments, a pre-wetting fluid that is very different from the plating solution can be used. As in some embodiments, the pre-wetting fluid can be: 1) water; 2) a metal ion concentration that is substantially higher than the substantially higher concentration of the plating solution; 3) a lower concentration of halides, a different combination of halides, a fluid that does not dissolve any of the three states of the halide; 4) a fluid that is substantially lacking one, some or all of the plating additive; or 5) a solvent that is miscible with water. These pre-wetting fluids will be described below.

選擇預濕流體組成時應考慮到幾個因素,包括下列可能:a)啟動電鍍之前侵蝕晶圓基板上的金屬層;b)抑制電鍍處理(即使其變慢或完全抑制特徵部金屬填充處理);c)在後續預濕流體重複使用時的預濕流體損耗;及d)隨著時間(藉由增加、稀釋或增濃而)改變電鍍溶液中的各種關鍵物種濃度。上術最後一個處理可以改變電鍍溶液中的金屬離子濃度、鹵化物濃度、有機添加劑等。這些效果可能相當實質。再者,當使用組成不同於電鍍溶液的預濕流體時,若在同樣的模組中進行預濕處理,但沒有使用適當機制來移除並回收要加入電鍍溶液的多餘曳出(entrained)預濕流體,通常會需要隨著時間對電鍍溶液修正所做出的減輕、監視及/或甚至改正的機制。另一方面對於所使用的硬體與處理中,預濕操作是在分開的處理站、模組、容器或電鍍池的次容器(sub-vessel),且此流體能夠被分開並回收,會因避免掉前述問題而較具優勢。有了此前提,且為了簡化描述實施例的核心概念,許多實施例在本文中會提到分開的預濕「站」(station)及分開的「電鍍站」,而晶圓從前者傳輸到後者。但或許在某些狀況下有利(如用來避免不 同液體混在一起或其他原因),對於涉及到特定預濕材料、通常用的流體及電鍍處理順序的實施例各面向,並不是要如此限制本發明。 Several factors should be considered when selecting the pre-wetting fluid composition, including the following possibilities: a) etching the metal layer on the wafer substrate prior to initiation of plating; b) inhibiting the plating process (even if it slows down or completely inhibits the feature metal filling process) ; c) pre-wetting fluid loss upon repeated use of the pre-wet fluid; and d) varying the concentration of various key species in the plating solution over time (by addition, dilution or enrichment). The last treatment of the above process can change the metal ion concentration, halide concentration, organic additives, etc. in the plating solution. These effects can be quite substantial. Furthermore, when a pre-wet fluid having a composition different from that of the plating solution is used, if the pre-wet treatment is performed in the same module, no appropriate mechanism is used to remove and recover the excess entrained pre-form to be added to the plating solution. Wet fluids typically require mechanisms for mitigating, monitoring, and/or even correcting plating solutions over time. On the other hand, for the hardware and process used, the pre-wet operation is in a sub-vessel of separate processing stations, modules, vessels or plating baths, and this fluid can be separated and recycled, due to It is more advantageous to avoid the aforementioned problems. With this premise, and in order to simplify the core concepts of the described embodiments, many embodiments herein refer to separate pre-wet "station" and separate "plating stations", while wafers are transferred from the former to the latter. . But perhaps in some situations it is beneficial (such as to avoid not Mixing with liquids or for other reasons) is not intended to limit the invention insofar as it relates to specific pre-wet materials, commonly used fluids, and electroplating treatment sequences.

圖1繪示預濕設備(即腔室301及相關硬體)之一實施例的概略圖。腔室301經由腔室中的一出口部並穿過三向閥連接部305而連接到真空幫浦303。在三向閥另一側是除氣迴圈306,該迴圈包括:預濕流體槽307;除氣裝置309;及幫浦311,用以使預濕流體在除氣迴圈中循環。另一實施例中,預濕流體供應管線與真空管線只在腔室連接,且各管線有自己的閥(即沒有三向閥)。替代實施例中,腔室有用來供應預濕流體的入口部,及用來連接真空幫浦的出口部。若欲用幫浦將流體驅策入腔室,而非由預濕流體槽307與腔室301之間的壓差將流體吸入腔室,幫浦311的位置可在除氣元件之後。 1 is a diagrammatic view of one embodiment of a pre-wet device (i.e., chamber 301 and associated hardware). The chamber 301 is connected to the vacuum pump 303 via an outlet portion in the chamber and through the three-way valve connection 305. On the other side of the three-way valve is a degassing loop 306, which includes a pre-wet fluid tank 307, a deaeration device 309, and a pump 311 for circulating the pre-wet fluid in the degassing loop. In another embodiment, the pre-wet fluid supply line is connected to the vacuum line only in the chamber, and each line has its own valve (ie, no three-way valve). In an alternative embodiment, the chamber has an inlet for supplying pre-wetting fluid and an outlet for connecting the vacuum pump. If the pump is to be used to drive fluid into the chamber, rather than by a pressure differential between the pre-wet fluid reservoir 307 and the chamber 301, the pump 311 can be positioned behind the degassing element.

某些實施例中,用真空幫浦將真空施加在一容槽(未繪示),以除去預濕流體容槽307區域的氣體,俾使達到最少量的溶解氣體。藉由增加流體曝露於真空的表面,如讓流體以噴灑或噴灑柱的方式從循環迴圈再度進入腔室,亦可增加從預濕流體移除氣體的速率。在如圖1所示系統的實施例中,預濕流體循環經過用以在預濕之前從預濕流體中移除一或更多個溶解的氣體(如O2與N2兩者)的除氣裝置309(如某些實施例中是薄膜接觸式除氣裝置)。市售除氣裝置的例子可包括美國NC,Charlotte的Membrana所售的Liguid-GelTM,及美國Minnesota,Chaska的Entegris所售的pHasorTM。溶解的氣體量可用適當的儀器來監視,如用市售溶解氧儀氣(未繪示)。如本文說明,在預濕流體進入腔室301前就移除溶解氣體,會改善預濕處理。選擇性地,在將預濕流體除氣後,可關閉除氣腔室309及真空幫浦303之真空側之間的閥315(這麼做可防止原先在腔室內的氣體溶解於除氣後的預濕流體中;某些實施例中,這兩個功能可用分開的幫浦來執行)。 In some embodiments, a vacuum is applied to a reservoir (not shown) with a vacuum pump to remove gas from the pre-wet fluid reservoir 307 region to achieve a minimum amount of dissolved gas. The rate at which gas is removed from the pre-wet fluid can also be increased by increasing the exposure of the fluid to the surface of the vacuum, such as by allowing the fluid to re-enter the chamber from the circulation loop by spraying or spraying the column. In this embodiment, the pre-wetting fluid is circulated through the addition to the pre-wet before prewetting fluid removing one or more dissolved gases (e.g., both O 2 and N 2) an embodiment of the system shown in FIG. Gas device 309 (as in some embodiments is a membrane contact degassing device). Examples of commercially available degassing means may include U.S. NC, Charlotte the Membrana sold Liguid-Gel TM, and U.S. Minnesota, Chaska of Entegris sold pHasor TM. The amount of dissolved gas can be monitored by a suitable instrument, such as a commercially available dissolved oxygen gas (not shown). As illustrated herein, the removal of dissolved gases prior to entering the chamber 301 by the pre-wetting fluid improves the pre-wet treatment. Optionally, after degassing the pre-wet fluid, the valve 315 between the degassing chamber 309 and the vacuum side of the vacuum pump 303 can be closed (this prevents the gas originally in the chamber from dissolving in the degassed state). In a pre-wet fluid; in some embodiments, these two functions can be performed with separate pumps).

與使用類似圖1配置方式的設備所造成的狀態不同的是,若不在將預濕流體於真空中曝露給晶圓前就先將其除氣,當流體進入腔室時,來自流體的溶解氣體會從流體中釋放。此會造成氣泡於貫穿孔中形成。在不受特定模型或理論的限制的前提之下,貫穿孔底部是負向曲弧(negative curvature)的位置,且一般認為此位置特別容易造成形成氣泡且從預濕流體釋放氣體。若此現象發生,氣泡會形成自含有溶解氣體的預濕流體,因為預 濕狀態(如腔室中的真空)下的氣體呈現超飽和。如此形成的氣泡在預濕處理後仍存在,因此會在存在處抑制電鍍,造成有關缺陷。因此,某些實施例中(包括圖1的實施例),用於預濕處理的預濕流體是除氣後的預濕流體。某些實施例中,除氣預濕流體可以是電鍍溶液,且在此說明的預濕方法可在同一個電鍍腔室內進行。若使用分開的預濕腔室與設備,但預濕流體未除氣,便可能觀察到間歇性且不可靠的填充結果。例如,當不先將預濕流體除氣而將晶圓的孔以預濕流體填充(晶圓在真空中)時,已發現15%的孔還有空氣氣泡在其中(有著同樣百分比的電鍍後空隙也如此表示在孔中捕集有氣泡)。因此某些實施例中,在真空中進行預濕(即在低於常壓之下),且是用除氣的流體,是很重要的。 Unlike the state of the device using a configuration similar to that of Figure 1, the pre-wet fluid is degassed before it is exposed to the vacuum in the vacuum, and the dissolved gas from the fluid when the fluid enters the chamber. Will be released from the fluid. This causes bubbles to form in the through holes. Without being limited by a particular model or theory, the bottom of the through-hole is the location of a negative curvature, and this location is generally considered to be particularly susceptible to bubble formation and release of gas from the pre-wetting fluid. If this happens, bubbles will form from the pre-wet fluid containing dissolved gases, because The gas in a wet state (such as a vacuum in a chamber) is supersaturated. The bubbles thus formed are still present after the pre-wetting treatment, and thus the plating is suppressed at the presence, causing related defects. Thus, in certain embodiments (including the embodiment of Figure 1), the pre-wet fluid used for the pre-wet treatment is a pre-wet fluid after degassing. In some embodiments, the degassed pre-wet fluid can be a plating solution, and the pre-wetting methods described herein can be performed in the same electroplating chamber. If separate pre-wet chambers and equipment are used, but the pre-wetting fluid is not degassed, intermittent and unreliable filling results may be observed. For example, when the pre-wet fluid is degassed and the pores of the wafer are filled with pre-wetting fluid (wafer in vacuum), it has been found that 15% of the pores have air bubbles in them (with the same percentage of plating) The void also means that bubbles are trapped in the pores). Thus, in certain embodiments, it is important to perform pre-wetting in a vacuum (i.e., below atmospheric pressure) and to use a degassed fluid.

相反地,在真空中進行預濕操作並同時利用除氣預濕流體,會在某些實施例造成比僅在真空中預濕的情況產生更大幅減少的特徵部空隙。在對形成不均勻沉積有相當好免除狀況的特定實施例中,除氣預濕流體與真空下預濕的組合,進一步與在除氣的電鍍溶液中電鍍來結合。電鍍溶液可僅在電鍍初步階段時才除氣(如在電鍍處理的最初10分鐘而已),或在電鍍處理全程都保持除氣狀態(如在電鍍時間更久時)。在這些狀態下所進行的實驗,產生的貫穿孔是無間隙的。 Conversely, performing a pre-wet operation in a vacuum while utilizing a degassed pre-wet fluid can result in a more substantially reduced feature void in certain embodiments than would be the case if the moisture was only pre-wet in a vacuum. In a particular embodiment where there is a relatively good exemption condition for the formation of uneven deposits, the combination of the degassed pre-wet fluid and the pre-wet under vacuum is further combined with electroplating in a degassed plating solution. The plating solution can be degassed only during the initial stage of electroplating (as in the first 10 minutes of the electroplating process), or maintained in a degassed state throughout the plating process (eg, when the plating time is longer). In the experiments conducted under these conditions, the through holes produced were gapless.

回到圖1,在腔室301的壓力達到一低壓值(即次大氣壓值)時,連到真空幫浦的三向閥305進行切換,以連接到來自除氣迴圈306的管線,且除氣迴圈的三向閥313設為可使流體被導引入真空腔室301。某些實施例,次大氣壓壓力大約等於預濕流體在作業溫度下的沸騰壓力,而水在環境溫度下的沸騰壓力約為20torr。其它實施例中,次大氣壓壓力約為50torr。又在其它實施例,在預濕晶圓基板的同時維持在50torr的壓力。在替代的實施例中,預濕系統設定為用以在腔室壓力減至約50Torr或更低時,啟動來將預濕流體引入腔室及到晶圓基板上。在預濕流體槽307處於大氣壓力下的實施例,藉由真空腔室與預濕流體槽之間的壓差將液體引入腔室301。 Returning to Fig. 1, when the pressure of the chamber 301 reaches a low pressure value (i.e., the sub-atmospheric value), the three-way valve 305 connected to the vacuum pump is switched to be connected to the line from the degassing loop 306, and The three-way valve 313 of the gas loop is configured to allow fluid to be introduced into the vacuum chamber 301. In some embodiments, the subatmospheric pressure is approximately equal to the boiling pressure of the pre-wetting fluid at the operating temperature, and the boiling pressure of the water at ambient temperature is about 20 torr. In other embodiments, the subatmospheric pressure is about 50 torr. In still other embodiments, the pressure of 50 torr is maintained while pre-wetting the wafer substrate. In an alternate embodiment, the pre-wet system is configured to initiate introduction of the pre-wetting fluid into the chamber and onto the wafer substrate when the chamber pressure is reduced to about 50 Torr or less. In embodiments where the pre-wet fluid tank 307 is at atmospheric pressure, liquid is introduced into the chamber 301 by a pressure differential between the vacuum chamber and the pre-wet fluid tank.

預濕流體將腔室301內晶圓的裝置側的晶圓表面潤濕。針閥317可用來測量進入腔室301的預濕流體流量。在此說明腔室301實施例。如在此說明的,某些實施例中,腔室301是個壓力腔室,用以將外部壓力 施加來增加氣跑溶解率。在預濕設備的其它實施例,預濕設備包括用以將晶圓基板從預濕腔室傳輸到電鍍設備的傳輸機構。 The pre-wetting fluid wets the surface of the wafer on the device side of the wafer within chamber 301. Needle valve 317 can be used to measure the pre-wet fluid flow into chamber 301. Embodiments of the chamber 301 are described herein. As explained herein, in some embodiments, the chamber 301 is a pressure chamber for external pressure Applied to increase the gas run dissolution rate. In other embodiments of the pre-wet device, the pre-wet device includes a transport mechanism for transporting the wafer substrate from the pre-wet chamber to the electroplating apparatus.

在某些實施例中,預濕流體在注入預濕腔室前先冷卻(如水為0度C,或適當電解質為-10度C)。在其它實施例中,除氣裝置用以將預濕流體降溫到約低於20度C的溫度。其它降溫預濕流體方法的例子包括使流體通過預濕流體儲存槽的熱交換器,或通過管線內冷卻器(兩者都沒繪示於圖1)。冷卻預濕流體降低了預濕流體溶劑的氣態分壓,使更大的真空能施加於如除氣裝置。將預濕流體的溫度降低,亦能有效增加預濕流體的表面張力與黏度兩者,此通常可使除氣裝置「衝過」(blow through)或「滴漏」(weeping)的現象減輕些。處理含有鹽類的預濕流體時,滴漏特別是個困難的問題,因為滴漏的含鹽流體容易乾固,破壞除氣裝置的孔洞。用較低溫度的流體減輕了含鹽電解質蒸發並流動的可能性,避免了除氣裝置此類的已知問題。例如,水(含有少量的鹽類)的氣態壓力約為2.7torr(-10度C),相對於20度C的17.5torr,30度C的32torr。將20torr的真空(產生約為0.5ppm的溶解常壓氣體)施加於除氣裝置時,30度C的預濕流體會真的沸騰起來,使鹽類遺留在除氣裝置的孔洞周遭,而20度C的預濕流體會快速蒸發。但使用-10度C的預濕流體時,除氣裝置的鹽類遺留變得很少。因此,一般而言,以較低溫度的流體可從除氣裝置更有效率地移除更多的溶解氣體。某些實施例中,在預濕流體除氣時,且在其進入處理腔室之前,其被降溫至約低於20度C,如0度C或更低之溫度。此外,降低預濕流體的溫度,會降低預濕系統的金屬侵蝕率。 In certain embodiments, the pre-wetting fluid is cooled prior to injection into the pre-wet chamber (eg, water at 0 degrees C, or a suitable electrolyte at -10 degrees C). In other embodiments, the degassing device is used to cool the pre-wet fluid to a temperature of less than about 20 degrees C. Examples of other methods of cooling the pre-wet fluid include passing the fluid through a pre-wet fluid storage tank or through an in-line cooler (both not shown in Figure 1). Cooling the pre-wet fluid reduces the partial pressure of the pre-wet fluid solvent, allowing greater vacuum energy to be applied to, for example, the degassing device. Decreasing the temperature of the pre-wet fluid can also effectively increase both the surface tension and the viscosity of the pre-wet fluid, which generally reduces the phenomenon of "blow through" or "weeping" of the degassing device. Drip leakage is particularly a problem when handling pre-wet fluids containing salts because the drip-containing salt-containing fluid tends to dry and break the pores of the degassing device. The use of lower temperature fluids mitigates the possibility of evaporation and flow of the salt-containing electrolyte, avoiding known problems with degassing devices. For example, the gaseous pressure of water (containing a small amount of salt) is about 2.7 torr (-10 degrees C), relative to 17.5 torr at 20 degrees C, 32 torr at 30 degrees C. When a vacuum of 20 torr (which produces about 0.5 ppm of dissolved atmospheric gas) is applied to the deaerator, the 30 ° C pre-wet fluid will actually boil, leaving the salt in the pores of the degassing device, and 20 The pre-wetting fluid of degree C will evaporate quickly. However, when a pre-wet fluid of -10 ° C is used, the salt residue of the degassing device becomes very small. Thus, in general, fluids at lower temperatures can more efficiently remove more dissolved gases from the degassing device. In certain embodiments, the pre-wet fluid is cooled to a temperature of less than about 20 degrees C, such as 0 degrees C or less, before it is degassed and before it enters the processing chamber. In addition, reducing the temperature of the pre-wet fluid reduces the rate of metal erosion in the pre-wet system.

預濕設備的某些實施例中,晶圓表面以預濕流體潤濕,接著施加外部壓力至流體。晶圓表面首先用適當的機制接觸到流體,通常是將晶圓浸漬於預濕流體中(以下說明)。這些實施例中,預濕腔室包括用以引入預濕流體的入口部及用以在預濕時或之後以高於常壓的氣壓來作業的腔室。施加外部壓力於流體會協助移除氣泡。某些實施例中,預濕流體受到預處理,俾使其在預濕表面之前,實質上不僅不含氧(如為了使對晶圓上金屬的侵蝕降至最低),也都不含所有種類的溶解非凝結性氣體,如氮與二氧化碳,以加速任何被捕集在凹部特徵部的氣體溶解率。在美國專利第6,021,791號及第6,146,468號(在此援引加入)中描述了為了處理半導體晶圓 而將晶圓曝露於去氧化的處理流體。 In some embodiments of the pre-wet device, the wafer surface is wetted with a pre-wetting fluid, followed by application of external pressure to the fluid. The wafer surface is first contacted with the fluid using a suitable mechanism, typically by immersing the wafer in a pre-wetting fluid (described below). In these embodiments, the pre-wetting chamber includes an inlet portion for introducing a pre-wet fluid and a chamber for operating at a pressure higher than normal pressure during or after pre-wetting. Applying external pressure to the fluid assists in the removal of air bubbles. In some embodiments, the pre-wetting fluid is pretreated to substantially contain not only oxygen (e.g., to minimize metal attack on the wafer) prior to pre-wetting the surface, nor does it contain all types. Dissolve non-condensable gases, such as nitrogen and carbon dioxide, to accelerate the gas dissolution rate of any trapped features in the recess. Described in order to process semiconductor wafers in U.S. Patent Nos. 6,021,791 and 6,146,468, the disclosures of each of which are incorporated herein by reference. The wafer is exposed to a deoxidized treatment fluid.

在將晶圓浸漬於預濕流體中或以預濕流體覆蓋晶圓之後,關閉並氣密晶圓周遭的區域(如壓力腔室),且施加一外部壓力於腔室及流體。壓力之施加可用氣動(如將高壓氣體引導至腔室內流體上的區域),或用液壓(如使腔室實質無未溶解氣體,並使用液壓活塞或其他適當裝置施加外部壓力至流體)。當腔室壓力增加時,氣泡會自原尺寸縮小。當用氣動(氣體)壓力來壓縮捕集的氣泡時,很重要的是要避免實質量的氣體溶解於預濕流體,特別是在氣泡的周遭。某些實施例中,使用停滯、相對厚的流體層,如厚於1cm。其它實施例中,經由具有實質阻抗氣體的溶解到達界面的能力的長管使氣動壓力施加於腔室,俾使氣體在相對小的表面面積接觸到一體,且具有相對長的擴散路徑,限制氣體在一段時間內能夠溶解在流體中的量。無論壓力如何施加,溶解捕集氣泡的驅動力會隨所施加的壓力增加。針對沒有明顯毛細壓力效應的大型氣泡,溶解的驅動力大約等於以下二者的乘積:氣泡中特定氣體成分的初始莫耳分數;及所施加於腔室的壓力與流體中溶解氣體的初始分壓之間的差異。此後者之值會依賴對預濕流體進行的除氣程度而變化。 After immersing the wafer in the pre-wet fluid or covering the wafer with the pre-wetting fluid, the area surrounding the wafer is closed and hermetically sealed (eg, a pressure chamber) and an external pressure is applied to the chamber and fluid. The application of pressure can be pneumatic (such as directing high pressure gas to the area of the fluid in the chamber), or hydraulically (e.g., leaving the chamber substantially free of undissolved gas and applying external pressure to the fluid using a hydraulic piston or other suitable device). As the chamber pressure increases, the bubbles shrink from their original size. When pneumatic (gas) pressure is used to compress trapped bubbles, it is important to avoid the dissolution of a substantial amount of gas into the pre-wet fluid, especially around the bubbles. In some embodiments, a stagnant, relatively thick fluid layer is used, such as thicker than 1 cm. In other embodiments, a pneumatic tube is applied to the chamber via a long tube having the ability to dissolve the substantially resistive gas to the interface, allowing the gas to contact the unition over a relatively small surface area, and having a relatively long diffusion path, limiting the gas The amount that can be dissolved in the fluid over a period of time. Regardless of how the pressure is applied, the driving force for dissolving the trapped bubbles increases with the applied pressure. For large bubbles without significant capillary pressure effects, the driving force for dissolution is approximately equal to the product of the initial molar fraction of a particular gas component in the bubble; and the pressure applied to the chamber and the initial partial pressure of dissolved gases in the fluid. difference between. The value of the latter will vary depending on the degree of degassing of the pre-wet fluid.

雖然壓力可用氣動或液壓方式施加,在不是浸漬實施例的預濕實施例,而是晶圓上有一預濕流體薄層覆蓋的情況下,氣動施加的外部壓力有可能會使氣體快速地再溶解於一(如已除氣的)預濕流體薄層中。在來自外部加壓氣體源的進氣與氣體從氣泡溶解入液體之間會有競爭。因此,應在非浸漬預濕操作中使用一相對厚的預濕流體層。此外,於晶圓上的預濕流體薄層施加液靜壓,亦有一定數量的實作機制。一種可能的機制是,產生面朝上(face-up)晶圓及含有預濕液體流體的杯槽(cup)。相反地,對於厚層的預濕流體及浸漬預濕方法而言,可容許的誤差是可以大上許多的。這是因為壓力可藉由單純是液靜壓的機制來傳導至氣泡,或者,氣動壓力的施加不會使在具有氣體之貫穿孔中的氣泡周遭的預濕流體快速再飽和。 Although the pressure can be applied pneumatically or hydraulically, in the absence of a pre-wet embodiment of the impregnation embodiment, but with a thin layer of pre-wetting fluid on the wafer, the external pressure applied by the pneumatic may cause the gas to redesolve quickly. In a thin layer of pre-wetting fluid (such as degassed). There is competition between the intake air from the external pressurized gas source and the gas dissolved from the bubbles into the liquid. Therefore, a relatively thick layer of pre-wet fluid should be used in the non-immersion pre-wet operation. In addition, the application of hydrostatic pressure to a thin layer of pre-wet fluid on the wafer also has a number of implementation mechanisms. One possible mechanism is to create a face-up wafer and a cup containing a pre-wet liquid fluid. Conversely, for thick layers of pre-wet fluids and immersion pre-wetting methods, the allowable error can be much larger. This is because the pressure can be conducted to the bubble by a mechanism that is simply a hydrostatic pressure, or the application of the pneumatic pressure does not rapidly resaturate the pre-wetting fluid surrounding the bubble in the through hole of the gas.

當施加壓力時,若氣泡內的氣體分壓超過預濕流體的時,氣泡會開始溶解。最終氣泡會完全溶解,溶解的總時間所取決的參數如氣泡的原始大小、所施加之壓力及氣泡於特徵部內的原始深度。氣泡完全溶解後,在釋放壓力之前,應容許等待一段時間,俾使任何多餘的溶解氣體(超 過在1大氣壓下可溶解的量)進入預濕流體而達到整體平衡。當按此步驟進行時,氣泡可從特徵部移除,且在釋放多於外部壓力時不會再形成。 When pressure is applied, if the partial pressure of gas in the bubble exceeds that of the pre-wet fluid, the bubble will begin to dissolve. Eventually the bubbles will completely dissolve and the total time of dissolution will depend on the parameters such as the original size of the bubble, the applied pressure and the original depth of the bubble within the feature. After the bubble is completely dissolved, it should be allowed to wait for a period of time before releasing the pressure, so that any excess dissolved gas (super The amount that can be dissolved at 1 atmosphere is entered into the pre-wet fluid to achieve an overall balance. When this step is performed, the bubbles can be removed from the features and will not be formed again when more than the external pressure is released.

圖2繪示適用於執行在此所描述預濕方法之預濕腔室的實 施例的非透視立體圖。預濕腔室501包括用以在處理期間旋轉晶圓的馬達503,馬達通過腔室底部504的夾頭設於腔室下方,其係利用馬達及軸承支撐構件505。軸承是市售的真空穿過之中心軸旋轉軸承。馬達由耦合件509接附於驅動軸511,驅動軸穿過真空隔離軸承而到達夾頭底部513。夾頭有三個來支撐晶圓(未繪示)的支臂(515其中一支臂)、限制插銷及其它適當的對準設備517。 2 illustrates the actual application of a pre-wet chamber for performing the pre-wet method described herein. A non-perspective perspective view of the embodiment. The pre-wet chamber 501 includes a motor 503 for rotating the wafer during processing. The motor is positioned below the chamber by a collet of the chamber bottom 504, which utilizes a motor and bearing support member 505. The bearing is a commercially available vacuum shaft through which the central axis rotates. The motor is attached to the drive shaft 511 by a coupling member 509 that passes through the vacuum isolation bearing to the chuck bottom 513. The collet has three arms (one of the arms of 515) to support the wafer (not shown), a restriction pin, and other suitable alignment devices 517.

位於腔室下部的是用以將多餘的預濕流體移除的排出部519,預濕流體在施加於旋轉晶圓後會積存在腔室下部。流體被甩向腔室壁而滴到腔室底。某些實施例中,晶圓周圍的「流體折向防護部」(未繪示)設置於大約與晶圓在同一平面上的位置,以將從晶圓邊緣液開的流體在接觸到腔室壁之前向下折向。折向防護部可以是可移動的,或是晶圓與晶圓夾頭可以由適當的垂直移動機構與密封部來調整。亦位於腔室底部的是真空入口部及真空釋放管線521,在某些實施例中被保護罩523罩住。此保護罩用來防止氣體湧液造成對腔室內的流體不必要擾動,並且藉由將兩者區隔開來而使進入真空管線的液體量最少化。雖真空管線(及防護罩)可位於腔室的上部,將真空從晶圓下方引入是有好處的,俾使任何粒子掉落至晶圓上而形成缺陷的傾向最小化。若在以氣體回填腔室,或是因腔室門打開而來自常壓環境,粒子或其他材料進入腔室時,此狀況會發生。為了使粒子及其他材料進入腔室降至最低,通常將腔室回填,利用粒子過濾惰性氣體如氮、二氧化碳或氬,且在腔室門打開時送入稍微正壓的乾淨無粒子氣體。回填氣體通常經過過濾,且進入的流體進入架設在腔室壁上的流擴散器,以防止形成可能會烘乾晶圓或不必要地擾動腔室內容物的氣流噴柱。 Located in the lower portion of the chamber is a discharge portion 519 for removing excess pre-wetting fluid that will accumulate in the lower portion of the chamber after application to the rotating wafer. The fluid is forced to the chamber wall and dripped to the bottom of the chamber. In some embodiments, a "fluid-deflecting guard" (not shown) around the wafer is disposed on the same plane as the wafer to contact the fluid flowing from the edge of the wafer into the chamber. The wall is folded downwards. The deflecting guard can be movable or the wafer and wafer chuck can be adjusted by a suitable vertical moving mechanism and seal. Also located at the bottom of the chamber is a vacuum inlet and vacuum relief line 521, which in some embodiments is covered by a protective cover 523. This protective cover is used to prevent gas rushing from causing unnecessary disturbance to the fluid within the chamber and to minimize the amount of liquid entering the vacuum line by separating the two regions. While the vacuum line (and shield) can be located in the upper portion of the chamber, it is advantageous to introduce vacuum from below the wafer, minimizing the tendency of any particles to fall onto the wafer to form defects. This condition can occur if the chamber or chamber is opened by a gas, or if the chamber door is opened and the particles or other materials enter the chamber from a normal pressure environment. In order to minimize the entry of particles and other materials into the chamber, the chamber is typically backfilled, and the particles are filtered with an inert gas such as nitrogen, carbon dioxide or argon, and a clean, particle-free gas of a slightly positive pressure is delivered as the chamber door opens. The backfill gas is typically filtered and the incoming fluid enters a flow diffuser mounted on the chamber wall to prevent the formation of a gas jet spray column that may dry the wafer or undesirably disturb the contents of the chamber.

某些實施例中,預濕流體噴嘴525設於高於晶圓與晶圓夾頭之處,且位於其側邊而不在其正上方,定向成用以噴灑流體或使流體流到達晶圓中心區域。其它實施例中,預濕流體噴嘴連接到可移動到晶圓上方的可動支臂。在圖2的實施例,腔室真空門527沿著腔室壁設置,且用以將腔室本身氣密。其可從腔室移開,也可向下(或向上)移動,俾使晶圓能自由 地進入腔室,且其可在晶圓被放在晶圓夾頭上後重新定位至一氣密位置。門與其它元件可能會沾帶流體,因此其設計應避免流體滴落到晶圓上。例如,門的收回位置與相關硬體可位於晶圓在被送入腔室時所形成之平面的下方,俾使在晶圓送入或送出腔室時,滴落的流體等汙染晶圓。 In some embodiments, the pre-wet fluid nozzle 525 is disposed above the wafer and wafer chuck and is located on its side rather than directly above it, oriented to spray fluid or to direct fluid flow to the wafer center. region. In other embodiments, the pre-wet fluid nozzle is coupled to a movable arm that is movable over the wafer. In the embodiment of Figure 2, the chamber vacuum door 527 is disposed along the chamber wall and serves to hermetically seal the chamber itself. It can be removed from the chamber or moved down (or up) to free the wafer Ground into the chamber and it can be repositioned to an airtight position after the wafer is placed on the wafer chuck. Doors and other components may be contaminated with fluid, so they should be designed to prevent fluid from dripping onto the wafer. For example, the retracted position of the door and associated hardware may be located below the plane formed by the wafer as it is fed into the chamber such that the dripping fluid or the like contaminates the wafer as it is fed into or out of the chamber.

某些實施例中,腔室的上部區域,特別是晶圓位於夾頭且經由門被取出的平面以上的區域,被加熱至超過要預濕晶之圓的溫度。此包括位於晶圓上方的區域(最頂表面或真空頂,圖2未繪示)及晶圓周邊的周圍區域兩者。此加熱有助於在形成真空環境之前,有效防止液體從腔室天花板滴落到晶圓表面,致使可能在滴落處將氣泡捕集在貫穿孔中,使當只在移除貫穿孔中的空氣時才施加預濕流體至晶圓上的所欲處理失效。類似地,在將晶圓放入腔室期間,從腔室壁滴落至晶圓表面上的液體會有相似的效果。藉由加熱腔室壁,可防止腔室壁與天花板上產生凝結,且能使任何可能會到達這些位置的單獨液滴快速蒸發,藉此保持這些區域乾燥。 In some embodiments, the upper region of the chamber, particularly the region above the plane where the wafer is located at the chuck and withdrawn through the door, is heated to a temperature that exceeds the circle of the pre-wet crystal. This includes both the area above the wafer (the topmost surface or vacuum top, not shown in Figure 2) and the surrounding area around the wafer. This heating helps to prevent liquid from dripping from the ceiling of the chamber to the surface of the wafer before forming a vacuum environment, so that bubbles may be trapped in the through holes at the drop, so that only when the through holes are removed The desired treatment failure of the pre-wetting fluid onto the wafer is applied to the air. Similarly, the liquid dripping from the chamber wall to the surface of the wafer during the placement of the wafer into the chamber will have a similar effect. By heating the chamber walls, condensation can be prevented from occurring on the walls of the chamber and the ceiling, and any individual droplets that may reach these locations can be quickly evaporated, thereby keeping these areas dry.

圖2雖未繪示,某些實施例中,垂直可移動自動防濺板設於腔室內,且在晶圓與夾頭的周圍。噴濺板可在施加流體或其他時機向上位移,以最小化且防止流體接觸到腔室門或上壁等。或者,晶圓夾頭可向下位移而深入腔室內部,直到晶圓送入後真空門的平面之下,如此達到同樣目地。 Although not shown in FIG. 2, in some embodiments, a vertically movable auto-splash panel is disposed within the chamber and around the wafer and the collet. The splash plate can be displaced upwards at the time of application of fluid or other timing to minimize and prevent fluid from contacting the chamber door or upper wall or the like. Alternatively, the wafer chuck can be displaced downwardly into the chamber until the wafer is fed below the plane of the vacuum door, thus achieving the same purpose.

其它實施例中,並不將預濕流體送到晶圓表面,而是使晶圓浸漬(或用其它方式使晶圓受到覆蓋,如藉由凝結)於預濕流體,同時在流體與晶圓上方維持真空狀況。因為在腔室內產生真空會產生腔室內實質無非凝結性氣體的狀況,預濕流體進入貫穿孔時不會受到阻礙。換言之,預濕時液體不需要將位於貫穿孔中的任何氣體置換掉,因為在預濕處理前已在另一分開之處理(抽真空)中將氣體移除了。 In other embodiments, the pre-wet fluid is not sent to the surface of the wafer, but the wafer is immersed (or otherwise covered by the wafer, such as by condensing) in the pre-wet fluid, while in the fluid and wafer. Maintain a vacuum above. Since the creation of a vacuum in the chamber creates a substantially non-condensable gas in the chamber, the pre-wet fluid does not become obstructed when it enters the through-hole. In other words, the liquid does not need to be displaced by any gas located in the through-holes during pre-wetting because the gas has been removed in another separate process (vacuum) prior to the pre-wet treatment.

例如,在一實施例中,在將真空施加於預濕腔室之後,可凝結流體蒸氣產生於腔室內或被引入腔室(如水蒸氣(如低壓蒸氣)、甲醇、二甲基碳酸(dimethylcarbonate)、二乙基碳酸(diethylcarbonate)、異丙醇(isopropyl alcohol)、二甲基石碸(dimethyl sulfoxide)、二甲基甲醯胺(dimethyl formamide)或其它可作為後續電鍍電解質之液體,其在後續沖洗中容易溶解,或是可溶於後續電鍍電解質)。實施例中,若晶圓基板有至少一個凹部特徵部,且 預濕腔室用以將預濕流體以氣態輸送到晶圓基板上,預濕流體會凝結而在晶圓表面上形成液體膜,而以預濕流體填充凹部特徵部。圖3繪示實施例中,預濕腔室用以進行如此的凝結式預濕處理。圖3繪示腔室601具有容許存取腔室的可移動真空蓋(或者為存取門)609、到達真空源的管線611、真空釋放管線613及可凝結流體入口部615。真空密封部617將下真空容納容器619與腔室其它部分隔開而密封。晶圓603位在作為晶圓固持部(夾頭)607之一部分的晶圓冷卻元件(冷卻器)605上。晶圓冷卻元件605將晶圓基板表面溫度降低至低於以氣態形式經由入口部615流入腔室之預濕流體的凝結溫度。在另一實施例中,在產生真空且以真空將可凝結氣體(如空氣)從腔室601中移除之後,直接將水將熱,使其在腔室內蒸發(即沸騰),並使其在腔室內凝結在包括且較佳在較冷之晶圓603的表面上。例如,在沒有真空密封部617的腔室內,在腔室下部區域619的少量水可加熱,使其在將真空拉入腔室內的同時驟沸。可在處理時候某個時間點將連接到真空的管線移除(關閉)。 For example, in one embodiment, after vacuum is applied to the pre-wet chamber, condensable fluid vapor is generated within the chamber or introduced into the chamber (eg, water vapor (eg, low pressure vapor), methanol, dimethyl carbonate) , diethyl carbonate, isopropyl alcohol, dimethyl sulfoxide, dimethyl formamide or other liquid which can be used as a subsequent electroplating electrolyte, which is followed by It is easily dissolved during rinsing or soluble in subsequent electroplating electrolytes). In an embodiment, if the wafer substrate has at least one recess feature, and The pre-wet chamber is used to deliver the pre-wet fluid to the wafer substrate in a gaseous state, the pre-wet fluid will condense to form a liquid film on the wafer surface, and the recess feature is filled with the pre-wetting fluid. Figure 3 illustrates an embodiment in which a pre-wet chamber is used to perform such a coagulation pre-wet treatment. 3 illustrates the chamber 601 having a removable vacuum cover (or access door) 609 that allows access to the chamber, a line 611 to the vacuum source, a vacuum release line 613, and a condensable fluid inlet portion 615. The vacuum seal portion 617 seals the lower vacuum containing container 619 from the other portions of the chamber. The wafer 603 is positioned on a wafer cooling element (cooler) 605 that is part of the wafer holding portion (clamp) 607. The wafer cooling element 605 lowers the wafer substrate surface temperature to a lower condensation temperature than the pre-wet fluid flowing into the chamber via the inlet portion 615 in a gaseous state. In another embodiment, after a vacuum is created and a condensable gas, such as air, is removed from the chamber 601 by vacuum, the water is directly heated to evaporate (ie, boil) within the chamber and cause it to The chamber is condensed on the surface including and preferably on the cooler wafer 603. For example, in a chamber without a vacuum seal 617, a small amount of water in the lower chamber region 619 can be heated to cause a boil while pulling the vacuum into the chamber. The line connected to the vacuum can be removed (closed) at some point during processing.

在另一實施例中,晶圓基板浸漬在預濕流體的槽中一段時間。圖4繪示用於此浸漬式預濕處理的預濕腔室實施例。圖4中,晶圓701在腔室703中被固持在晶圓固持部702。腔室703具有將預濕流體引入的入口部711。如圖,晶圓被晶圓固持部固持而面朝上,且由一適當機構固持使流體仍可從周圍邊緣部到達晶圓。真空從真空埠707拉入腔室703,真空埠707連接到真空系統(未繪示)。接著,晶圓由預濕流體預濕的方式可如以下擇一方法:1)晶圓與晶圓固持部下向移動進入預濕流體713;或2)預濕流體從入口部711進入而提升流體液面。在預濕處理期間,晶圓可與馬達705慢速旋轉。預濕處理之後,液面降低或晶圓升高,晶圓以馬達705用低速rpm旋轉而移除多餘流體,遺留一薄層的預濕流體。亦可使氮流入埠709,用以在晶圓的前側保持潤濕時對晶圓的後側進行乾燥。接著將晶圓送入一標準抓斗式裝載機(clamshell)來進行電鍍。 In another embodiment, the wafer substrate is immersed in a bath of pre-wetting fluid for a period of time. Figure 4 illustrates an embodiment of a pre-wet chamber for this immersion pre-wet treatment. In FIG. 4, the wafer 701 is held in the wafer holding portion 702 in the chamber 703. The chamber 703 has an inlet portion 711 that introduces a pre-wetting fluid. As shown, the wafer is held by the wafer holder and faces up, and is held by a suitable mechanism to allow fluid to reach the wafer from the peripheral edge. Vacuum is drawn from chamber 703 into chamber 703, which is connected to a vacuum system (not shown). Next, the method of pre-wetting the wafer from the pre-wet fluid may be as follows: 1) the wafer and wafer holding portion moves downward into the pre-wet fluid 713; or 2) the pre-wetting fluid enters from the inlet portion 711 to lift the fluid Liquid level. During the pre-wet process, the wafer can be rotated slowly with the motor 705. After the pre-wet treatment, the liquid level is lowered or the wafer is raised, and the wafer is rotated by the motor 705 at a low speed rpm to remove excess fluid, leaving a thin layer of pre-wetting fluid. Nitrogen may also flow into the crucible 709 to dry the back side of the wafer while the front side of the wafer remains wet. The wafer is then fed into a standard grab loader (clamshell) for electroplating.

在圖4所示預濕腔室的其他實施例,晶圓可被固持而面朝下。在含有圖4所示之預濕腔室的某些預濕設備實施例中,在腔室壓力已被降至低於約50Torr之後,啟動預濕設備來將晶圓浸漬於預濕流體中。圖4的預濕腔室703可用於使用外部壓力來溶解氣泡的實施例,如本文所述。 除了還要能承受真空,或是要不是承受真空的情況下,腔室與其他元件必須要能夠承受內部壓力。 In other embodiments of the pre-wet chamber shown in Figure 4, the wafer can be held face down. In certain pre-wet device embodiments containing the pre-wet chamber shown in Figure 4, after the chamber pressure has been reduced below about 50 Torr, the pre-wet device is activated to immerse the wafer in the pre-wet fluid. The pre-wet chamber 703 of Figure 4 can be used in embodiments that use external pressure to dissolve bubbles, as described herein. In addition to being able to withstand vacuum, or if it is not subjected to a vacuum, the chamber and other components must be able to withstand internal pressure.

圖5繪示浸漬式預濕處理之預濕腔室的另一實施例。圖5繪示預濕腔室801、晶圓809、及可彼此相對移動的流體813或晶圓固持部803。此實施例中,腔室與晶圓固持部803可傾斜,以精確控制預濕前端並確保流體從腔室可完全移除。此外,晶圓809與腔室底部的縫隙很小。如同圖4,圖5的預濕流體可從埠811進入/出去,真空可從真空埠807拉入腔室,真空埠807連接到真空系統(未繪示)。多餘曳出的流體可藉由馬達805低速rpm旋轉晶圓表面而自該處移除。以高成本的預濕流體預濕晶圓基板表面時,或使用最少量預濕流體為較佳時(如使溶解氣體量可維持在低量),圖5實施例特別有用。預濕之後,晶圓輸送到標準抓斗式裝載機而進行電鍍。在流水號為11/200,338、申請日為2005年8月9日且援引加入的美國專利申請案中,描述有縫隙小、表面傾斜之預濕設備的類似設計,但其不含有在預濕處理期間施加真空的機制。 Figure 5 illustrates another embodiment of a pre-wet chamber of the immersion pre-wet treatment. FIG. 5 illustrates a pre-wet chamber 801, a wafer 809, and a fluid 813 or wafer holder 803 that can move relative to each other. In this embodiment, the chamber and wafer holder 803 can be tilted to precisely control the pre-wet front and ensure complete removal of fluid from the chamber. In addition, the gap between the wafer 809 and the bottom of the chamber is small. As with Figure 4, the pre-wetting fluid of Figure 5 can enter/exit from the crucible 811, the vacuum can be drawn from the vacuum crucible 807 into the chamber, and the vacuum crucible 807 can be coupled to a vacuum system (not shown). The excess drawn fluid can be removed from the wafer surface by the motor 805 rotating at a low speed rpm. The embodiment of Figure 5 is particularly useful when pre-wetting the surface of the wafer substrate with a high cost pre-wetting fluid, or when a minimum amount of pre-wetting fluid is preferred (e.g., the amount of dissolved gas can be maintained at a low level). After pre-wetting, the wafer is transferred to a standard grab loader for electroplating. A similar design of a pre-wet device with small gaps and a sloping surface is described in the U.S. Patent Application Serial No. 11/200,338, filed on Aug. 9, 2005, which is incorporated herein by reference. The mechanism by which a vacuum is applied.

圖5所示的腔室亦可用於施加外部壓力的實施例中,如上所述。此實施例中,腔室與其他設備被設計或修改來能夠承受並維持內部正壓。 The chamber shown in Figure 5 can also be used in embodiments where external pressure is applied, as described above. In this embodiment, the chamber and other equipment are designed or modified to withstand and maintain internal positive pressure.

圖6繪示一實施例,在一設備中,預濕處理在電鍍槽中執行。或者,也可以說,預濕腔室改裝成可預濕晶圓基板及在預濕的晶圓基板上電鍍一層金屬。圖6中,腔室901是電鍍槽,具有作為槽壁903之一部份的真空密封表面。晶圓固持部905固持晶圓915。在圖6所示之實施例中,電鍍槽含有抗離子、離子可穿透之高阻抗虛陽極(HRVA)907及分開陽極腔室(SAC)區域909。含有HRVA之設備的一個例子,描述於流水號12/291,356之美國專利申請案,申請日為2008年11月7日,在此援引加入。亦參考流水案11/506,054之美國專利申請案,申請日為2006年8月16日,在此援引加入。 Figure 6 illustrates an embodiment in which pre-wet processing is performed in a plating bath. Alternatively, it can be said that the pre-wet chamber is converted into a pre-wettable wafer substrate and a layer of metal is plated on the pre-wetted wafer substrate. In Figure 6, chamber 901 is a plating bath having a vacuum sealing surface that is part of slot wall 903. The wafer holding portion 905 holds the wafer 915. In the embodiment illustrated in FIG. 6, the plating bath contains an ion resistant, ion permeable high impedance virtual anode (HRVA) 907 and a separate anode chamber (SAC) region 909. An example of a device containing HRVA is described in U.S. Patent Application Serial No. 12/291,356, filed on Nov. 7, 2008, which is incorporated herein by reference. Reference is also made to the U.S. Patent Application Serial No. 11/506,054, filed on Aug. 16, 2006, which is incorporated herein by reference.

最初時,晶圓915被固持高於電鍍液913,從真空埠911將腔室抽成真空。當腔室抽成真空時,真空應穿過晶圓固持部從晶圓的背側抽出,避免晶圓破裂。之後,提升流體液面913,使晶圓表面預濕。某些實施例中,此流體是預濕流體,其他實施例中,此流體是電鍍溶液。某些實 施例中,流體在接觸到晶圓表面前先除氣。因為腔室內無氣體,晶圓表面雖面朝下,但不會導致表面下或貫穿孔內有捕集任何含氣體的氣泡。預濕完成後,釋放真空。接著便可開始晶圓915上的金屬電鍍(某些實施例是鍍銅)。不管晶圓有無旋轉,在常壓下進行電鍍,一般上是較簡單的(以機械結構及處理條件來說)。或者,整個電鍍處理過程中皆可保持真空。仍要強調,此及其他實施例中,預濕處理前先將流體除氣是較佳的。否則流體可能會釋放溶解氣體,使氣體受較低壓而從液體被驅出時在特徵部內或表面上形成氣泡。 Initially, the wafer 915 is held higher than the plating solution 913, and the chamber is evacuated from the vacuum 911. When the chamber is evacuated, the vacuum should be drawn from the back side of the wafer through the wafer holder to avoid wafer breakage. Thereafter, the fluid level 913 is raised to pre-wet the wafer surface. In some embodiments, the fluid is a pre-wet fluid, and in other embodiments, the fluid is a plating solution. Some real In the example, the fluid is degassed prior to contacting the surface of the wafer. Because there is no gas in the chamber, the surface of the wafer faces down, but does not cause any gas-containing bubbles to be trapped under the surface or through the holes. After the pre-wetting is completed, the vacuum is released. Metal plating on wafer 915 can then begin (some embodiments are copper plated). Regardless of whether the wafer is rotated or not, electroplating at normal pressure is generally simpler (in terms of mechanical structure and processing conditions). Alternatively, a vacuum can be maintained throughout the plating process. It is still emphasized that in this and other embodiments, it is preferred to degas the fluid prior to the pre-wet treatment. Otherwise the fluid may release dissolved gases, causing the gas to be trapped in the features or on the surface when the gas is driven out of the liquid.

有關適用於本文所述實施例之抓斗式裝載式電鍍設備的一般描述,請見美國專利第6,156,167號及第6,800,187號,其二者在此援引加入。 For a general description of the grapple-loaded electroplating apparatus suitable for use in the embodiments described herein, see U.S. Patent Nos. 6,156,167 and 6,800,187, both incorporated herein by reference.

圖7繪示用以處理晶圓的電鍍系統/模組1001實施例。此工具配置顯示出二個分開的晶圓處置機器人:機器人1003將一「乾燥」晶圓從位於前FOUP(前端開口通用容器)裝載器1005的卡匣移動到對準模組/輸送站(未繪示);及輸送腔室機器人1004。對準模組確保晶圓與輸送腔室機器人1004支臂正確對準,俾能精確輸送到系統的其他腔室/模組。某些實施例中,對準模組將晶圓對準方位角(所謂的「晶圓切口對準」),也能對準相對於一特定位置的垂直及水平平面(即固定晶圓的x、y、z位置系統)。 FIG. 7 illustrates an embodiment of an electroplating system/module 1001 for processing wafers. This tool configuration shows two separate wafer handling robots: the robot 1003 moves a "dry" wafer from the cassette located in the front FOUP (front end open universal container) loader 1005 to the alignment module/transport station (not Illustrated; and transport chamber robot 1004. The alignment module ensures that the wafer is properly aligned with the transfer chamber robot 1004 arm and can be accurately delivered to other chambers/modules of the system. In some embodiments, the alignment module aligns the wafer with an azimuth (so-called "wafer incision alignment") and can also align the vertical and horizontal planes relative to a particular location (ie, x of the fixed wafer) , y, z position system).

在處理及乾燥完成後,使用相同或不同的輸送腔室機器人來將晶圓從工具之後端「預濕處理區域」送回到FOUP。後端機器人(未繪示)可含二個以上的支臂,各支臂具有單一或多重「末端作動器」來抓住晶圓。某些「末端作動器」以一真空「棒」抓住晶圓的底部,其他類型則只抓住晶圓的周圍緣部。某些實施例中,一機器人晶圓處置支臂末端作動器只用來處置表面是濕的晶圓,而其他只用來處置乾燥完全的晶圓,藉此將汙染降至最低。 After processing and drying are completed, the same or different transfer chamber robots are used to return the wafer from the "pre-wet processing area" at the rear end of the tool to the FOUP. The back end robot (not shown) may contain more than two arms, each having a single or multiple "end actuators" to grasp the wafer. Some "end actuators" hold the bottom of the wafer with a vacuum "stick", while other types only grasp the peripheral edge of the wafer. In some embodiments, a robotic wafer handling arm end effector is only used to treat wafers that are wet on the surface, while others are only used to dispose of the fully dried wafers to minimize contamination.

晶圓進入輸送站(包含輸送腔室機器人1004)之後,通常將晶圓送入預濕腔室1013(即預濕設備是模組中的一個處理站,模組更包括用以電鍍金屬於晶圓的電鍍站,某些實施例中金屬是銅),本文說明了預濕腔室的各種實施例。某些實施例中,系統1001用以進行陽極處理。此實施例中,模組更包括用以進行如電蝕刻或電拋光的陽極處理的處理站。 After the wafer enters the transfer station (including the transfer chamber robot 1004), the wafer is usually sent to the pre-wet chamber 1013 (ie, the pre-wet device is a processing station in the module, and the module further includes plating metal in the crystal. A circular plating station, in some embodiments the metal is copper, various embodiments of the pre-wet chamber are described herein. In some embodiments, system 1001 is used for anodizing. In this embodiment, the module further includes a processing station for performing anodization such as electroetching or electropolishing.

預濕腔室1013可用以在真空中預濕晶圓,或對預濕晶圓施加壓力,或是在某些實施例中兩者皆可。以預濕腔室用以在真空中預濕晶圓來作為例子,晶圓旋轉時,移除腔室內的環境空氣。一旦真空移除了,晶圓的裝置側曝露於除氣預濕流體(以除氣流迴圈在模組1015中除氣)。濕潤完成後,移除多餘流體,再將氣體導入腔室而達大氣壓力,打開腔室讓晶圓由機器人或其他輸送機構取出。某些實施例中,輸送機構係用以在少於約一分鐘的時間內將預濕晶圓基板從預濕站輸送到電鍍站。 The pre-wet chamber 1013 can be used to pre-wet the wafer in a vacuum, or to apply pressure to the pre-wet wafer, or in some embodiments. As an example, a pre-wet chamber is used to pre-wet the wafer in a vacuum. When the wafer is rotated, the ambient air in the chamber is removed. Once the vacuum is removed, the device side of the wafer is exposed to the degassed pre-wet fluid (degas in the module 1015 in addition to the airflow loop). After the wetting is complete, the excess fluid is removed, the gas is introduced into the chamber to atmospheric pressure, and the chamber is opened to allow the wafer to be removed by a robot or other transport mechanism. In some embodiments, the transport mechanism is configured to transport the pre-wet wafer substrate from the pre-wet station to the plating station in less than about one minute.

某些實施例中,接著將晶圓置入對準器(未繪示),如切口對準器。藉由通過高準度切口對準器,準確地置入邊緣密封電鍍槽,因而在後方及非常小的裝置側邊緣排除區域(如距離邊緣約1mm)排除電鍍液,便變得有可能。電鍍槽可特別設計來具有橫穿切口區域的密封部。電鍍及特徵部填充(即金屬層電鍍在晶圓基板上)發生在電鍍槽1021、1023或1025(即電鍍站),某些實施例中,電鍍液是已除氣的溶液。某些實施例中,金屬是銅。電鍍站用以將晶圓浸漬在電鍍站內的除氣電鍍電解質中。某些實施例中,電鍍站用以在將晶圓基板浸漬於除氣電鍍電解質中之前將晶圓基板陰極化。電鍍溶液可用不同於主電鍍池與電鍍槽之間之流動迴圈的分開的除氣迴圈來回收,或是藉由通過位於與池/電鍍槽迴圈相同的迴圈中的除氣元件,在進入電鍍池之前先除氣。 In some embodiments, the wafer is then placed into an aligner (not shown), such as a slit aligner. By accurately inserting the edge seal plating bath through the high-precision slit aligner, it becomes possible to exclude the plating solution at the rear and a very small device side edge exclusion region (e.g., about 1 mm from the edge). The plating bath can be specifically designed to have a seal that traverses the slit region. Electroplating and feature filling (i.e., metallization of the metal layer on the wafer substrate) occurs in the plating bath 1021, 1023, or 1025 (i.e., the plating station). In some embodiments, the plating solution is a degassed solution. In some embodiments, the metal is copper. The plating station is used to immerse the wafer in a degassing plating electrolyte in the plating station. In some embodiments, the plating station is used to cathodize the wafer substrate prior to immersing the wafer substrate in the degassing plating electrolyte. The plating solution may be recovered by a separate degassing loop different from the flow loop between the main plating bath and the plating bath, or by passing a degassing element located in the same loop as the pool/plating bath loop. Degas before entering the plating bath.

電鍍完成後,晶圓在電鍍池上方以水沖淋並旋轉來移除多餘曳出流體,打開晶圓固持抓斗式裝載設備而釋放邊緣密封,使晶圓可被取出。接著將晶圓輸送到後處理模組接受沖淋與乾燥。 After the plating is completed, the wafer is rinsed and rotated over the plating bath to remove excess drag fluid, and the wafer holding grab loader is released to release the edge seal so that the wafer can be removed. The wafer is then transferred to a post-processing module for showering and drying.

預濕處理的一個問題是,在預濕和電鍍之間時間中(即在預濕腔室內於真空中將晶圓曝露於預濕流體之後,但在電鍍開始之前),使晶圓表面「去潤濕」是有可能的。去潤濕是指預濕流體從表面產生物理疏通及凝聚的現象(即並非表面乾燥),使表面一區域遺留預濕流體厚層,另一區域上沒有預濕流體。此現象通常與相對於預濕流體具有高度疏水性的表面有關。若潤濕層從先前為潤濕的表面上拉回或凝聚,便會失去預濕處理的特徵。為了避免此現象,可將潤濕劑加入預濕流體來避免流體聚集成灘。 One problem with pre-wetting is that the wafer surface is "goed after the pre-wet chamber is exposed to the pre-wet fluid in a vacuum in the pre-wet chamber, but before the plating begins). Wetting is possible. Dewetting refers to the phenomenon that the pre-wetting fluid physically unblocks and agglomerates from the surface (ie, is not dry on the surface), leaving a thick layer of pre-wetting fluid in one area of the surface and no pre-wetting fluid in the other area. This phenomenon is generally associated with surfaces that are highly hydrophobic relative to the pre-wetting fluid. If the wetting layer is pulled back or agglomerated from a previously wetted surface, the pre-wet treatment characteristics are lost. To avoid this, a wetting agent can be added to the pre-wet fluid to avoid fluid build-up.

某些實施例中,預濕腔室的作業或作為電鍍系統之一部分的預濕腔室是由電腦系統控制。電腦包括含有程式指令的控制器。程式指令 可包括執行預濕晶圓基板所需之全部操作的指令。一實施例中,指令係用以將處理腔室內的壓力降至低於大氣壓,以及接續使晶圓基板在次大氣壓下接觸預濕流體,以在基板表面上形成潤濕層。在次大氣壓下液態預濕流體輸送到晶圓基板上期間,晶圓基板可以第一旋轉速率旋轉,流體輸送期間為約10至120秒。接著,停止輸送預濕流體。停止輸送預濕流體後,晶圓基板以第二旋轉速率旋轉,以從晶圓基板移除多餘的表面曳出預濕流體。某些實施例中,在預濕流體輸送停止之後,且在移除多餘曳出預濕流體之前,釋放處理腔室內的真空。替代性的實施例中,移除多餘曳出的預濕流體之後,釋放真空。不同實施例中,晶圓可以不同速率旋轉。某些實施例中,在將液態預濕流體輸送至晶圓基板上期間的第一旋轉速率小於約300rpm,從晶圓基板移除多餘曳出預濕流體的第二旋轉速率至少約為300rpm。其他實施例中,第一旋轉速率約為100rpm或更低,第二旋轉速率至少約為500rpm。又在其他實施例中,預濕設備藉由以離心旋轉、氣刀(air-knife)乾燥及擦拭所組成之群組中選定的一種方法,用以從晶圓基板移除多餘曳出預濕流體,而控制器包括用以執行這些操作的程式指令。 In some embodiments, the operation of the pre-wet chamber or the pre-wet chamber as part of the plating system is controlled by a computer system. The computer includes a controller that contains program instructions. Program instruction Instructions may be included to perform all of the operations required to pre-wet the wafer substrate. In one embodiment, the command is used to reduce the pressure within the processing chamber to subatmospheric pressure and to subsequently contact the wafer substrate with the pre-wetting fluid at sub-atmospheric pressure to form a wetting layer on the surface of the substrate. During delivery of the liquid pre-wet fluid to the wafer substrate at sub-atmospheric pressure, the wafer substrate can be rotated at a first rate of rotation for a period of about 10 to 120 seconds. Next, the delivery of the pre-wet fluid is stopped. After the delivery of the pre-wet fluid is stopped, the wafer substrate is rotated at a second rate of rotation to remove excess surface from the wafer substrate to draw the pre-wetting fluid. In certain embodiments, the vacuum within the processing chamber is released after the pre-wet fluid delivery is stopped, and before excess excess pre-wetting fluid is removed. In an alternative embodiment, the vacuum is released after removal of the excess drawn pre-wetting fluid. In various embodiments, the wafers can be rotated at different rates. In some embodiments, the first rate of rotation during transport of the liquid pre-wet fluid onto the wafer substrate is less than about 300 rpm, and the second rate of rotation to remove excess pre-wetting fluid from the wafer substrate is at least about 300 rpm. In other embodiments, the first rate of rotation is about 100 rpm or less and the second rate of rotation is at least about 500 rpm. In still other embodiments, the pre-wet device removes excess pre-wet from the wafer substrate by a method selected from the group consisting of centrifugal rotation, air-knife drying, and wiping. Fluid, and the controller includes program instructions to perform these operations.

製程/方法在此所揭露之某些實施例中的一般預濕方法中,在晶圓周遭環境先產生真空。接著,晶圓表面噴塗、溢流、覆蓋或浸漬有足量的預濕流體(某些實施例還有除氣),最終使整個晶圓曝露於足夠且厚的液體層中。直到處理後段之前,該層不一定會隨時都覆蓋整個表面。晶圓表面接著浸漬或用其他方式曝露於預濕流體層中(如以額外的流體持續噴塗、溢流、覆蓋或浸漬該表面),曝露一段時間直到晶圓表面上的任何預濕流體組成物的吸附(或反應)實質完成或達到了平衡,且達到了所欲或均勻的潤濕特徵(親水性,低接觸角)。預濕後,停止以預濕流體對晶圓進行的噴塗、溢流或覆蓋。某些實施例中,釋放真空,且從(當下)完全親水性表面移除多餘曳出流體(如藉由離心旋轉、氣刀乾燥、squeegee擦拭等),使表面上遺留均勻、黏附的預濕流體薄層。其他實施例中,釋放真空前先移除多餘曳出流體。最後,將晶圓傳輸到電鍍槽來電鍍晶圓。 Process/Method In the general pre-wet method of certain embodiments disclosed herein, a vacuum is first created in the environment surrounding the wafer. The wafer surface is then sprayed, flooded, covered or impregnated with a sufficient amount of pre-wetting fluid (and in some embodiments degassing), eventually exposing the entire wafer to a sufficient and thick liquid layer. This layer does not necessarily cover the entire surface at any time until the latter stage of processing. The surface of the wafer is then impregnated or otherwise exposed to the pre-wet fluid layer (eg, continuously spraying, overflowing, covering or impregnating the surface with additional fluid) for a period of time until any pre-wet fluid composition on the surface of the wafer The adsorption (or reaction) is substantially completed or equilibrium is achieved and the desired or uniform wetting characteristics (hydrophilic, low contact angle) are achieved. After pre-wetting, the spraying, overflowing or covering of the wafer with the pre-wet fluid is stopped. In some embodiments, the vacuum is released and the excess drag fluid is removed from the (current) fully hydrophilic surface (eg, by centrifugation, air knife drying, squeegee wiping, etc.) to leave a uniform, adherent pre-wet on the surface. A thin layer of fluid. In other embodiments, the excess drag fluid is removed prior to releasing the vacuum. Finally, the wafer is transferred to a plating bath to plate the wafer.

因為在從晶圓表面移除多餘曳出預濕流體與金屬沉積初始化之間,可能持續數秒到超過一分鐘之間任何長度的時間,使晶圓整體具親水性,且其全部表面以流體完全覆蓋住,是很重要的。在後續時間中, 疏水性的表面/流體組合會使流體從晶圓表面退流,如從晶圓邊緣開始,進而空出一部份的晶圓表面。使去潤濕可能會使流體從晶圓基板中任何的凹部特徵部內引出,可導致在浸漬時特徵部中捕集的氣泡進入電鍍池。疏水性表面,特別是那些在某些區域已完全去潤濕的,在晶圓基板上的流體預濕層厚度不均勻。在所用之預濕流體的成分不同於電鍍池的情況中,後續將已預濕晶圓置於電鍍液的浸漬,若預濕流體並未將晶圓適當地潤濕,便無法產生均勻潤濕的表面。非均勻潤濕晶圓會使擴散時間及各成分的濃度在晶圓上各處不同,此因潤濕層的厚度所致。此會導致特徵部填充狀況變化,或是產生各種晶圓表面缺陷,如捕集氣泡成線、金屬坑洞、金屬厚度變化或長出突起部。因此,在預濕處理之後,預濕流體應要產生相對於整個晶圓表面的均勻、小接觸角,如有可能的話,接觸角約為45度或更小。當有可能產生更小的接觸角時,可產生非常薄、具黏著的預濕流體層。 Because between the removal of excess pre-wetting fluid from the wafer surface and the initiation of metal deposition, it may last for any length of time between a few seconds and more than one minute, making the wafer whole hydrophilic and completely immersed in all surfaces. Covering is very important. In the following time, The hydrophobic surface/fluid combination causes the fluid to flow back from the wafer surface, starting at the edge of the wafer, thereby freeing up part of the wafer surface. Dewetting may cause fluid to be drawn from any of the recess features in the wafer substrate, which may result in bubbles trapped in the features entering the plating bath during immersion. Hydrophobic surfaces, particularly those that have been completely dewet in certain areas, have a non-uniform thickness of the fluid pre-wetting layer on the wafer substrate. In the case where the composition of the pre-wetting fluid used is different from that of the plating bath, the pre-wet wafer is subsequently placed in the immersion of the plating solution, and if the pre-wetting fluid does not properly wet the wafer, uniform wetting cannot be produced. s surface. Non-uniform wetting of the wafer causes the diffusion time and the concentration of each component to vary across the wafer due to the thickness of the wetting layer. This can result in variations in feature fill conditions or various wafer surface defects such as trapping bubble formation, metal potholes, metal thickness variations, or protrusions. Therefore, after the pre-wetting treatment, the pre-wetting fluid should produce a uniform, small contact angle with respect to the entire wafer surface, and if possible, a contact angle of about 45 degrees or less. When it is possible to produce a smaller contact angle, a very thin, adherent layer of pre-wetting fluid can be produced.

常觀察到,一個表面的接觸角會隨時間改變,且疏水性表面曝露於特定液體時,隨著時間會變得更親水。某些晶圓表面,如由電漿蒸氣沉積覆蓋有銅膜的,能夠在將表面持續曝露於預濕流體的情況下,隨時間表現出液體/表面接觸角的實質降低。特別是,在處於真空條件下,使該等表面持續曝露,會導致表面快速且完全地改變,即從一般去潤濕、疏水態樣轉變成潤濕、親水狀態。 It is often observed that the contact angle of a surface changes over time and that when the hydrophobic surface is exposed to a particular liquid, it becomes more hydrophilic over time. Certain wafer surfaces, such as those covered by plasma vapor deposition, can exhibit substantial reduction in liquid/surface contact angle over time, with continued exposure of the surface to the pre-wet fluid. In particular, continuous exposure of such surfaces under vacuum conditions results in a rapid and complete change in the surface, i.e., from a general dewetting, hydrophobic state to a wet, hydrophilic state.

藉由將除去預濕流體施加於表面上,同時間維持低壓/真空環境,便可實質省去同時使捕集氣泡從表面上膨脹、沖掉或移除的麻煩,以至於因前一次未曝露或有限曝露於預濕流體而造成要將仍具有疏水性區域之晶圓進行曝露的麻煩。考量不使用真空與潤濕組合的處理,晶圓表面的各種區域會落入5種潤濕類型:1)疏水性潤濕:以預濕流體覆蓋且潤濕,但時間不足,使其仍為疏水性;2)親水性潤濕:以預濕流體覆蓋且潤濕,時間足夠,所以其變成親水性;3)未潤濕:疏水性,曝露於空氣中,從未曝露於預濕流體;4)去潤濕:先前潤濕過,但已去潤濕,且再次曝露於空氣中;5)捕集氣泡:表面上具有氣泡,氣泡在預濕流體層下,氣泡含有捕集的空氣。 By applying the removal of the pre-wetting fluid to the surface while maintaining the low pressure/vacuum environment, the trouble of simultaneously expanding, flushing or removing the trapped bubbles from the surface can be substantially eliminated, so that the previous exposure is not exposed. Or limited exposure to the pre-wetting fluid creates the trouble of exposing the wafer that still has a hydrophobic region. Considering the combination of vacuum and wetting, the various areas of the wafer surface fall into five types of wetting: 1) hydrophobic wetting: covered with a pre-wet fluid and wetted, but not enough time to keep it Hydrophobic; 2) Hydrophilic wetting: covered with a pre-wet fluid and wetted for a sufficient time, so it becomes hydrophilic; 3) non-wetting: hydrophobic, exposed to air, never exposed to pre-wet fluid; 4) Dewetting: previously wetted, but dewetting, and exposed to air again; 5) trapping bubbles: there are bubbles on the surface, the bubbles are under the pre-wetting fluid layer, and the bubbles contain trapped air.

應注意到,具有狀態3、4、5的區域不會有任何吸附或化學反應,致使除非該區域於後續潤濕且在潤濕之前,不會有任何的疏水性至親水性表面轉變。再者,在狀態1周圍的狀態1或2的區域會潤濕,會或 將會變成親水性,使流體自由且連續地在此表面上流動,也使氣泡移除或鄰近區域潤濕變的更為困難許多。此外,先前已曝露於預濕流體、目前為疏水性表面的區域,可能會重複地交替進入無液體覆蓋狀態與覆蓋但疏水性狀態。該處理在流體排至鄰近親水性區域時持續地在這些狀態之間轉換,在狀態1與狀態3之間來來回回多次,直到最終其i)轉變成狀態2而變成親水性且潤濕,此後保持在狀態2;或ii)被更潤濕的區域包圍住,捕集到氣泡,轉變成狀態4。 It should be noted that the zone having states 3, 4, 5 does not have any adsorption or chemical reaction, so that there will be no hydrophobic to hydrophilic surface transition unless the zone is subsequently wetted and prior to wetting. Furthermore, the area of state 1 or 2 around state 1 will wet, or It will become hydrophilic, allowing the fluid to flow freely and continuously over this surface, which also makes it more difficult to remove the bubbles or wet the adjacent areas. In addition, areas that have previously been exposed to pre-wet fluids, which are currently hydrophobic surfaces, may alternately enter into a liquid-free, covered, and hydrophobic state. The process continuously transitions between these states as the fluid drains adjacent to the hydrophilic region, back and forth between state 1 and state 3 multiple times until finally i) transitions to state 2 to become hydrophilic and wet, Thereafter, it remains in state 2; or ii) is surrounded by a more wetted region, trapping bubbles, and transitioning to state 4.

上述在常壓條件下(即空氣中)進行的處理應與在真空中(且預濕流體有除氣)進行的處理做成對比。在這些處理中,僅有三種已潤濕的類型:1)已潤濕:以預濕流體覆蓋且潤濕;2)未潤濕:曝露於真空,從未曝露於預濕流體;3)去潤濕:先前潤濕過,但已去潤濕且再次曝露於真空。 The above treatment under atmospheric conditions (i.e., in air) should be compared to the treatment carried out in a vacuum (and the dehumidified fluid is degassed). Of these treatments, there are only three types that have been wetted: 1) wetted: covered with pre-wet fluid and wetted; 2) unwetted: exposed to vacuum, never exposed to pre-wet fluid; 3) go Wetting: previously wetted, but de-wetting and re-exposure to vacuum.

只要晶圓特定部分曝露於預濕流體(狀態1),且時間足夠,在真空中執行的預濕處理確保了晶圓的該特定部分最終會變得具親水性。與在大氣壓中進行預濕處理不同的是,不需要用以「沖掉」(flush away)捕集氣泡的高流速預濕流體流。再者,氣泡沖掉並不是100%有效,且常造成氣泡分裂,留下大量更小、更難移除的氣泡。因此,與僅在常壓下用噴灑、覆蓋或浸漬晶圓於預濕流體比起來,在真空中預濕是缺陷大幅度降低的更可靠處理。使在真空中進行預濕處理是較佳的方案,其他因素包括a)真空/液體/金屬界面的表面能量不同,接觸角通常低於空氣/液體/金屬界面;b)避免了金屬氧化物/氮化物/碳酸物的在形成;及c)利用除氣流體消除了如因在液體與晶圓間界面之某些點上異常溫度或壓力變化所致的氣體從流體凝聚的可能性。 As long as a particular portion of the wafer is exposed to the pre-wetting fluid (state 1) and for a sufficient amount of time, the pre-wetting process performed in vacuum ensures that that particular portion of the wafer will eventually become hydrophilic. Unlike pre-wet processing at atmospheric pressure, there is no need for a high flow rate pre-wetting fluid stream to "flush away" trapped bubbles. Furthermore, bubble washout is not 100% effective and often causes bubble splitting, leaving a large number of smaller, more difficult to remove bubbles. Therefore, pre-wetting in a vacuum is a more reliable treatment in which the defect is greatly reduced as compared with spraying, covering or dipping the wafer to the pre-wet fluid only under normal pressure. Pre-wet treatment in a vacuum is preferred. Other factors include a) different surface energies at the vacuum/liquid/metal interface, contact angles are generally lower than air/liquid/metal interfaces; b) metal oxides are avoided/ The formation of nitride/carbonate; and c) the use of a degassing fluid eliminates the possibility of gas condensation from the fluid due to abnormal temperature or pressure changes at certain points of the interface between the liquid and the wafer.

圖8a是預濕處理(1100)的一般實施例的流程圖。在預濕處理腔室(1105)中提供其表面至少一部分上具有曝露金屬層的晶圓基板。接著使晶圓基板在次大氣壓下接觸預濕流體,以在晶圓基板表面(1115)形成潤濕層。此預濕處理可於本文所述之預濕設備進行。 Figure 8a is a flow diagram of a general embodiment of a pre-wet process (1100). A wafer substrate having an exposed metal layer on at least a portion of its surface is provided in the pre-wetting processing chamber (1105). The wafer substrate is then contacted with the pre-wetting fluid at sub-atmospheric pressure to form a wetting layer on the wafer substrate surface (1115). This pre-wetting treatment can be carried out in the pre-wet equipment described herein.

不同實施例中有不同的晶圓基板。晶圓基板可具有至少一個凹部特徵部。凹部特徵部可以是由鑲嵌圖案化處理形成的鑲嵌特徵部。在鑲嵌電鍍處理中,半導體晶圓介電層中由鑲嵌圖案化處理形成的凹部由金屬膜填充。凹部特徵部以可是穿過遮罩的特徵部。 There are different wafer substrates in different embodiments. The wafer substrate can have at least one recess feature. The recess feature can be a damascene feature formed by a damascene patterning process. In the damascene plating process, the recess formed by the damascene patterning process in the dielectric layer of the semiconductor wafer is filled with a metal film. The recess features may be features that pass through the mask.

某些實施例中,預濕流體實質無溶解氣泡。某些實施例中,讓晶圓接觸預濕流體之前,移除預濕流體中一或更多個溶解氣體。為了協助移除溶解氣體,在某些實施例中,在移除氣體期間將預濕流體冷卻到低於約20度C。在某些狀況為了得到實質無溶解氣體的預濕流體而從預濕流體移除氣體時,預濕流體處理槽使預濕流體在使晶圓基板接觸於預濕流體前,使其穿過除氣迴圈中循環一段特定時間(依據除氣氣的能力與容量而定,通常為半個小時)。此說明於本文中參考圖1敘述。通常此意味著在真空幫浦啟動時且在真空中流體流經過迴圈,且將除氣器連接到預濕槽與幫浦的閥是打開的。此確保後續供應到晶圓表面的預濕流體是實質無溶解氣體。對於如此設計之系統的測量結果顯示,溶解氧的殘留量最低可達約1~2%,或低於有空氣中氧造成飽和的狀況。 In certain embodiments, the pre-wetting fluid is substantially free of dissolved bubbles. In some embodiments, one or more dissolved gases in the pre-wetting fluid are removed prior to contacting the wafer with the pre-wetting fluid. To assist in the removal of dissolved gases, in certain embodiments, the pre-wet fluid is cooled to less than about 20 degrees C during gas removal. In some cases, in order to obtain a pre-wet fluid that is substantially free of dissolved gases, the pre-wet fluid treatment tank allows the pre-wet fluid to pass through the wafer prior to contacting the wafer substrate with the pre-wetting fluid. The gas loop is circulated for a specific period of time (depending on the capacity and capacity of the degassing gas, usually half an hour). This description is described herein with reference to FIG. Usually this means that the fluid flow passes through the loop when the vacuum pump is started and in the vacuum, and the valve that connects the degasser to the pre-wet tank and the pump is open. This ensures that the pre-wet fluid that is subsequently supplied to the wafer surface is substantially free of dissolved gases. Measurements of the system so designed show that the residual dissolved oxygen can be as low as about 1 to 2%, or below the saturation caused by oxygen in the air.

再者,在處理腔室的腔室頂部及壁加熱器可開啟,溫度設為約10度C,某些狀況可為約20度C或更高於預濕流體的溫度。例如,若流體溫度約為20度C,壁的適當溫度為約40至50度C。腔室頂部及壁加熱器避免表面凝結發生,也避免在真空預濕前液態液滴掉落在曝露表面上之發生可能。清除腔室表面的工作,可將門關閉使腔室進入真空且加熱壁至目標溫度來完成。例如,在無晶圓存在於腔室內且壁加熱的情況,腔室內抽真空,保持在真空約10分鐘或更久,俾使移除任何可能積存在腔室天花板或腔室壁上部的液體。移除真空可用如乾淨的乾燥氮氣來回填。此步驟移除任何可能的腔室壁上的凝結物,並使氣體產生之粒子的形成降至最少。在確認a)所有腔室流體程度感測器皆在適當值(如槽是滿的,腔室是空的)、b)加熱器已開啟、c)真空已準備好來進行處理之後,可開啟預濕腔室處理門,可卸下門的防護部(若有設置)。接著,晶圓置於夾頭,收回機械手臂,關閉真空門,升起液體防濺防護部(若有設置),或是晶圓降至低於防護部。 Further, the top of the chamber of the processing chamber and the wall heater can be turned on, the temperature is set to about 10 degrees C, and some conditions can be about 20 degrees C or higher than the temperature of the pre-wetting fluid. For example, if the fluid temperature is about 20 degrees C, the proper temperature of the wall is about 40 to 50 degrees C. The top of the chamber and the wall heater avoid surface condensation and also avoid the possibility of liquid droplets falling on the exposed surface before vacuum pre-wetting. The work of clearing the surface of the chamber can be accomplished by closing the door to bring the chamber into a vacuum and heating the wall to the target temperature. For example, in the absence of wafers present in the chamber and wall heating, the chamber is evacuated and held under vacuum for about 10 minutes or more to remove any liquid that may accumulate in the ceiling of the chamber or the upper portion of the chamber wall. The vacuum can be removed by backfilling with clean, dry nitrogen. This step removes any possible condensation on the walls of the chamber and minimizes the formation of gas-generating particles. After confirming that a) all chamber fluid level sensors are at appropriate values (if the tank is full, the chamber is empty), b) the heater is turned on, c) the vacuum is ready for processing, it can be turned on The pre-wet chamber handles the door and removes the guard of the door (if provided). Next, the wafer is placed in the chuck, the robot arm is retracted, the vacuum door is closed, the liquid splash guard is raised (if provided), or the wafer is lowered below the guard.

某些實施例中預濕處理的真空目標值介於約10與100torr之間,如約40torr。某些實施例中,真空(即次大氣壓)約為50torr。某些實施例中,完成抽真空後,可關閉真空管線,而在其他實施例中,在預濕流體注入腔室且到達晶圓時幫浦繼續抽真空。 In some embodiments, the vacuum target value for the pre-wet treatment is between about 10 and 100 torr, such as about 40 torr. In some embodiments, the vacuum (i.e., sub-atmospheric pressure) is about 50 torr. In some embodiments, the vacuum line can be closed after vacuuming is completed, while in other embodiments, the pump continues to draw vacuum as the pre-wetting fluid is injected into the chamber and reaches the wafer.

某些實施例中,液態預濕流體輸送至晶圓基板表面上。此可包括將晶圓基板浸漬於預濕流體中。或者,此可包括以預濕流體噴灑或覆 蓋晶圓基板。其他實施例中,藉由將氣態預濕流體輸送至晶圓基板上,使晶圓基板接觸到預濕流體。氣態流體可凝結而在晶圓基板上形成潤濕層。這些實施例中,在將晶圓基板曝露至預濕流體之前,晶圓基板的溫度可降至低於預濕流體凝結溫度。 In some embodiments, the liquid pre-wet fluid is delivered to the surface of the wafer substrate. This can include immersing the wafer substrate in a pre-wetting fluid. Alternatively, this may include spraying or overcoating with a pre-wet fluid Cover the wafer substrate. In other embodiments, the wafer substrate is brought into contact with the pre-wet fluid by transporting the gaseous pre-wet fluid onto the wafer substrate. The gaseous fluid can condense to form a wetting layer on the wafer substrate. In these embodiments, the temperature of the wafer substrate can be reduced below the pre-wetting fluid condensation temperature prior to exposing the wafer substrate to the pre-wetting fluid.

某些實施例中,在液態預濕流體輸送到晶圓基板表面上時可旋轉晶圓。某些實施例中,晶圓基板可在約10rpm與300rpm之間的速率旋轉。在更進一步的實施例中,晶圓基板可在約10rpm與100rpm之間的速率旋轉。其他實施例中,晶圓基板以約100rpm至400rpm的速度旋轉,如約300rpm。在轉速較高的情況(如約400至800rpm),或是轉速循環,晶圓進行週期性之加速與減速,可短時間使用(約2至10秒),要克服高度疏水性晶圓的流體潤濕組抗是個問題。腔室抽真空可在晶圓旋轉開始之前或之後啟動。 In some embodiments, the wafer can be rotated while the liquid pre-wetting fluid is being transported onto the surface of the wafer substrate. In some embodiments, the wafer substrate can be rotated at a rate between about 10 rpm and 300 rpm. In still further embodiments, the wafer substrate can be rotated at a rate between about 10 rpm and 100 rpm. In other embodiments, the wafer substrate is rotated at a speed of from about 100 rpm to 400 rpm, such as about 300 rpm. In the case of high speed (such as about 400 to 800 rpm), or the speed cycle, the wafer is periodically accelerated and decelerated, which can be used for a short time (about 2 to 10 seconds) to overcome the fluid of highly hydrophobic wafers. Wetting group resistance is a problem. The chamber evacuation can be initiated before or after the wafer spin begins.

在使用液態預濕流體的實施例中,啟動預濕流體的流動進入腔室,流到晶圓表面上。使用通常為在約0.5與2lpm之間的流量,如0.8lpm,進行約3秒鐘至1分鐘,如約20秒,依據要達到完全潤濕特定表面之必要時間、晶圓轉速、流體潤濕屬性而定。某些實施例中,預濕流體接觸晶圓基板從約10秒至120秒。潤濕處理完成後,停止預濕流體流動,如藉由關閉預濕流體流動閥。 In embodiments where a liquid pre-wet fluid is used, the flow of the pre-wetting fluid is initiated into the chamber and onto the surface of the wafer. Use a flow rate typically between about 0.5 and 2 lpm, such as 0.8 lpm, for about 3 seconds to 1 minute, such as about 20 seconds, depending on the time necessary to achieve complete wetting of a particular surface, wafer speed, fluid wetting. Depends on the property. In some embodiments, the pre-wetting fluid contacts the wafer substrate from about 10 seconds to 120 seconds. After the wetting treatment is completed, the pre-wet fluid flow is stopped, such as by closing the pre-wet fluid flow valve.

接著,腔室回到大氣壓。某些實施例中,以如乾氮氣之無氧氣體將腔室回到大氣壓。 The chamber then returns to atmospheric pressure. In some embodiments, the chamber is returned to atmospheric pressure with an oxygen-free gas such as dry nitrogen.

某些實施例中,移除基板表面的多餘預濕流體。此可在腔室回到大氣壓之前或之後進行。某些實施例中,利用旋轉晶圓基板將多餘預濕流體從晶圓基板表面移除。將晶圓基板的旋轉速率增加到多餘曳出流體可被從晶圓基板表面移除的值,但遺留下一液體薄層。在移除多餘預濕離流體期間,晶圓基板轉速可為約300rpm至1000rpm。在移除多餘預濕流體期間,晶圓基板可轉動少於約20秒。其他實施例中,晶圓基板轉速在約5至60秒之間增加至約250至800rpm之間,同時避免預濕流體完全乾燥。雖然旋轉處理通常可在釋放真空前啟動,在釋放真空後執行此步驟的話,晶圓乾燥的可能會降低,因為薄層的蒸發乾燥與在晶圓某點上產生乾燥表面的可能幸會降低。 In some embodiments, excess pre-wetting fluid on the surface of the substrate is removed. This can be done before or after the chamber returns to atmospheric pressure. In some embodiments, the excess pre-wetting fluid is removed from the surface of the wafer substrate using a rotating wafer substrate. The rate of rotation of the wafer substrate is increased to a value at which excess trace fluid can be removed from the surface of the wafer substrate, but a thin layer of liquid remains. The wafer substrate rotation speed may be from about 300 rpm to 1000 rpm during removal of excess pre-wet fluid. The wafer substrate can be rotated for less than about 20 seconds during removal of excess pre-wetting fluid. In other embodiments, the wafer substrate rotation speed is increased between about 5 and 60 seconds to between about 250 and 800 rpm while avoiding complete drying of the pre-wetting fluid. Although the spin process can typically be initiated prior to releasing the vacuum, wafer drying may be reduced by performing this step after the vacuum is released, as evaporation of the thin layer may be less likely to result in a dry surface at some point on the wafer.

移除晶圓基板表面上的多餘曳出流體之後,停止晶圓基板的旋轉,將防濺罩降下及/或升高晶圓基板(若如此裝設),真空門打開,將晶圓從腔室移除並放在電鍍腔室。某些實施例中,預濕晶圓基板曝露於腔室與電鍍室外的環境中約少於一分鐘。其他實施例中,預濕晶圓在傳輸至電鍍室時,且就在電鍍之前,具有厚度為約50至500μm的潤濕層。晶圓基板進入電鍍室之後,某些實施例中,晶圓基板利用除氣電鍍液電鍍。某些實施例中,晶圓基板接觸到電鍍液之前,預濕晶圓基板相對於電鍍液被陰極化。預濕處理腔室與電鍍腔室可以是同一設備模組中的分開腔室。其他實施例中,晶圓基板在先前用於預濕的同一個腔室內電鍍。這些實施例中,電鍍可用除氣電鍍液執行。 After removing the excess drag fluid on the surface of the wafer substrate, stopping the rotation of the wafer substrate, lowering the splash mask and/or raising the wafer substrate (if so installed), opening the vacuum gate, and pulling the wafer from the cavity The chamber is removed and placed in the plating chamber. In some embodiments, the pre-wet wafer substrate is exposed to the chamber and the environment outside the plating chamber for less than one minute. In other embodiments, the pre-wet wafer has a wetting layer having a thickness of between about 50 and 500 microns when transported to the plating chamber, and just prior to electroplating. After the wafer substrate enters the plating chamber, in some embodiments, the wafer substrate is plated with a degassing plating solution. In some embodiments, the pre-wet wafer substrate is cathodized relative to the plating solution prior to contacting the wafer substrate with the plating solution. The pre-wetting chamber and the plating chamber can be separate chambers in the same device module. In other embodiments, the wafer substrate is plated in the same chamber that was previously used for pre-wetting. In these embodiments, electroplating can be performed using a degassing plating solution.

替代實施例中,從預濕處理腔室移除預濕晶圓基板之後,預濕晶圓基板傳送到用以執行如電蝕刻與電拋光之陽極處理的腔室。 In an alternative embodiment, after removing the pre-wet wafer substrate from the pre-wet processing chamber, the pre-wet wafer substrate is transferred to a chamber for performing anodization such as electro-etching and electro-polishing.

圖8b是預濕處理(1150)的另一個實施例的流程圖。在預濕處理腔室(1155)內提供在其表面至少一部分上具有曝露金屬層的晶圓基板。處理腔室的壓力接著降低至次大氣壓(1160)。接著使晶圓基板在次大氣壓下接觸到預濕流體(1165)。處理腔室內的壓力接著增加來促進氣泡的移除(1170)。此預濕處理可用本文敘述之預濕設備設計來進行。 Figure 8b is a flow diagram of another embodiment of a pre-wet process (1150). A wafer substrate having an exposed metal layer on at least a portion of its surface is provided within the pre-wetting processing chamber (1155). The pressure in the processing chamber is then reduced to sub-atmospheric pressure (1160). The wafer substrate is then contacted to the pre-wet fluid (1165) at sub-atmospheric pressure. The pressure within the processing chamber is then increased to promote bubble removal (1170). This pre-wetting treatment can be carried out using the pre-wet device design described herein.

在此所述之設備設計與方法可用來預濕部分製造完成的半導體裝置結構。某些實施例中,預濕的部分製造半導體裝置結構包括至少一凹部特徵部。凹部特徵部具有一層金屬來襯線特徵部。凹部特徵部亦包括實質無氣預濕流體來填充特徵部,預濕流體包含實質不會電鍍加速劑與平衡劑的水性金屬鹽類溶液。 The device design and method described herein can be used to pre-wet a partially fabricated semiconductor device structure. In some embodiments, the pre-wet partially fabricated semiconductor device structure includes at least one recess feature. The recess feature has a layer of metal to line the features. The recess feature also includes a substantially airless pre-wetting fluid to fill the feature, the pre-wet fluid comprising an aqueous metal salt solution that does not substantially illuminate the accelerator and balance agent.

如此所述,預濕流體組成與電鍍溶液組成的不同組合可用於預濕處理結合電鍍處理。圖9是電鍍銅層於晶圓基板上之電鍍處理1200的實施例流程圖。在預濕處理腔室內提供其表面至少一部分上具有曝露金屬層的晶圓基板(1205)。晶圓接著與預濕流體接觸,形成預濕流體層於晶圓基板上(1210)。預濕晶圓接著接觸包括有金屬離子的電鍍液,以將金屬層電鍍在晶圓基板上(1215)。 As described above, different combinations of pre-wetting fluid composition and plating solution composition can be used for the pre-wet treatment in combination with the plating treatment. 9 is a flow diagram of an embodiment of a plating process 1200 of electroplating a copper layer on a wafer substrate. A wafer substrate (1205) having an exposed metal layer on at least a portion of its surface is provided within the pre-wet processing chamber. The wafer is then contacted with a pre-wetting fluid to form a pre-wet fluid layer on the wafer substrate (1210). The pre-wet wafer is then contacted with a plating solution comprising metal ions to electroplate the metal layer on the wafer substrate (1215).

在此所述之設備設計與方法在其他除了電鍍/特徵部填充之外之液體半導體處理與狀況皆適用,只要是在大長寬比特徵部內有氣泡或 捕集氣體會造成問題的情況。 The device design and method described herein are applicable to other liquid semiconductor processes and conditions other than plating/feature filling, as long as there are bubbles in the large aspect ratio feature or Collecting gases can cause problems.

在此所述之全部操作,包括各種潤濕、預濕、除氣、對準、傳輸、電鍍操作,可在與所述模組與系統設置或通連之控制器中進行編碼程式或設定。如此所述之任何操作的組合或順序,可用此等控制器來編碼程式或設定。韌體、軟體巨集、應用特定積體電路、共享軟體、機器可讀媒體及其他類似物,可用來實施控制器指令,且可耦合至一或多個控制器。再者,一或多個控制器可包括一或多個記憶體及一或多個用以執行指令的處理器,俾使設備可執行依據此處揭露之實施例所載的方法。 All of the operations described herein, including various wetting, pre-wetting, degassing, aligning, transporting, and plating operations, can be programmed or set in a controller that is placed or connected to the module and system. The combination or sequence of any of the operations described herein can be used to encode programs or settings. Firmware, software macros, application specific integrated circuits, shared software, machine readable media, and the like can be used to implement controller instructions and can be coupled to one or more controllers. Furthermore, one or more controllers can include one or more memories and one or more processors for executing instructions to enable the device to perform the methods in accordance with the embodiments disclosed herein.

穿過光阻電鍍預濕設備及處理範例在前述設備設計與方法亦可用於貫穿光阻電鍍處理(即在包括有光阻的晶圓基板上電鍍)。貫穿光阻電鍍時,金屬的支柱或線形成在晶圓基板上,光阻作為電鍍樣板材料。例如,在貫穿光阻電鍍處理中,一金屬晶種層形成在晶圓基板的表面上。晶種層接著塗布有光阻,光阻接受紫外光的曝照,在顯影處理時移除部分光阻(如正光阻時,曝露於光線的部分被移除,負光阻時,未曝露於光線的部分被移除),以形成特徵部於光阻。某些實施例中,特徵部的長寬比可以是約2比1至約1比2,或約1比1。某些實施例中,光阻中特徵部的開口尺寸約為5微米至50微米、約10微米至100微米或約20微米至50微米。某些實施例中,線或路徑(如用於形成再分配層(redistribution layers))可形成在光阻。 Through the photoresist plating pre-wetting device and processing examples, the foregoing device design and method can also be used for through-resistive plating treatment (ie, plating on a wafer substrate including photoresist). During the through-resistance plating, metal pillars or lines are formed on the wafer substrate, and the photoresist is used as a plating template material. For example, in the through-resistance plating process, a metal seed layer is formed on the surface of the wafer substrate. The seed layer is then coated with a photoresist, and the photoresist is exposed to ultraviolet light, and part of the photoresist is removed during development processing (for example, when the photoresist is exposed, the portion exposed to the light is removed, and the negative photoresist is not exposed. A portion of the light is removed) to form features in the photoresist. In some embodiments, the aspect ratio of the features can be from about 2 to 1 to about 1 to 2, or about 1 to 1. In some embodiments, the features of the features in the photoresist have an opening size of from about 5 microns to 50 microns, from about 10 microns to 100 microns, or from about 20 microns to 50 microns. In some embodiments, lines or paths (eg, used to form redistribution layers) may be formed in the photoresist.

除渣(descum)處理包括將晶圓基板曝露於氧電漿,可執行此處理來移除特徵部中金屬晶種層的任何殘餘光阻。接著將金屬電鍍到特徵部中金屬晶種層上。某些實施例中,金屬可電鍍到接近於光阻厚度的厚度。例如,電鍍金屬厚度可以稍微厚於或薄於光阻厚度。電鍍金屬後,剝除晶圓基板的光阻。 The descum treatment involves exposing the wafer substrate to an oxygen plasma, and this process can be performed to remove any residual photoresist of the metal seed layer in the features. The metal is then electroplated onto the metal seed layer in the features. In some embodiments, the metal can be plated to a thickness close to the thickness of the photoresist. For example, the plating metal thickness can be slightly thicker or thinner than the photoresist thickness. After plating the metal, the photoresist of the wafer substrate is stripped.

某些實施例中,電鍍處理前可先有預濕處理。某範例中,有關於晶圓層級封裝,晶圓基板可接觸預濕流體後,將厚度約2微米至4微米的鎳薄層便度到金屬晶種層上。焊接合金如SnAg、Sn或SnCu可電鍍到鎳薄層上。接著移除留在晶圓基板上的光阻。留在晶圓基板表面上的金屬晶種層(即上頭未被電鍍的金屬晶種層)亦可被移除。上述預濕設備及腔室(參照圖1-6)可用於形成預濕層於要進行貫穿光阻電鍍的晶圓基板。 In some embodiments, a pre-wet treatment may be performed prior to the electroplating process. In one example, there is a wafer level package in which a wafer substrate can contact a pre-wet fluid and a thin layer of nickel having a thickness of about 2 microns to 4 microns is applied to the metal seed layer. A solder alloy such as SnAg, Sn or SnCu can be plated onto the thin layer of nickel. The photoresist remaining on the wafer substrate is then removed. The metal seed layer remaining on the surface of the wafer substrate (ie, the metal seed layer that is not plated on the top) can also be removed. The pre-wet device and chamber (see FIGS. 1-6) can be used to form a pre-wet layer on a wafer substrate through which photoresist is to be plated.

但某些時候,會形成光阻粒子或殘餘物,其會停滯在形成於光阻之特徵部的金屬晶種層上。此可以是顯影步驟的殘餘材料或去渣步驟期間產生的粒子。晶種層上的光阻粒子/殘餘物便可接著被電鍍上,形成電鍍金屬內的缺陷。光阻粒子可以是約2微米至7微米的尺寸,或約5微米的尺寸。光阻粒子/殘餘物可具有是光阻特徵部尺寸之數分之一的尺寸(如光阻粒子可以約是特徵部尺寸的10%)。光阻粒子可在去渣處理期間形成(如由在去渣處理期間光阻物理損害造成),且可能會黏附在光阻特徵部的壁上。預濕處理可能會將光阻粒子/殘餘物轉傳到金屬晶種層。 However, at some point, photoresist particles or residues are formed which stagnate on the metal seed layer formed on the features of the photoresist. This may be the residual material of the development step or the particles produced during the slag removal step. The photoresist particles/residue on the seed layer can then be electroplated to form defects in the plated metal. The photoresist particles can be from about 2 microns to 7 microns in size, or about 5 microns in size. The photoresist particles/residue may have a size that is a fraction of the size of the photoresist feature (eg, the photoresist particles may be about 10% of the feature size). The photoresist particles can be formed during the slag removal process (as caused by physical damage to the photoresist during the slag removal process) and may adhere to the walls of the photoresist features. Pre-wetting treatment may transfer photoresist particles/residues to the metal seed layer.

某些實施例中,預濕腔室可包括輸送預濕流體的元件,該輸送係在一壓力下進行,俾使預濕流體具有足夠的速度來移除粒子附著處(即光阻表面或金屬晶種層)的光阻粒子/殘餘物。特定實施例中,預濕流體具有皆平行且垂直於晶圓基板表面的速度分量。此一或二分量可提供足夠的力來克服將光阻粒子固持在晶圓基板上的力(如靜電力及/或摩擦力)。除了使光阻粒子鬆脫之外,預濕流體輸送到預濕腔室的流量係使鬆脫的光阻粒子從晶圓基板表面上被帶走。光阻粒子/殘餘物的移除可用更高流量之預濕流體來強化。因為晶圓基板通常包括光阻中的多個特徵部,光阻粒子從特徵部移除並從晶圓基板傳輸出去時,必須避免粒子掉進不同的特徵部而被捕集。 In certain embodiments, the pre-wetting chamber can include an element that delivers a pre-wet fluid that is subjected to a pressure that causes the pre-wet fluid to have sufficient velocity to remove the particle attachment (ie, the photoresist surface or metal) Photoresist layer) of photoresist particles / residue. In a particular embodiment, the pre-wetting fluid has a velocity component that is both parallel and perpendicular to the surface of the wafer substrate. This one or two components provide sufficient force to overcome the forces (such as electrostatic forces and/or friction) that hold the photoresist particles on the wafer substrate. In addition to loosening the photoresist particles, the flow of the pre-wet fluid to the pre-wet chamber causes the loose photoresist particles to be carried away from the surface of the wafer substrate. Removal of photoresist particles/residues can be enhanced with higher flow pre-wetting fluids. Because the wafer substrate typically includes a plurality of features in the photoresist, when the photoresist particles are removed from the features and transported out of the wafer substrate, the particles must be prevented from falling into different features and trapped.

圖10a及10b繪示貫穿光阻電鍍的預濕腔室實施例。圖10a繪示預濕腔室的截面圖,圖10b繪示預濕腔室之內部的立體截面圖。預濕腔室1350包括腔室本體1352及腔室蓋1354,兩者接觸時形成真空密封。腔室本體1352支撐晶圓基板固持部1356,其用以固持晶圓基板1358並用以旋轉晶圓基板1358。 Figures 10a and 10b illustrate a pre-wet chamber embodiment through photoresist plating. Figure 10a is a cross-sectional view of the pre-wet chamber, and Figure 10b is a perspective cross-sectional view of the interior of the pre-wet chamber. The pre-wet chamber 1350 includes a chamber body 1352 and a chamber cover 1354 that form a vacuum seal when in contact. The chamber body 1352 supports a wafer substrate holding portion 1356 for holding the wafer substrate 1358 and for rotating the wafer substrate 1358.

腔室本體1352更包括真空埠1360及流體入口部1362。真空埠1360耦合至真空幫浦,並用以使次大氣壓形成在預濕腔室1350。流體入口部1362耦合至除氣器(未繪示)。除氣器用以從預濕流體移除一或多種溶解氣體,以產生除氣預濕流體。流體入口部用以將除氣預濕流體輸送到晶圓基板上,其速度至少約為每秒16公尺(m/s),以使光阻粒子從晶圓基板表面鬆脫。再者,流體入口部用以將除氣預濕流體以至少約為每分鐘0.6公升(L/分鐘)的流量輸送至晶圓基板,俾使鬆脫的光阻粒子從晶圓基板沖走而移除。 The chamber body 1352 further includes a vacuum weir 1360 and a fluid inlet portion 1362. Vacuum crucible 1360 is coupled to the vacuum pump and is used to create sub-atmospheric pressure in pre-wet chamber 1350. Fluid inlet portion 1362 is coupled to a degasser (not shown). A degasser is used to remove one or more dissolved gases from the pre-wetting fluid to produce a degassed pre-wet fluid. The fluid inlet portion is configured to deliver the degassed pre-wet fluid to the wafer substrate at a velocity of at least about 16 meters per second (m/s) to release the photoresist particles from the surface of the wafer substrate. Furthermore, the fluid inlet portion is configured to transport the degassed pre-wetting fluid to the wafer substrate at a flow rate of at least about 0.6 liters per minute (L/min), so that the loose photoresist particles are washed away from the wafer substrate. except.

在所述的實施例中,流體入口部1362包括裝設在腔室本體1352側壁的噴嘴1364。某些實施例中,噴嘴1364是扇狀(fan)噴嘴。扇狀噴嘴可用以將除氣預濕流體輸送到晶圓基板上,俾使除氣預濕流體以線狀撞擊晶圓基板。圖10a及10b顯示除氣預濕流體1366從噴嘴1364到撞擊晶圓基板1358的自由流線。 In the illustrated embodiment, the fluid inlet portion 1362 includes a nozzle 1364 that is mounted to the sidewall of the chamber body 1352. In some embodiments, the nozzle 1364 is a fan nozzle. The fan nozzle can be used to transport the degassed pre-wet fluid onto the wafer substrate, causing the degassed pre-wetting fluid to strike the wafer substrate in a linear shape. Figures 10a and 10b show the free flow lines of the degassed pre-wet fluid 1366 from the nozzle 1364 to the impact wafer substrate 1358.

扇狀噴嘴這種流體噴嘴的種類,係使流體流以扇狀從噴嘴分散開。例如,具有噴灑角度約為10度至120度或在特定實施例中為約95度的扇狀噴嘴可用來做為噴嘴1364。噴灑角度是由扇狀噴嘴產生的扇狀流體的角度。特定實施例中,用於預濕腔室1350的扇狀噴嘴,其開口尺寸可為0.04吋至0.06吋,或約0.05吋,流量約為0.6L/分鐘至2.2L/分鐘,或約為1.3L/分鐘,噴嘴壓力約為每平方吋30磅(psi)至80psi,或約為40psi,在晶圓表面的流速為約16m/s至31m/s。 The type of fluid nozzle of the fan nozzle is such that the fluid flow is dispersed from the nozzle in a fan shape. For example, a fan nozzle having a spray angle of about 10 to 120 degrees or, in a particular embodiment, about 95 degrees can be used as the nozzle 1364. The spray angle is the angle of the fan-like fluid produced by the fan nozzle. In a particular embodiment, the fan nozzle for the pre-wet chamber 1350 can have an opening size of 0.04 吋 to 0.06 吋, or about 0.05 吋, a flow rate of about 0.6 L/min to 2.2 L/min, or about 1.3. L/min, nozzle pressure is about 30 pounds per square inch (psi) to 80 psi, or about 40 psi, and the flow rate at the wafer surface is about 16 m/s to 31 m/s.

在使用預濕腔室1350的預濕操作中,預濕腔室中的壓力可在於晶圓基板上形成潤濕層前降至次大氣壓。例如,某些實施例中,在晶圓基板上形成潤濕層可在預濕腔室的壓力降至低於約50Torr時開始。在形成潤濕層之前以及形成潤濕層時,晶圓基板可以第一轉速旋轉。接著,藉由將晶圓基板接觸來自除氣器且由流體入口部進入的除氣預濕流體,在次大氣壓的預濕腔室內,潤濕層形成於晶圓基板上。某些實施例中,晶圓基板可與除氣預濕流體接觸約10秒至120秒。 In a pre-wet operation using the pre-wet chamber 1350, the pressure in the pre-wet chamber may be reduced to sub-atmospheric pressure before the wetting layer is formed on the wafer substrate. For example, in some embodiments, forming a wetting layer on the wafer substrate can begin when the pressure of the pre-wetting chamber drops below about 50 Torr. The wafer substrate can be rotated at a first rotational speed prior to forming the wetting layer and forming the wetting layer. Next, the wetting layer is formed on the wafer substrate in the sub-atmospheric pre-wetting chamber by contacting the wafer substrate with the degassed pre-wetting fluid from the deaerator and entering through the fluid inlet portion. In some embodiments, the wafer substrate can be in contact with the degassed pre-wetting fluid for about 10 seconds to 120 seconds.

在晶圓基板上形成潤濕層之後,可停止輸送除氣預濕流體。停止輸送除氣預濕流體後,預濕腔室的壓力可增加至大氣壓或增加超過大氣壓。接著,晶圓基板可以第二轉速旋轉,以移除晶圓基板上的多於表面曳出除氣預濕流體。某些實施例中,前述所有處理操作可在預濕處理中執行。某些其他實施例中,有些前述的處理操作可從預濕處理中排除。 After the wetting layer is formed on the wafer substrate, the delivery of the degassed pre-wet fluid can be stopped. After the degassing of the pre-wetting fluid is stopped, the pressure of the pre-wet chamber can be increased to atmospheric pressure or increased beyond atmospheric pressure. Next, the wafer substrate can be rotated at a second rotational speed to remove more than the surface of the wafer substrate from the degassed pre-wetting fluid. In some embodiments, all of the foregoing processing operations can be performed in a pre-wet process. In some other embodiments, some of the foregoing processing operations may be excluded from the pre-wetting process.

晶圓基板在預濕處理期間以第一轉速旋轉可幫助移除晶圓基板的光阻粒子。例如,施加於光阻粒子上的向心力可幫助輸送鬆脫光阻粒子至晶圓基板邊緣,以及送出晶圓基板。但是,在接近晶圓基板中心處施加於光阻粒子的向心力可能不會太高。因此某些實施例中,預濕流體作為穿過晶圓基板中心的一條線(如接近晶圓基板的轉軸)輸送而撞擊晶圓基板,以協助移除晶圓基板中間的光阻粒子。 Rotating the wafer substrate at the first rotational speed during the pre-wet processing can help remove the photoresist particles of the wafer substrate. For example, the centripetal force applied to the photoresist particles can help transport the release photoresist particles to the edge of the wafer substrate and feed the wafer substrate. However, the centripetal force applied to the photoresist particles near the center of the wafer substrate may not be too high. Thus, in some embodiments, the pre-wetting fluid is transported as a line through the center of the wafer substrate (eg, near the axis of the wafer substrate) to impact the wafer substrate to assist in removing photoresist particles in the middle of the wafer substrate.

例如,在使用預濕腔室1350的預濕操作中,晶圓基板可入預濕腔室,關閉腔室。約50Torr至100Torr或約70Torr的真空可形成在預濕腔室中。晶圓基板可以約為20rpm至800rpm或約80rpm的第一轉速旋轉,同時晶圓基板接觸除氣預濕流體約5秒至90秒或約10秒,流量可違約0.6L/分鐘至2.2L/分鐘或約1.3L/分鐘,晶圓表面上的流體速度約為16m/s至31m/s。晶圓基板接著便可以約20rpm至800rpm或約25rpm的第二轉速旋轉,同時晶圓基板接觸除氣預濕流體約1秒至90秒或約20秒,流量約0.6L/分鐘至2.2L/分鐘或約1.3L/分鐘,晶圓表面上的流體速度約為16m/s至31m/s。某些實施例中,此第二轉速操作可以不含在預濕操作中。預濕腔室接著便可調節至大氣壓,之後,晶圓基板可以1rpm至250rpm或約180rpm旋轉。某些實施例中,晶圓基板在預濕腔室調節至大氣壓後不一定要旋轉。 For example, in a pre-wet operation using a pre-wet chamber 1350, the wafer substrate can be placed into a pre-wet chamber to close the chamber. A vacuum of about 50 Torr to 100 Torr or about 70 Torr may be formed in the pre-wet chamber. The wafer substrate may be rotated at a first rotational speed of about 20 rpm to 800 rpm or about 80 rpm, while the wafer substrate contacts the degassed pre-wet fluid for about 5 seconds to 90 seconds or about 10 seconds, and the flow rate may be from 0.6 L/min to 2.2 L/min. Or about 1.3 L/min, the fluid velocity on the wafer surface is about 16 m/s to 31 m/s. The wafer substrate can then be rotated at a second rotational speed of about 20 rpm to 800 rpm or about 25 rpm, while the wafer substrate contacts the degassed pre-wet fluid for about 1 second to 90 seconds or about 20 seconds, and the flow rate is about 0.6 L/min to 2.2 L/min. Or about 1.3 L/min, the fluid velocity on the wafer surface is about 16 m/s to 31 m/s. In some embodiments, this second rotational speed operation may not be included in the pre-wet operation. The pre-wet chamber can then be adjusted to atmospheric pressure, after which the wafer substrate can be rotated from 1 rpm to 250 rpm or about 180 rpm. In some embodiments, the wafer substrate does not have to be rotated after the pre-wet chamber is adjusted to atmospheric pressure.

某些實施例中,預濕流體可用脈衝方式輸送至晶圓基板。例如,預濕流體可啟動約1秒至9秒或約5秒,然後停止100毫秒至900毫秒或約500毫秒。某些實施例中預濕流體的脈衝可重複約5至15次或約10次。 In some embodiments, the pre-wetting fluid can be delivered to the wafer substrate in a pulsed manner. For example, the pre-wetting fluid can be activated for about 1 second to 9 seconds or about 5 seconds, and then stopped for 100 milliseconds to 900 milliseconds or about 500 milliseconds. The pulse of the pre-wet fluid in certain embodiments may be repeated about 5 to 15 times or about 10 times.

某些實施例中,去離子水可用於貫穿光阻電鍍的預濕處理。某些其他實施例中,協助從晶圓基板鬆脫並移除光阻粒子的化學溶液可用於貫穿光阻電鍍的預濕處理。 In certain embodiments, deionized water can be used for pre-wetting treatment through photoresist plating. In certain other embodiments, a chemical solution that assists in releasing the photoresist substrate from the wafer substrate and removing the photoresist particles can be used for pre-wetting processing through the photoresist plating.

圖11a及11b繪示用於貫穿光阻電鍍的預濕腔室實施例。圖11a繪示預濕腔室的截面圖,圖11b繪示流體入口歧管與晶圓基板的俯視圖。預濕腔室1400包括腔室本體1402於腔室蓋1404,其兩者彼此接觸後形成真空密封。腔室本體1402支撐晶圓基板固持部1406,固持部用以固持晶圓基板1408及旋轉晶圓基板1408。腔室本體1402更包括真空埠1410。真空埠耦合至真空幫浦,並用以使次大氣壓形成於預濕腔室1400。 Figures 11a and 11b illustrate a pre-wet chamber embodiment for through-resistive plating. 11a is a cross-sectional view of the pre-wet chamber, and FIG. 11b is a top view of the fluid inlet manifold and the wafer substrate. The pre-wet chamber 1400 includes a chamber body 1402 in the chamber cover 1404 that, when contacted with each other, forms a vacuum seal. The cavity body 1402 supports the wafer substrate holding portion 1406 for holding the wafer substrate 1408 and rotating the wafer substrate 1408. The chamber body 1402 further includes a vacuum crucible 1410. A vacuum crucible is coupled to the vacuum pump and is used to form sub-atmospheric pressure in the pre-wet chamber 1400.

腔室蓋1404包括三個耦合至歧管1416的流體入口部1412。歧管1416可包括一或更多個埠。如所示,歧管1416包括埠1418,埠1418可耦合至用以將預濕流體引入預濕腔室1400的除氣器(未繪示)。歧管1416的埠1420可用來以惰性氣體清除歧管1416,以移除預濕處理後任何可能遺留在歧管1416內的預濕流體。 The chamber cover 1404 includes three fluid inlet portions 1412 that are coupled to the manifold 1416. Manifold 1416 can include one or more turns. As shown, the manifold 1416 includes a bore 1418 that can be coupled to a degasser (not shown) to introduce the pre-wet fluid into the pre-wet chamber 1400. The crucible 1420 of the manifold 1416 can be used to purge the manifold 1416 with an inert gas to remove any pre-wetting fluid that may remain within the manifold 1416 after the pre-wet treatment.

特定實施例中,各流體入口部1412用以將除氣預濕流體以 至少約7m/s的速度輸送到晶圓基板上,以鬆脫晶圓基板上的光阻粒子。再者,特定實施例中,所有的流體入口部用以將除氣預濕流體以至少約0.4L/分鐘的流量輸送至晶圓基板上,俾使鬆脫的光阻粒子從晶圓基板沖走而移除。 In a particular embodiment, each fluid inlet portion 1412 is used to degas the pre-wet fluid A speed of at least about 7 m/s is delivered to the wafer substrate to release the photoresist particles on the wafer substrate. Furthermore, in a particular embodiment, all of the fluid inlets are used to deliver the degassed pre-wet fluid to the wafer substrate at a flow rate of at least about 0.4 L/min, so that the loose photoresist particles are washed away from the wafer substrate. And removed.

某些實施例中,各流體入口部1412包括噴嘴1414。某些實施例中,各噴嘴1414是扇狀噴嘴。扇狀噴嘴可將除氣預濕流體輸送至晶圓基板上,使除氣預濕流體以線狀或矩形形狀撞擊晶圓基板。圖11a繪示除氣預濕流體1422從噴嘴1414撞擊晶圓基板1408。如圖11a亦所示,噴嘴1414的設定係使除氣預濕流體噴嘴均實質散於晶圓基板的半徑,即從晶圓基板的邊緣到晶圓基板的中心。在此設定下,除氣預濕流體亦在晶圓實質整個半徑上接觸晶圓基板。因晶圓基板旋轉,整個晶圓基板表面在一次晶圓基板完全旋轉後會與預濕流體接觸。 In some embodiments, each fluid inlet portion 1412 includes a nozzle 1414. In some embodiments, each nozzle 1414 is a fan nozzle. The fan nozzle can transport the degassed pre-wetting fluid onto the wafer substrate, causing the degassed pre-wet fluid to strike the wafer substrate in a linear or rectangular shape. FIG. 11a illustrates the degassed pre-wet fluid 1422 striking the wafer substrate 1408 from the nozzle 1414. As also shown in Figure 11a, the nozzles 1414 are configured such that the degassed pre-wet fluid nozzles are substantially dispersed in the radius of the wafer substrate, i.e., from the edge of the wafer substrate to the center of the wafer substrate. With this setting, the degassed pre-wet fluid also contacts the wafer substrate over substantially the entire radius of the wafer. Due to the rotation of the wafer substrate, the entire wafer substrate surface is in contact with the pre-wetting fluid after the primary wafer substrate is completely rotated.

如所提及,扇狀噴嘴的流體噴嘴類型,係使流體流動從噴嘴散開成扇形。在一特定的範例,有關在預濕腔室1400中預濕一個300毫米直徑的晶圓基板,預濕腔室可包括三個扇狀噴嘴。各扇狀噴嘴可具有約20度至60度或約40度的噴灑角度。用於預濕腔室1400的各扇狀噴嘴可具有0.02吋至0.05吋或約0.035吋的開口尺寸,流量約0.15L/分鐘至1L/分鐘,或約0.25L/分鐘,噴嘴壓力約30psi至80psi,或約40psi,晶圓表面的流體流速約7m/s至31m/s。三個噴嘴,各個具有約0.25L/分鐘的流量,可以總流量約為0.75L/分鐘輸送預濕流體。某些實施例中,從噴嘴輸送的預濕流體的扇形實質上的扁平的。 As mentioned, the fluid nozzle type of the fan nozzle is such that the fluid flow is fanned out from the nozzle into a fan shape. In a particular example, regarding pre-wetting a 300 mm diameter wafer substrate in a pre-wet chamber 1400, the pre-wet chamber can include three fan-shaped nozzles. Each fan nozzle can have a spray angle of about 20 to 60 degrees or about 40 degrees. Each of the fan nozzles for the pre-wet chamber 1400 can have an opening size of 0.02 吋 to 0.05 吋 or about 0.035 ,, a flow rate of about 0.15 L/min to 1 L/min, or about 0.25 L/min, and a nozzle pressure of about 30 psi. At 80 psi, or about 40 psi, the fluid flow rate on the wafer surface is about 7 m/s to 31 m/s. Three nozzles, each having a flow rate of about 0.25 L/min, can deliver a pre-wet fluid at a total flow rate of about 0.75 L/min. In some embodiments, the fan shape of the pre-wet fluid delivered from the nozzle is substantially flat.

在使用預濕腔室1400的預濕操作中,晶圓基板可放入預濕腔室內,關閉腔室。預濕腔室內可形成的真空約50Torr至100Torr,或約70Torr。晶圓基板可以約20rpm至800rpm或約80rpm的第一轉速旋轉,同時晶圓基板接觸除氣預濕流體從約5秒至90秒,或約10秒,總流量約為0.45L/分鐘至3L/分鐘,或約0.75L/分鐘,晶圓表面的流體流速約7m/s至31m/s。晶圓基板接著可以約20rpm至800rpm或約25rpm的第二轉速旋轉,同時晶圓基板接觸除氣預濕流體從約1秒至90秒,或約20秒,總流量約0.45L/分鐘至3L/分鐘,或約0.75L/分鐘,晶圓表面上的流體流速約7m/s至31m/s。某些實施例中,此第二旋轉操作不會被包括在預濕操作中。預濕 腔室接著便可調節到大氣壓,之後,晶圓基板以1rpm至250rpm或約180rpm旋轉。某些實施例中,晶圓基板在預濕腔室調節至大氣壓後不旋轉。 In a pre-wet operation using the pre-wet chamber 1400, the wafer substrate can be placed in a pre-wet chamber to close the chamber. The vacuum that can be formed in the pre-wet chamber is about 50 Torr to 100 Torr, or about 70 Torr. The wafer substrate can be rotated at a first rotational speed of about 20 rpm to 800 rpm or about 80 rpm, while the wafer substrate contacts the degassed pre-wet fluid from about 5 seconds to 90 seconds, or about 10 seconds, and the total flow rate is about 0.45 L/min to 3 L/ In minutes, or about 0.75 L/min, the fluid flow rate on the wafer surface is about 7 m/s to 31 m/s. The wafer substrate can then be rotated at a second rotational speed of about 20 rpm to 800 rpm or about 25 rpm, while the wafer substrate contacts the degassed pre-wet fluid from about 1 second to 90 seconds, or about 20 seconds, with a total flow rate of about 0.45 L/min to 3 L/ In minutes, or about 0.75 L/min, the fluid flow rate on the wafer surface is about 7 m/s to 31 m/s. In some embodiments, this second rotational operation is not included in the pre-wet operation. Pre-wet The chamber can then be adjusted to atmospheric pressure, after which the wafer substrate is rotated at 1 rpm to 250 rpm or about 180 rpm. In some embodiments, the wafer substrate does not rotate after the pre-wet chamber is adjusted to atmospheric pressure.

某些實施例中,預濕流體可以脈衝方式輸送至晶圓基板。例如,預濕流體可輸送約1秒至9秒,或約5秒,然後不輸送100毫秒至900毫秒,或約500毫秒。某些實施例中,預濕流體的脈衝可重複約5至15次,或約10次。 In some embodiments, the pre-wetting fluid can be delivered to the wafer substrate in a pulsed manner. For example, the pre-wetting fluid can be delivered for about 1 second to 9 seconds, or about 5 seconds, and then not delivered for 100 milliseconds to 900 milliseconds, or about 500 milliseconds. In certain embodiments, the pulse of the pre-wetting fluid can be repeated about 5 to 15 times, or about 10 times.

圖12繪示貫穿光阻電鍍用之預濕腔室的實施例。預濕腔室150O可類似於預濕腔室1400,除了一點之外:預濕腔室1500可包括更多個流體入口部。再者,除氣預濕流體在預濕腔室1500內在晶圓基板的直徑上撞擊晶圓基板。 Figure 12 illustrates an embodiment of a pre-wet chamber for through-resistive plating. The pre-wet chamber 150O can be similar to the pre-wet chamber 1400 except for one point: the pre-wet chamber 1500 can include more fluid inlets. Furthermore, the degassed pre-wet fluid impinges on the wafer substrate over the diameter of the wafer substrate within the pre-wet chamber 1500.

預濕腔室1500包括腔室本體1502即腔室蓋1504,兩者接觸時會產生真空密封。腔室本體1502包括用以固持晶圓基板1508與旋轉晶圓基板1508的晶圓基板固持部1506。腔室本體1502更包括真空埠1510。真空埠耦合至真空幫浦,並使次大氣壓形成於預濕腔室1500。 The pre-wet chamber 1500 includes a chamber body 1502, a chamber cover 1504, which creates a vacuum seal when in contact. The chamber body 1502 includes a wafer substrate holding portion 1506 for holding the wafer substrate 1508 and the rotating wafer substrate 1508. The chamber body 1502 further includes a vacuum crucible 1510. A vacuum crucible is coupled to the vacuum pump and a sub-atmospheric pressure is formed in the pre-wet chamber 1500.

腔室蓋1504包括五個耦合至歧管1516的流體入口部。歧管1516可包括一或更多個埠。如所示,歧管1516包括可耦合至用以引入預濕流體至預濕腔室1500之除氣器(未繪示)的埠1518。歧管1516的埠1520可用於以惰性氣體清除歧管1516,以移除在預濕處理後任何遺留下來的預濕流體。 The chamber cover 1504 includes five fluid inlet portions that are coupled to the manifold 1516. Manifold 1516 can include one or more turns. As shown, the manifold 1516 includes a bore 1518 that can be coupled to a degasser (not shown) for introducing a pre-wet fluid to the pre-wet chamber 1500. The crucible 1520 of the manifold 1516 can be used to purge the manifold 1516 with an inert gas to remove any remaining pre-wetting fluid after the pre-wet treatment.

各流體入口部1512用以將除氣預濕流體輸送至晶圓基板上,其有足夠的速度使光阻粒子從晶圓基板表面鬆脫。所有的流體入口部用以將除氣預濕流體輸送至晶圓基板上,其流量係使鬆脫的光阻粒子被從晶圓基板上沖走而移除。 Each fluid inlet portion 1512 is configured to deliver a degassed pre-wet fluid to the wafer substrate with sufficient velocity to release the photoresist particles from the surface of the wafer substrate. All of the fluid inlets are used to deliver the degassed pre-wet fluid to the wafer substrate at a flow rate such that the loose photoresist particles are removed from the wafer substrate for removal.

如上所述之實施例,各流體入口部1512可包括噴嘴1514。再者,如上所述,各噴嘴1514可以是扇狀噴嘴。圖12繪示除氣預濕流體1522從噴嘴1514撞擊晶圓基板1508。在預濕腔室1500中,噴嘴1514的設定係使除氣預濕流體在晶圓基板的實質整個直徑上接觸晶圓基板,即從晶圓基板的一個邊緣穿過晶圓基板的中心而到晶圓基板的另一個邊緣。因晶圓基板旋轉時,整個晶圓基板表面在晶圓基板旋轉完整一圈的一半後接觸預濕流體。 As with the embodiment described above, each fluid inlet portion 1512 can include a nozzle 1514. Further, as described above, each of the nozzles 1514 may be a fan-shaped nozzle. 12 illustrates the degassed pre-wet fluid 1522 striking the wafer substrate 1508 from the nozzles 1514. In the pre-wet chamber 1500, the nozzle 1514 is set such that the degassed pre-wetting fluid contacts the wafer substrate over substantially the entire diameter of the wafer substrate, ie, from one edge of the wafer substrate through the center of the wafer substrate to the crystal The other edge of the circular substrate. As the wafer substrate rotates, the entire wafer substrate surface contacts the pre-wetting fluid after one half of the wafer substrate has rotated a full turn.

某些實施例中,圖12的預濕腔室1500會比圖11a的預濕腔室1400更能夠把光阻粒子從晶圓基板移除。例如,當光阻粒子在晶圓基板特徵部中移動(如固持光阻粒子的力被克服)但有沒從特徵部移除時,在預濕腔室1400內需要晶圓基板完整旋轉一圈,除氣預濕流體才能從特徵部移除光阻粒子。在預濕腔室1500的例子,要由除氣預濕流體將光阻粒子從特徵部移除,只需晶圓基板旋轉半圈。 In some embodiments, the pre-wet chamber 1500 of FIG. 12 will be more capable of removing photoresist particles from the wafer substrate than the pre-wet chamber 1400 of FIG. 11a. For example, when the photoresist particles move in the wafer substrate features (if the force holding the photoresist particles is overcome) but there is no removal from the features, the wafer substrate needs to be completely rotated in the pre-wet chamber 1400. The degassed pre-wet fluid removes the photoresist particles from the features. In the example of the pre-wet chamber 1500, the photoresist particles are removed from the features by the degassed pre-wetting fluid, requiring only a half turn of the wafer substrate.

圖11a與12所示之預濕腔室1400、1500的某些實施例中,腔室蓋可能保持靜止,晶圓基板從預濕腔室的載入/卸除可由腔室本體實質垂直地移動來執行。腔室蓋保持靜止可協助避免在晶圓基板被載入預濕腔室時,預濕流體的液滴掉落在晶圓基板上。當晶圓基板不在真空中,一滴預濕流體接觸到晶圓基板,便可能會將氣泡捕集在晶圓基板與預濕流體液滴之間。預濕腔室的歧管的埠可用來在載入晶圓基板於預濕腔室內之前清除歧管。此亦可幫助避免預濕流體的液滴掉落在晶圓基板上。 In some embodiments of the pre-wet chambers 1400, 1500 shown in Figures 11a and 12, the chamber cover may remain stationary and the loading/unloading of the wafer substrate from the pre-wet chamber may be substantially vertically movable from the chamber body. To execute. Keeping the chamber cover stationary helps to prevent droplets of pre-wetting fluid from falling onto the wafer substrate when the wafer substrate is loaded into the pre-wet chamber. When the wafer substrate is not in a vacuum and a drop of pre-wetting fluid contacts the wafer substrate, air bubbles may be trapped between the wafer substrate and the pre-wetting fluid droplets. The helium of the manifold of the pre-wet chamber can be used to purge the manifold prior to loading the wafer substrate into the pre-wet chamber. This can also help to prevent droplets of pre-wetting fluid from falling onto the wafer substrate.

預濕流體接觸圖11a與12所示之預濕腔室1400、1500中的晶圓基板時的速度與力道,與圖10a所示之預濕腔室1350相較,通常具有較大的垂直與平行分量比(從晶圓表面的觀點)。例如,預濕腔室1400、1500中,預濕流體相對於基板表面之平面的流體撞擊角度是約90度,範圍是約60度至90度。預濕腔室1350內預濕流體的入射角是約6度,範圍在約3度至10度。雖然皆垂直與平行於晶圓基板的預濕流體速度可鬆脫光阻粒子並將其移除自晶圓基板,垂直於晶圓基板表面的高速較能鬆脫光阻粒子。因此,具有主要為垂直於晶圓基板的速度分量的預濕腔室1400、1500中的預濕流體速度,可以不用如預濕腔室1350(有垂直與平行於晶圓基板的速度分量)中的高。 The velocity and force of the pre-wetting fluid contacting the wafer substrate in the pre-wet chambers 1400, 1500 shown in Figures 11a and 12, as compared to the pre-wet chamber 1350 shown in Figure 10a, typically have a large vertical Parallel component ratio (from the viewpoint of the wafer surface). For example, in the pre-wet chambers 1400, 1500, the fluid impact angle of the pre-wet fluid relative to the plane of the substrate surface is about 90 degrees, ranging from about 60 degrees to 90 degrees. The angle of incidence of the pre-wet fluid within the pre-wet chamber 1350 is about 6 degrees, ranging from about 3 to 10 degrees. Although the photoresist particles are both perpendicular and parallel to the wafer substrate to release the photoresist particles and remove them from the wafer substrate, the photoresist is perpendicular to the surface of the wafer substrate at a high speed. Thus, the pre-wet fluid velocity in the pre-wet chambers 1400, 1500 having a velocity component that is primarily perpendicular to the wafer substrate may be eliminated from the pre-wet chamber 1350 (having a vertical and parallel velocity component parallel to the wafer substrate). height of.

雖預濕腔室1400繪示為包括三個流體入口部與三個噴嘴,且預濕腔室1500繪示為包括五個流體入口部與五個噴嘴,預濕腔室可包括一至八個(在某些實施例是更多)流體入口部與對應噴嘴。再者,使用預濕腔室1400或預濕腔室1500的預濕處理可以類似於使用預濕腔室1350的預濕處理,如上所述。再者,雖扇狀噴嘴描述於預濕腔室1350、1400、1500的實施例中,能產生錐狀流體流或其他形狀之流體流的噴嘴亦能使用。 Although the pre-wetting chamber 1400 is illustrated as including three fluid inlet portions and three nozzles, and the pre-wetting chamber 1500 is illustrated as including five fluid inlet portions and five nozzles, the pre-wetting chamber may include one to eight ( In some embodiments there are more) fluid inlets and corresponding nozzles. Again, the pre-wet treatment using the pre-wet chamber 1400 or the pre-wet chamber 1500 can be similar to the pre-wet treatment using the pre-wet chamber 1350, as described above. Furthermore, although fan-shaped nozzles are described in the embodiments of pre-wet chambers 1350, 1400, 1500, nozzles capable of producing a conical fluid flow or other shaped fluid flow can also be used.

某些實施例中,在對用以進行貫穿光阻電鍍之晶圓基板執行 預濕處理之前,晶圓基板可先穿過離子化系統中的離子化器條(ionizer bar),以協助從晶圓基板移除剛組粒子。此離子化系統的運作方式為,用離子化的氣體分子增加空氣的傳導性。當離子化的空氣接觸帶電表面時,如光阻粒子因靜電力而驅向晶圓基板,該表面吸引對極的離子。因此,靜電力被中和掉了,光阻粒子可更容易在預濕處理期間從晶圓基板移除。 In some embodiments, performing on a wafer substrate for through-resistive plating Prior to pre-wetting, the wafer substrate can first pass through an ionizer bar in the ionization system to assist in removing the just-group particles from the wafer substrate. This ionization system operates by increasing the conductivity of the air with ionized gas molecules. When ionized air contacts a charged surface, for example, the photoresist particles are driven toward the wafer substrate by electrostatic forces that attract ions of the opposite pole. Therefore, the electrostatic force is neutralized, and the photoresist particles can be more easily removed from the wafer substrate during the pre-wetting process.

某些實施例中,對要進行貫穿光阻電鍍之晶圓基板所進行之預濕處理,可用預濕流體速度或流量沒有任何設定的預濕腔室來執行。此等實施例中,使用額外機制來鬆脫粒子是較佳的。例如,預濕腔室可包括超音波傳動器(megasonic transducer),其可在預濕腔室禮進行預濕處理後在晶圓基板上方旋轉且啟動。超音波傳動器可鬆脫晶圓基板的光阻粒子。或者,超音波傳動器可設於在此所述之任何預濕腔室,以協助從晶圓基板移除粒子。某些實施例中,有超音波除動氣的預濕處理可在真空中以除氣去離子水執行。 In some embodiments, the pre-wetting process performed on the wafer substrate through which the photoresist is to be plated may be performed using a pre-wet chamber having a pre-wet fluid velocity or flow rate without any setting. In such embodiments, it is preferred to use additional mechanisms to loosen the particles. For example, the pre-wetting chamber can include a megasonic transducer that can be rotated and activated over the wafer substrate after the pre-wet chamber is pre-wet processed. The ultrasonic actuator can release the photoresist particles of the wafer substrate. Alternatively, the ultrasonic actuator can be provided in any of the pre-wet chambers described herein to assist in the removal of particles from the wafer substrate. In some embodiments, the pre-wet treatment with ultrasonic degassing can be performed in degassed deionized water in a vacuum.

此述貫穿光阻電鍍預濕設備可包括完成如前述之處理操作的硬體,亦包括依據此揭露實施方式來控制處理操作之指令的系統控制器(未繪示)。系統控制器可包括一或更多個記憶裝置及用以執行指令的一或更多個處理器,俾使設備能依據在此揭露之實施方式來執行方法。此等指令可包括,例如,抽空預濕腔室、以一或更多種速度旋轉晶圓、在特定期間及在晶圓基板的特定轉速期間將除氣預濕流體流經歧管而進入腔室、停止除氣流體的流動、停止或減速晶圓基板的旋轉、增壓腔室及移除晶圓基板。經適當的指令,皆可將以上操作的各種組合編輯成程式。含有依據在此揭露實施方式控制處理操作之指令的機器可讀媒體可耦合至系統控制器。 The through-resistive plating pre-wetting apparatus may include a hardware that performs the processing operations as described above, and a system controller (not shown) that controls the processing operations in accordance with the disclosed embodiments. The system controller can include one or more memory devices and one or more processors to execute the instructions to enable the device to perform the methods in accordance with the embodiments disclosed herein. Such instructions may include, for example, evacuating the pre-wet chamber, rotating the wafer at one or more speeds, flowing the degassed pre-wetting fluid through the manifold into the chamber during a particular period of time and during a particular rotational speed of the wafer substrate Stop the flow of the degassing fluid, stop or slow the rotation of the wafer substrate, pressurize the chamber, and remove the wafer substrate. Various combinations of the above operations can be edited into programs with appropriate instructions. A machine readable medium containing instructions for controlling processing operations in accordance with the disclosed embodiments herein can be coupled to a system controller.

圖13是貫穿光阻電鍍用之預濕處理(1600)實施例的流程圖。在如腔室1350、1400或1500的預濕處理腔室內提供具有如光阻層中之開口之凹部特徵部的晶圓基板(1605)。在貫穿光阻電鍍的情況,凹部特徵部的底部含有金屬,額外的金屬會在預濕後電鍍在該金屬上。在處理腔室內,晶圓基板載至如元件1356、1406、1506的晶圓支撐部或夾頭上。將晶圓基板適當地設於預濕用的處理腔室後,處理腔室壓力便降至次大氣壓(1610)。分頭進行的是,將預濕流體除氣(1615)。與前述部分實施例共通的是,流體可在分開的除氣元件中除氣。除氣可與圖13所示之其他操作同時進行。晶 圓基板適當地安裝在處理腔室後,開始旋轉(1620)。特定實施例中,旋轉在腔室氣壓降低(1610)及/或流體除氣(1615)之前或於其期間開始。腔室壓力降至所欲程度後,在晶圓基板旋轉的同時,使晶圓基板在次大氣壓下接觸除氣預濕流體。此在晶圓基板表面上形成潤濕層(1625)。特定實施例中,除氣預濕流體接觸晶圓基板的速度足夠使任何在曝露金屬層上的粒子鬆脫,且流量足以將鬆脫的粒子從晶圓基板移除。各種範例中,流體接觸晶圓基板時的線性速度至少為約5m/s,流體在晶圓基板上的容積流量至少約0.3L/分鐘。某些實施例中,預濕流體可以是協助從晶圓基板鬆脫及移除粒子的去離子水或化學溶液。此預濕處理可用在此描述之預濕設備設計來進行。再者,在此所述之預濕設備與處理,可用來從晶圓基板移除任何類型的粒子或雜質。 Figure 13 is a flow diagram of an embodiment of a pre-wet treatment (1600) for through-resistive plating. A wafer substrate (1605) having a recess feature such as an opening in the photoresist layer is provided in a pre-wet processing chamber such as chamber 1350, 1400 or 1500. In the case of through-resistive plating, the bottom of the recess feature contains metal and additional metal is electroplated onto the metal after pre-wetting. Within the processing chamber, the wafer substrate is loaded onto a wafer support or chuck such as components 1356, 1406, 1506. After the wafer substrate is properly placed in the pre-wet processing chamber, the processing chamber pressure is reduced to sub-atmospheric pressure (1610). Partially, the pre-wet fluid is degassed (1615). In common with some of the foregoing embodiments, the fluid can be degassed in separate degassing elements. Degassing can be performed simultaneously with the other operations shown in FIG. crystal After the circular substrate is properly mounted in the processing chamber, rotation begins (1620). In a particular embodiment, the rotation begins before or during the chamber pressure drop (1610) and/or fluid outgas (1615). After the chamber pressure is reduced to the desired level, the wafer substrate is brought into contact with the degassed pre-wet fluid at sub-atmospheric pressure while the wafer substrate is rotating. This forms a wetting layer (1625) on the surface of the wafer substrate. In a particular embodiment, the degassed pre-wetting fluid contacts the wafer substrate at a rate sufficient to release any particles on the exposed metal layer and a flow rate sufficient to remove the loose particles from the wafer substrate. In various examples, the linear velocity of the fluid in contact with the wafer substrate is at least about 5 m/s, and the volumetric flow of fluid on the wafer substrate is at least about 0.3 L/min. In some embodiments, the pre-wetting fluid can be a deionized water or chemical solution that assists in releasing and removing particles from the wafer substrate. This pre-wetting treatment can be carried out using the pre-wet device design described herein. Furthermore, the pre-wet devices and processes described herein can be used to remove any type of particles or impurities from the wafer substrate.

在此所述之設備/方法可與微影圖案化工具或處理同用,例如半導體裝置、顯示器、LED、光伏平板等的製造。一般而言,但非一定必然,此等工具/處理會在共同的製造設施中一同使用或操作。薄膜微影圖案化通常包括以下部分或全部的步驟,各步驟由各種工具來執行:(1)利用旋轉塗布或噴灑塗布工具施加光阻於工作件(即基板)上;(2)利用熱板或爐或UV硬化工具使光阻硬化;(3)利用如晶圓步進機的工具使光阻曝露於可視光、UV或X射線;(4)利用如濕台(wet bench)的工具顯影光阻,以選擇性地移除光阻而藉此將其圖案化;(5)利用乾燥或電漿輔助蝕刻工具將光阻圖案轉印到下方的膜或工作件上;及(6)利用如RF或微波電漿光阻剝除機的工具移除光阻。 The apparatus/methods described herein can be used with lithographic patterning tools or processes, such as the fabrication of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. In general, but not necessarily, such tools/processes may be used or operated together in a common manufacturing facility. Thin film lithography patterning typically includes some or all of the following steps, each step being performed by various tools: (1) applying a photoresist to the workpiece (ie, the substrate) using a spin coating or spray coating tool; (2) utilizing a hot plate Or furnace or UV hardening tool to harden the photoresist; (3) use a tool such as a wafer stepper to expose the photoresist to visible light, UV or X-ray; (4) develop with a tool such as a wet bench a photoresist to selectively remove the photoresist thereby patterning it; (5) transferring the photoresist pattern to the underlying film or workpiece using a dry or plasma-assisted etching tool; and (6) utilizing Tools such as RF or microwave plasma photoresist strippers remove photoresist.

前述設備設計與方法藉由特定細節來清楚說明本發明,特定改變及修改都落於申請專利範圍。應注意會有許多替代性的方式來實施處理與組成。據此,實施例僅供說明而不限制本發明,且實施例不限於此述之細節。 The invention has been described with reference to the specific details of the invention, and the specific changes and modifications are within the scope of the claims. It should be noted that there are many alternative ways to implement processing and composition. Accordingly, the embodiments are merely illustrative and not limiting, and the embodiments are not limited to the details.

1600‧‧‧處理 1600‧‧‧Process

1605、1610、1615、1620、1625‧‧‧步驟 1605, 1610, 1615, 1620, 1625‧‧ steps

Claims (22)

一種設備,包含:除氣器,用以從預濕流體移除一或多種溶解的氣體,以產生除氣的預濕流體;處理腔室,包含:晶圓固持部,用以固持晶圓基板,並用以旋轉該晶圓基板;真空埠,用以使次大氣壓形成在該處理腔室內;及流體入口部,耦合至該除氣器,並用以將該除氣的預濕流體以至少約每秒7公尺的速度輸送至該晶圓基板上;及控制器,包含程式指令,該程式指令用以:使該晶圓基板以第一轉速旋轉;及藉由在以該第一轉速旋轉該晶圓基板時,使該晶圓基板接觸來自該除氣器的該除氣的預濕流體,且該除氣的預濕流體以至少約每分鐘0.4公升的流量從該流體入口部引入,該除氣的預濕流體處於液態狀態,如此在該處理腔室內於該次大氣壓下在該晶圓基板上形成一潤濕層。 An apparatus comprising: a degasser for removing one or more dissolved gases from a pre-wetting fluid to produce a degassed pre-wet fluid; and a processing chamber comprising: a wafer holding portion for holding the wafer substrate And for rotating the wafer substrate; a vacuum crucible for forming a sub-atmospheric pressure in the processing chamber; and a fluid inlet portion coupled to the deaerator and for using the degassed pre-wet fluid at least about every a speed of 7 meters per second is delivered to the wafer substrate; and the controller includes a program command for: rotating the wafer substrate at a first rotational speed; and by rotating the first rotational speed The wafer substrate is brought into contact with the degassed pre-wet fluid from the degasser, and the degassed pre-wet fluid is introduced from the fluid inlet portion at a flow rate of at least about 0.4 liters per minute. The degassed pre-wet fluid is in a liquid state such that a wetting layer is formed on the wafer substrate at the sub-atmospheric pressure within the processing chamber. 如申請專利範圍第1項之設備,其中該流體入口部包括噴嘴,該噴嘴用以將該除氣的預濕流體輸送到該晶圓基板上,且其中該噴嘴裝設於該處理腔室的側壁上。 The apparatus of claim 1, wherein the fluid inlet portion includes a nozzle for conveying the degassed pre-wetting fluid to the wafer substrate, and wherein the nozzle is disposed in the processing chamber On the side wall. 如申請專利範圍第2項之設備,其中該噴嘴是扇狀噴嘴,該扇狀噴嘴用以將該除氣的預濕流體輸送到該晶圓基板上,俾使撞擊該晶圓基板的該除氣的預濕流體具有一線形。 The apparatus of claim 2, wherein the nozzle is a fan-shaped nozzle for conveying the degassed pre-wetting fluid onto the wafer substrate to cause the removal of the wafer substrate The pre-wetting fluid of the gas has a linear shape. 如申請專利範圍第1項之設備,其中該流體入口部包括歧管,該歧管包括至少一個用以將該除氣的預濕流體輸送至該晶圓基板上的噴嘴,且其中該噴嘴位於該晶圓基板上方。 The apparatus of claim 1, wherein the fluid inlet portion comprises a manifold, the manifold comprising at least one nozzle for delivering the degassed pre-wet fluid to the wafer substrate, and wherein the nozzle is located Above the wafer substrate. 如申請專利範圍第4項之設備,其中該至少一噴嘴包括扇狀噴嘴,該扇狀噴嘴用以將該除氣的預濕流體輸送到該晶圓基板上,俾使撞擊該晶圓基 板的該除氣的預濕流體具有一線形。 The apparatus of claim 4, wherein the at least one nozzle comprises a fan nozzle for conveying the degassed pre-wetting fluid onto the wafer substrate to cause impact on the wafer base The degassed pre-wet fluid of the plate has a linear shape. 如申請專利範圍第4項之設備,其中該處理腔室包括蓋及本體,其中該蓋保持靜止,且該本體用以實質垂直地移動以使該本體接觸於該蓋而形成真空密封,且其中該噴嘴接附於該蓋。 The apparatus of claim 4, wherein the processing chamber comprises a cover and a body, wherein the cover remains stationary, and the body is configured to move substantially vertically to cause the body to contact the cover to form a vacuum seal, and wherein The nozzle is attached to the cover. 如申請專利範圍第4項之設備,其中該噴嘴用以將該除氣的預濕流體從該晶圓基板的一邊緣到該晶圓基板的實質中心的方式輸送到該晶圓基板上。 The apparatus of claim 4, wherein the nozzle is configured to transport the degassed pre-wetting fluid from an edge of the wafer substrate to a substantial center of the wafer substrate. 如申請專利範圍第1項之設備,其中該晶圓固持部用以將該晶圓基板固持為實質面朝上之定向。 The device of claim 1, wherein the wafer holding portion is configured to hold the wafer substrate in a substantially upward facing orientation. 如申請專利範圍第1項之設備,其中該除氣器包括薄膜接觸式除氣器。 The apparatus of claim 1, wherein the degasser comprises a membrane contact degasser. 如申請專利範圍第1項之設備,其中該除氣器用以產生具有約0.5ppm或更少的溶解的大氣氣體之除氣的預濕流體而用以接觸該晶圓基板。 The apparatus of claim 1, wherein the degasser is for generating a dehumidified pre-wet fluid having a dissolved atmospheric gas of about 0.5 ppm or less for contacting the wafer substrate. 如申請專利範圍第1項之設備,其中該預濕流體是協助粒子從該晶圓基板鬆脫與移除之去離子水與化學溶液其中至少一者。 The apparatus of claim 1, wherein the pre-wetting fluid is at least one of a deionized water and a chemical solution that assists in releasing and removing particles from the wafer substrate. 如申請專利範圍第1項之設備,其中該真空埠位於低於該晶圓固持部。 The apparatus of claim 1, wherein the vacuum crucible is located below the wafer holding portion. 如申請專利範圍第1項之設備,其中該處理腔室用以在形成該潤濕層於該晶圓基板上期間維持低於約50Torr的次大氣壓。 The apparatus of claim 1, wherein the processing chamber is configured to maintain a sub-atmospheric pressure of less than about 50 Torr during formation of the wetting layer on the wafer substrate. 如申請專利範圍第1項之設備,其中該程式指令更包含以下指令:在該晶圓基板上形成該潤濕層之後,停止輸送該除氣的預濕流體;及停止輸送該除氣的預濕流體之後,使該晶圓基板以第二轉速旋轉,以從該晶圓基板移除多餘表面曳出除氣的預濕流體。 The device of claim 1, wherein the program instruction further comprises: after forming the wetting layer on the wafer substrate, stopping the delivery of the degassed pre-wet fluid; and stopping the delivery of the degassing pre-process After the wet fluid, the wafer substrate is rotated at a second rotational speed to remove excess surface from the wafer substrate to remove the degassed pre-wetting fluid. 如申請專利範圍第14項之設備,其中該程式指令更包括以下指令:在停止輸送該除氣的預濕流體之後,且在移除該多餘表面曳出除氣的預濕流體之前,將該處理腔室的壓力增加至大氣壓或超過大氣壓。 The apparatus of claim 14, wherein the program instruction further comprises the following instructions: after stopping the delivery of the degassed pre-wet fluid, and before removing the excess surface to remove the degassed pre-wetting fluid, The pressure in the processing chamber is increased to or above atmospheric pressure. 如申請專利範圍第1項之設備,其中該程式指令更包括以下指令:在形成該潤濕層於該晶圓基板上之前,將該處理腔室的壓力降至該次大氣壓。 The apparatus of claim 1, wherein the program instructions further comprise the step of reducing the pressure of the processing chamber to the sub-atmospheric pressure prior to forming the wetting layer on the wafer substrate. 如申請專利範圍第1項之設備,其中該程式指令更包括以下指令:當該處理腔室的壓力降至低於約50Torr時,啟動在該晶圓基板上形成該潤濕層的步驟。 The apparatus of claim 1, wherein the program instructions further comprise the step of initiating the step of forming the wetting layer on the wafer substrate when the pressure of the processing chamber drops below about 50 Torr. 如申請專利範圍第1項之設備,其中該程式指令更包括以下指令使該晶圓基板接觸該除氣的預濕流體約10至120秒。 The apparatus of claim 1, wherein the program instructions further comprise the step of contacting the wafer substrate with the degassed pre-wetting fluid for about 10 to 120 seconds. 一種方法,包含:(a)在處理腔室中設置晶圓基板,該晶圓基板在其表面至少一部份上具有曝露金屬層;(b)將該處理腔室的壓力降至次大氣壓;(c)將預濕流體除氣;(d)旋轉該晶圓基板;及(e)在該處理腔室中於該次大氣壓下使該旋轉的晶圓基板接觸該除氣的預濕流體,以形成潤濕層於該晶圓基板上,該除氣的預濕流體以至少約每秒7公尺的速度及至少約每分鐘0.4公升的流量接觸該晶圓基板。 A method comprising: (a) disposing a wafer substrate in a processing chamber, the wafer substrate having an exposed metal layer on at least a portion of a surface thereof; (b) reducing a pressure of the processing chamber to a sub-atmospheric pressure; (c) degassing the pre-wet fluid; (d) rotating the wafer substrate; and (e) contacting the rotating wafer substrate with the degassed pre-wet fluid in the processing chamber at the sub-atmospheric pressure, To form a wetting layer on the wafer substrate, the degassed pre-wet fluid contacts the wafer substrate at a rate of at least about 7 meters per second and at a flow rate of at least about 0.4 liters per minute. 如申請專利範圍第19項之方法,更包含:施加光阻於該晶圓基板;曝露該光阻於光中;圖案化該光阻並將該圖案轉印至該晶圓基板;及 選擇性地從該工作件移除該光阻。 The method of claim 19, further comprising: applying a photoresist to the wafer substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the wafer substrate; The photoresist is selectively removed from the workpiece. 一種含有用以控制設備之程式指令的非瞬間電腦機器可讀媒體,該程式指令包括含有以下操作的程式碼:(a)在處理腔室中設置晶圓基板,該晶圓基板在其表面至少一部份上具有曝露金屬層;(b)將該處理腔室的壓力降至次大氣壓;(c)將預濕流體除氣;(d)旋轉該晶圓基板;及(e)在該處理腔室中於該次大氣壓下使該旋轉的晶圓基板接觸該除氣的預濕流體,以形成潤濕層於該晶圓基板上,該除氣的預濕流體以至少約每秒7公尺的速度及至少約每分鐘0.4公升的流量接觸該晶圓基板。 A non-transitory computer-readable medium containing program instructions for controlling a device, the program instructions including code for: (a) providing a wafer substrate in a processing chamber, the wafer substrate having at least a surface thereof a portion having an exposed metal layer; (b) reducing the pressure of the processing chamber to sub-atmospheric pressure; (c) degassing the pre-wetting fluid; (d) rotating the wafer substrate; and (e) processing the wafer The rotating wafer substrate contacts the degassed pre-wet fluid at the sub-atmospheric pressure to form a wetting layer on the wafer substrate, the degassed pre-wetting fluid being at least about 7 sec per second. The speed of the ruler and the flow rate of at least about 0.4 liters per minute contact the wafer substrate. 一種包含申請專利範圍第1項之設備與一步進機的系統。 A system comprising a device of claim 1 and a stepper.
TW102108847A 2012-03-13 2013-03-13 Methods and apparatus for wetting pretreatment for through resist metal plating TWI591213B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261610316P 2012-03-13 2012-03-13
US13/775,987 US9455139B2 (en) 2009-06-17 2013-02-25 Methods and apparatus for wetting pretreatment for through resist metal plating

Publications (2)

Publication Number Publication Date
TW201404945A true TW201404945A (en) 2014-02-01
TWI591213B TWI591213B (en) 2017-07-11

Family

ID=49131592

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102108847A TWI591213B (en) 2012-03-13 2013-03-13 Methods and apparatus for wetting pretreatment for through resist metal plating

Country Status (3)

Country Link
KR (1) KR102113883B1 (en)
CN (1) CN103305886B (en)
TW (1) TWI591213B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749136B (en) * 2016-12-27 2021-12-11 美商應用材料股份有限公司 Methods for wetting substrates
TWI770865B (en) * 2021-01-13 2022-07-11 台灣積體電路製造股份有限公司 Semiconductor apparatus and method of processing semiconductor workpiece

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100320081A1 (en) 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9435049B2 (en) * 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9321635B2 (en) * 2013-11-28 2016-04-26 Solid State System Co., Ltd. Method to release diaphragm in MEMS device
CN105529282B (en) * 2014-09-29 2020-03-31 盛美半导体设备(上海)股份有限公司 Semiconductor pre-wetting device and method
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
KR101895912B1 (en) 2015-09-25 2018-09-07 삼성에스디아이 주식회사 Method for manufacturing silica layer, silica layer, and electronic device
GB2574177B (en) 2018-01-25 2021-07-14 Semsysco Gmbh Method and device for plating a recess in a substrate
CN108570701B (en) * 2018-07-03 2024-02-23 华进半导体封装先导技术研发中心有限公司 Electroplating wetting device
EP3885474A1 (en) * 2020-03-25 2021-09-29 Semsysco GmbH Method for a chemical and/or electrolytic surface treatment of a substrate in a process station
TWI771922B (en) * 2020-09-11 2022-07-21 日商鎧俠股份有限公司 Manufacturing method of semiconductor device and substrate processing apparatus
CN115261944B (en) * 2022-09-27 2023-02-21 晟盈半导体设备(江苏)有限公司 Scanning type pre-wetting system and pre-wetting method for wafer

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE467976B (en) * 1991-02-20 1992-10-12 Dcm Innovation Ab DEVICE FOR ELECTRICAL PLATING, IN THE MANUFACTURE OF MATRISTS FOR THE MANUFACTURE OF EX EX CDS AND PROCEDURES FOR THE MANUFACTURE OF MATRICES BY THE DEVICE
KR100586481B1 (en) * 1997-09-02 2006-11-30 가부시키가이샤 에바라 세이사꾸쇼 How to Plate the Substrate
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
KR100824910B1 (en) * 2001-02-07 2008-04-23 엔테그리스, 아이엔씨. Process for Degassing an Aqueous Plating Solution
US6753250B1 (en) * 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
JP2006004955A (en) * 2003-05-30 2006-01-05 Ebara Corp Substrate processing apparatus and substrate processing method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749136B (en) * 2016-12-27 2021-12-11 美商應用材料股份有限公司 Methods for wetting substrates
TWI770865B (en) * 2021-01-13 2022-07-11 台灣積體電路製造股份有限公司 Semiconductor apparatus and method of processing semiconductor workpiece
US11585005B2 (en) 2021-01-13 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for wafer pre-wetting

Also Published As

Publication number Publication date
KR20130105465A (en) 2013-09-25
CN103305886A (en) 2013-09-18
TWI591213B (en) 2017-07-11
KR102113883B1 (en) 2020-05-22
CN103305886B (en) 2017-06-30

Similar Documents

Publication Publication Date Title
TWI591213B (en) Methods and apparatus for wetting pretreatment for through resist metal plating
US10301738B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
US10840101B2 (en) Wetting pretreatment for enhanced damascene metal filling
JP6397620B2 (en) Electroplating method and apparatus
US10128102B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) Electrofill vacuum plating cell
US9899230B2 (en) Apparatus for advanced packaging applications
JP3308333B2 (en) Electroplating apparatus and electrolytic plating method
US7374646B2 (en) Electrolytic processing apparatus and substrate processing method
JP2003171791A (en) Automatic plating method, and apparatus thereof
KR101265416B1 (en) Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling
KR20240077098A (en) Apparatus for processing substrate and method for processing substrate
JP2024055115A (en) Substrate Processing Equipment