TW201239514A - Method and system for forming high accuracy patterns using charged particle beam lithography - Google Patents

Method and system for forming high accuracy patterns using charged particle beam lithography Download PDF

Info

Publication number
TW201239514A
TW201239514A TW100136720A TW100136720A TW201239514A TW 201239514 A TW201239514 A TW 201239514A TW 100136720 A TW100136720 A TW 100136720A TW 100136720 A TW100136720 A TW 100136720A TW 201239514 A TW201239514 A TW 201239514A
Authority
TW
Taiwan
Prior art keywords
pattern
shots
shot
charged particle
particle beam
Prior art date
Application number
TW100136720A
Other languages
Chinese (zh)
Other versions
TWI546614B (en
Inventor
Akira Fujimura
Kazuyuki Hagiwara
Stephen F Meier
Ingo Bork
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/168,953 external-priority patent/US8703389B2/en
Priority claimed from US13/168,954 external-priority patent/US8473875B2/en
Application filed by D2S Inc filed Critical D2S Inc
Publication of TW201239514A publication Critical patent/TW201239514A/en
Application granted granted Critical
Publication of TWI546614B publication Critical patent/TWI546614B/en

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electron Beam Exposure (AREA)

Abstract

A method and system for fracturing or mask data preparation for charged particle beam lithography are disclosed in which accuracy and/or edge slope of a pattern formed on a surface by a set of charged particle beam shots is enhanced by use of partially-overlapping shots. In some embodiments, dosages of the shots may vary with respect to each other before proximity effect correction. Particle beam simulation may be used to calculate the pattern and the edge slope. Enhanced edge slope can improve critical dimension (CD) variation and line-edge roughness (LER) of the pattern produced on the surface.

Description

201239514 六、發明說明: 【發明所屬之技彳标領域】 相關申請案 本申請案係:1)對於2010年10月13日提申名為“使用曲 線圖案之用於積體電路製造及罩幕資料製備的方法,,的美 國臨時專利巾請案N。· 61/39W主張優先權;及2)有關於 2 011年6月2 5曰提申之藤村(fuj i m u r a)的名為“用於以荷電粒 子束微影術形成圖案之方法及系統,,之美國專利申請案No_ 13/168,953 ;兩案對於所有用途被合併於本文中以供參考。 I[先前技術j 揭示背景 本揭示係有關於微影術,且更特別有關於使用荷電粒 子束微影術以設計及製造一可能身為標線片、晶圓或任何 其他表面之表面的技術。 在諸如積體電路等半導體裝置的生產及製造中,可使 用光學微影術製作半導體装置。光學微影術是一種列印製 程,其中使用從一標線片製造的一微影罩幕或光罩將圖案 轉移至一諸如半導體或石夕晶圓等基材以生成積體電路 (ic)。其他基材可包括平板顯示器、全像罩幕、或甚至其他 標線片。雖然習見光學微影術使用一具有193nm波長的光 源’極紫外線(EUV)或X射線微影術亦被認為是光學微影術 的類型。標線片或多重的標線片係可含有對應於積體電路 的一個別層之一電路圖案,且此圖案可被成像至已塗覆有 一層稱為光阻或阻劑的輻射敏性材料之基材上的一特定區 3 201239514 二 旦圖案狀層破轉移’該層可經歷不同其他製程, ^敍玄J離子植入(摻雜)、金屬化、氧化、及抛光。採用 =些製程以修製基材十的―個別層。若需要數層,則將對 _ 4層倾1個|^或其變異。最終,倍數個裝置或積 路的、·且。將出現於基材上。這些積體電路隨後可藉 刀或绳。丨而錢分離,且然後可安裝至個別封裝體 更:k案例中,可使用基材上的圖案以界定諸如顯示 素、全像圖、或磁性記錄頭等製品。 在諸如積體電路等半導體裝置的生產或製造中,可使 /光干方法將-微影罩幕上的_圖轉移至—諸如石夕晶 等基材。奈米壓印微影術(NIL)係為—種非光學微影術製 :的範例。奈米壓印微影術中,一微影草幕圖案係經由微 〜術罩幕與表面的接觸而被轉移至-表面。 在諸如積體電路等半導體裝置的生產或製造中,亦可 ^用無罩幕直接寫人以製作半導體元件。無罩幕直接寫入 糸為-種利用荷電粒子束微影術將圖案轉移至諸如半導體 =矽晶ϊ等基㈣生成積體電路”程。其他基材係 包括平板顯示器、用於奈米壓印的壓印罩幕、或甚至標 =…層的所期望圖案係被直接地寫入於在此例中亦身 二材之表面上…旦圖案狀層被轉移,該層可經歷各種 问的其他製程,諸如關、離子以(_)、金屬化 化、及拋光。 這些製程仙來修製基材中的1別層。若需要數 則將射於各新層重覆整個製程或其變異。部分的層可 4 201239514 利用光學微影術被寫入,而其他層則可利用用來製作相同 基材的無罩幕直接寫入被寫入。並且,一給定層的部分圖 案可利用光學微影術被寫入’而其他圖案利用無罩幕直接 寫入被寫入。最終,倍數個裝置或積體電路的一組合將出 現於基材上。這些積體電路隨後藉由切分或鋸割而彼此分 離,且隨後安裝至個別封裝體内。更一般案例中,可使用 表面上的圖案以界定諸如顯示像素、全像圖、或磁性記錄 頭等製品。 兩種常見類型的荷電粒子束微影術係為可變定形束 (VSB)及字元投射(CP)。其皆為定形束荷電粒子束微影術的 此範疇,其中一精密電子束被定形及導向藉以曝露一阻劑 塗覆式表面,諸如一晶圓的表面或一標線片的表面。VSB 中’這些形狀是簡單形狀,通常侷限於具特定最小值及最 小值尺寸且側邊平行於一笛卡爾(Cartesian)座標平面的軸 線(亦即具有“曼哈頓(manhattan)”定向)之矩形,及具特定最 小值及最大值尺寸之45度直角三角形(亦即三個内角是45 度、45度及90度的二角形)。在預定區位,電子的劑量係以 這些簡單形狀被射擊至阻劑内。對於此型系統的總寫入時 間係隨著射擊數而增加。字元投射(CP)中,系統中係具有 一其中含有多種不同開孔或字元之模板,該等開孔或字元 可能係為複雜形狀諸如直線狀、任意角度狀線性、圓形、 接近圓形、環狀、接近環狀、卵形、接近卵形、部份圓形、 部份接近圓形、部份環狀、部份接近環狀、部份接近卵形、 或任意曲線形狀,並可能係為一連接組的複雜形狀或者一 201239514 群組之脫離組的一連接組的複雜形狀。一電子束可被射擊 經過模板上的一字元以有效率地產生較複雜的圖案於標線 片上。理論上,此系統因為可以各耗時射擊來射擊較複雜 的形狀,故其可比VSB系統更快。因此,一E形圖案射擊藉 由一VSB系統係耗費四射擊’但相同的e形圖案可利用一字 元投射系統以一射擊被射擊。請注意:VSB系統可想成是 字元投射的一特殊(簡單)案例,其中字元只是簡單字元,通 常是矩形或45-45-90度三角形。亦可能部份地曝光一字元。 可例如藉由阻絕粒子束的部份達成此作用。譬如,上述E 形圖案可被部份地曝光作為一F形圖案或一〗形圖案,其中 藉由一開孔來切除束的不同部份。這係為可如何利用VSB 來射擊不同尺寸的矩形之相同機制。此揭示中利用部份投 射代表字元投射及VSB投射兩者。 如描述,光學微影術中,微影罩幕或標線片係包含與 將被積造於一基材上的電路組件呈現對應之幾何圖案。用 來製造標線片之圖案係可利用電腦輔助式設計(Cad)軟體 或程式所產生。設計圖案時,CAD程式可遵照一組預定設 計規則藉以生成標線片。這些規則係由處理、設計及終端 使用限制所設定。一終端使用限制的範例係為以一種無法 在所需要供應電壓充分地操作之方式來界定一電晶體的幾 何結構。特別來說,設計規則可界定電路裝置或互連線之 間的空間公差。設計規則譬如係用來確保電路裝置或線不 會以不良方式彼此交互作用。譬如,設計規職用來使得 線不會以一種可能造成短路的方式太過靠近彼此。設計規 6 201239514 則限,係反映了可被可靠地製作之最小維度,及其他項 提到這些小維度時,通㈣引進1界維度的概念。 其例如被定義成一線的最小寬度或兩線之間的最小空間, 這些維度需作精細控制。 利用光學微影術之積體電路製作中的一目標係在於: 利用標線片在基材上重製原始的電路設計。積體電路製作 者總是試®盡量有效率關料導體晶圓房地結構。工程 師不斷縮小電路尺寸以容許積體電路含有更多電路元件且 使用較小功率。隨著—_電㈣界維度的尺寸減小且其 電路密度增高’電路圖案或物理設計_界維度係趨近於 習見光學微影術中所使㈣光學曝光卫具之解析度極限。 隨著電路圖案的臨界維度變得更小且趨近於曝光工具的解 析度數值’物理設計變得_精確地轉錄纽•上所顯 影之實際電路圖案。為了進一步使用光學微影術來轉移且 有比光學微影術製程中所用光波長更小的特徵構造之圖 案,已經發展出一種稱為光學鄰近續正(OPC)的製程。〇pc 係更改物理設相補償諸如雜構造與_舰構造的光 學繞射及光學交互作料效應料奴扭φ。OPC係包括 以一標線片進行的所有解析度增強技術。 OPC可對於罩幕圖案添加次解析度微f彡特徵構造,以 降低原始設計圖案亦即該設計、與基材上之最終經轉移的 電路圖案之間的差異。次解析度微影特徵構造係與物理設 計中的原始圖案且與彼此作交互作用,並補償鄰近效應: 改良最終經轉移的電路圖案…用來改良圖案轉移之躲 201239514 構造係為次解析度輔助特徵構造(SRAF)。另一被添加用來 改良圖案轉移之特徵構造係稱為“襯線(serifs),,。襯線是可 被定位於一圖案的一角落上以在最終經轉移影像中銳化該 角落之小特徵構造。對於SRAF之表面製造製程所要求的精 密度係時常小於常稱為主特徵構造之對於預定列印在基材 上的圖案者。襯線是一主特徵構造的一部份。隨著光學微 影術的極限延伸遠至次波長方案中,OPC特徵構造必須製 成愈來愈複雜,藉以補償益加更細微的交互作用及效應。 隨著成像系統被推動更靠近其極限,產生具有充分細微 OPC特徵構造之標線片的能力係變得重要。雖然添加襯線 或其他OPC特徵構造至一罩幕圖案是有利的,其亦顯著地 增加罩幕圖案中的總特徵構造數目。譬如,利用習見技術 將一襯線添加至一正方形的各角落,係對於一罩幕或標線 片圖案添加另外八個矩形。添加OPC特徵構造係為一種报 費力的任務,需要高成本的運算時間,且導致較昂貴的標 線片。不只OPC圖案呈現複雜,並因為相較於最小值線及 空間維度而言光學鄰近效應係為長程,一給定區位中之正 確OPC圖案係顯著地依據附近具有何者其他幾何結構而 定。因此,例如’ 一線端將具有不同尺寸襯線,依據何者 在標線片上與其接近而定。即便目的有可能是在晶圓上產 生確切相同的形狀,亦然如此。這些輕微但臨界的變異係 為重要,並已經防止其他者形成梯線片圖案。習慣就主特 徵構造一亦即OPC裝飾之前反映該設計的特徵構造、及 OPC特徵構造’其中0PC特徵構造有可能包括襯線,凹凸 201239514 部(j〇gs)、及SRAF,來討論將被寫入至一標線片上之〇I>(: 裝飾式圖案。為了量化輕微變異的代表意義,在〇PC敦錦 中相對於附近之一典型輕微變異係可有能為一主特徵構造 尺寸的5%至80%。請注意為了清楚起見,0PC設計中的變 異係為所參照的變異。諸如線邊緣粗度及角落圓化等製造 變異將亦出現於實際表面圖案中。當這些OPC變異產生實 質相同圖案於晶圓上時,表示晶圓上的幾何結構目標係為 在一指定誤差内呈現相同,其依據幾何結構被設計進行的 功能細節而定,譬如一電晶體或一導線。然而,典型規格 係位於一主特徵構造範圍的2%至50%中。有許多製造因素 亦會造成變異,但整體誤差的0PC組份時常位於所列範圍 中。諸如次解析度輔助特徵構造等0PC形狀係接受各種不 同設計規則,諸如一以可利用光學微影數被轉移晶圓之最 小特徵構造的尺寸為基礎之規則。其他設計規則係可來自 於罩幕製造製程,或如果使用一字元投射荷電粒子束寫入 系統以形成圖案於一標線片上,則來自於標線片製造製 程。亦應注意:罩幕上之SRAF特徵構造的精度要求係可能 低於罩幕上之對於主特徵構造的精度要求。隨著製程節點 不斷縮小,光罩上之最小SRAF的尺寸亦縮小。例如,在2〇nm 邏輯製程節點,罩幕上對於最高精密度層需要40nm至6〇nm SRAF。 倒反微影術技術(ILT)係為一型OPC技術。ILT是一種直 接從一欲形成於一諸如矽晶圓等基材上的圖案來運算一將 被形成於一標線片上的圖案之製程。這可包括使用基材上 201239514 的所期望圖案作為輸人’反方向模擬光學微影術製程。ILT 運算式標線片圖案可能是純粹曲線形—亦即完全非直線 形’並可包括圓形、接近圓形、環狀、接近環狀、卵形及/ 或接近㈣圖案。由於曲線形圖案利用習見技術將難以形 成於-標線片上且昂貴’可使用曲線形圖案的直線形逼 近。此揭示中’ ILT、OPC、源罩幕最適化(SM〇)、及運算 微影術係為可互換的用語。 E UV光學微影術具有遠比習見光學微影數更高的解析 度。EUV的很高解析度係顯著地降低〇pc處理之需求,導 致對於EUV比起193mn光學微影術更低的罩幕複雜度。然 而,因為EUV的很高解析度,一光罩中的瑕疯、諸如過度 線邊緣粗度(LER)將被轉移至晶圓。因此,對於euv罩幕之 精度要求係比習見光學微影術更高。此外,即便EUV罩幕 形狀不因為添加習見193nm微影術所需要的複雜sraF或襯 線而變得繁複,EUV罩幕形狀係由於添加EUv製造獨特具 有的一些複雜度而變得繁複。對於EUV微影術將圖案寫入 至罩幕上而言,特別相干的係為諸如電子等荷電粒子的中 程散射,其可能影響約2μηι的一半徑。此中程散射引進了 罩幕資料製備之一新考慮因素,原因是來自鄰近圖案的影 響首次對於一特定圖案將投擲於罩幕表面上的形狀具有顯 著衝擊。先前,配合使用習見193nm微影術之罩幕作曝光 時,短程散射僅受被寫入圖案所影響,且長程散射具有一 夠大範圍使得一圖案的尺寸而非其詳細形狀受到影響,故 可以只利用劑量調變作矯正。此外,由於晶圓的EUV處理 10 201239514 較為昂貴,欲降低或消除多重圖案化。多重圖案化係使用 於習見光學微影術中以容許小特徵構造之曝光,其中利用 各含有該層圖案-部分之多重罩幕將用於晶圓處理的一層 之圖案予以曝光。降低或消除多重曝光係需使單一罩幕含 有較精細圖案。譬如,可藉由首先描畫一長線、然後利用 習見微影術中的一第二罩幕將該線切成線分段,藉以將一 系列的共線性線分段予以雙重圖案化。諸如對於euv微影 術,利用單一罩幕所寫入的相同層將需要—含有許多較小 .線分段之罩幕。由於需要在單一罩幕上寫入更大量的較細 微圖案,各圖案需更加精確,故對於Euv罩幕精密度的需 求係增高。 具有數種用來形成圖案於一標線片上之技術,包括使 - 用光學微影術或荷電粒子束微影術。最常用的系統是可變 定形束(VSB) ’其中如同上述以具有諸如曼哈頓矩形 (manhattan rectangle)及45度直角三角形等簡單形狀之電子 的劑量將一阻劑塗覆式標線片表面予以曝光。習見的罩幕 寫入中,電子劑量或射擊係習慣設計成盡可能避免重疊, 藉以大幅簡化標線片上的阻劑將如何對齊圖案之計算。類 似地,射擊組係設計成完全地覆蓋將被形成於標線片上之 圖案區域。 對於最先進技術節點的標線片寫入一般係涉及荷電粒 子束寫入之多重通行,亦即一種稱為多通曝光的製程,其 中因此使得標線片上的給定形狀被寫入及覆寫。一般而 言,利用二到四通行來寫入一標線片以將荷電粒子束寫入 11 201239514 器中的精密度誤差料平均,而容許生成較精確的光罩。 並且-般而言’該清單的射擊—包括劑量—對於每通行皆 相同。多通曝光的-變異中’該清單的射擊可 二 行之間改變’但任一曝光中之射擊的聯合體則覆蓋相同的 區域。多通寫入可降低塗覆於表面的阻劑之過熱。多通寫 入亦將荷電粒子束寫入器的隨機誤差予以平均。對於不同 曝光通行採用不同射擊清單之多通寫人係亦會降低寫入^ 程中特定系統性誤差的效應。 現今的光學微影術寫入機具-般係在光學微影製程期 間以四倍因數降低光罩圖案。因此,形成於—標線片或罩 幕上之圖案係必須比基材或晶圓上所期望圖案的尺寸更大 四倍。 採用習見技術之現今技術荷電粒子束寫入器可解析小 到刚⑽的特徵構造。然而,對於小於刚帅的特徵構造, 習見寫入技術可能無法精確地解析特徵構造。此外,製造 變異可能產生科接受的LER及臨界維度㈣變異。對於 其中OPC可能產生具有小於刚nm罩幕維度的隨之習見 光學微影術、並對於其中主罩幕圖时能小於⑽nm且罩幕 規格可能比習見光學微影術収㈣幕更為嚴謹之微 影術’這可能皆是一項問題。 C發明内容3 揭示概要 揭露-用於斷裂或遮罩資料製備以供荷電粒子束微影 術用的方法及“,其中利料份重疊射擊以增強藉由— 12 201239514 組荷電粒子束射擊而形成於一表面上之一圖案的精度及/ 或劑量邊際值。部分實施例中,射擊的劑量可在鄰近效應 矯正之前相對於彼此改變。可使用粒子束模擬以計算圖案 及劑量邊際值。經增強的劑量邊際值係可改良該表面上所 產生的圖案之臨界維度(CD)變異及線邊緣粗度(LER)。 圖式簡單說明 第1圖顯示一字元投射荷電粒子束系統的一範例; 第2A圖顯示一橫剖面劑量圖形的一範例,其描繪對於 兩阻劑臨閾值各者之經對齊圖案寬度; 第2B圖顯示一橫剖面劑量圖形的一範例,其類似於第 2A圖、但具有比第2A圖更高的一劑量邊緣斜率; ' 第3A圖顯示將被形成於一標線片上之一所期望的 - 1 OOnm線端圖案之一範例; 第3B圖顯示利用習見技術使第3A圖的圖案斷裂而產 生之射擊所形成的一經概擬圖案之一範例; 第4A圖顯示將被形成於一標線片上之一所期望的 80nm線端圖案之一範例; 第4B圖顯示利用習見技術使第4A圖的圖案斷裂而產 生之射擊所形成的一經模擬圖案之一範例; 第5A圖顯示將被形成於一標線片上之一所期望的 60nm線端圖案之一範例; 第5B圖顯示利用習見技術使第5A圖的圖案斷裂而產 生之射擊所形成的一經模擬圖案之一範例; 第6圖顯示可用來形成一 80nm線端圖案之群組的射擊 13 201239514 之不同範例; 第7圖顯示由第6圖的各不同射擊群組所形成之經模擬 圖案; 第8A圖顯示將被形成於一表面上之一群組的矩形圖案 之一範例; 第8B圖顯示在中程散射出現下,可如何利用習見非重 疊VSB射擊被形成於一表面上之第8A圖的圖案之一範例; 第9A圖顯示可用來形成第8A圖的圖案於一表面上之 一組重疊的VSB射擊之一範例; 第9B圖顯示可從第9A圖的射擊被形成於一表面上之 一圖案的一範例; 第10圖顯示如何製備一表面、諸如一標線片之概念流 程圖,以供利用光學微影術用來製作一諸如積體電路等基 材於一石夕晶圓上; 第11A圖顯示一在相同設計中合併以模型為基礎及習 見斷裂之方法的概念流程圖; 第11B圖顯示另一在相同設計中合併以模型為基礎及 習見斷裂之方法的概念流程圖。 I:實施方式3 實施例詳細描述 本揭示係描述一利用重疊射擊以增強荷電粒子束曝光 的精度之方法。本發明係增強荷電粒子束系統於一標線片 上精確地產生小於100nm圖案一其具有可接受之鑒於製造 變益所致的CD變異及LER —之能力。此外,本發明係擴大201239514 VI. Description of the invention: [Technical target field of invention] Related application This application is: 1) For the October 13, 2010, the name "Use of curve pattern for integrated circuit manufacturing and masking" The method of data preparation, the US temporary patent towel request N.· 61/39W claims priority; and 2) about June 2, 2,011, the name of the fuji imura (fuj imura) A method and system for forming a pattern by charged particle beam lithography, U.S. Patent Application Serial No. 13/168,953, the entire disclosure of which is incorporated herein by reference. I [Prior Art j. Background] The present disclosure relates to lithography, and more particularly to the use of charged particle beam lithography to design and fabricate a surface that may be the surface of a reticle, wafer or any other surface. . In the production and manufacture of semiconductor devices such as integrated circuits, semiconductor devices can be fabricated using optical lithography. Optical lithography is a printing process in which a lithographic mask or reticle made from a reticle is used to transfer a pattern to a substrate such as a semiconductor or a stone wafer to form an integrated circuit (ic). . Other substrates may include flat panel displays, full-image masks, or even other reticle. Although optical lithography uses a light source having a wavelength of 193 nm, extreme ultraviolet (EUV) or X-ray lithography is also considered to be a type of optical lithography. The reticle or multiple reticle may contain a circuit pattern corresponding to one of the layers of the integrated circuit, and the pattern may be imaged to a radiation sensitive material that has been coated with a layer called a photoresist or a resist. A specific region on the substrate 3 201239514 The second patterned layer breaks through the 'layer can undergo different processes, ^ Xuan J ion implantation (doping), metallization, oxidation, and polishing. Use some of the processes to repair the individual layers of the substrate ten. If several layers are required, the _ 4 layer will be tilted by 1^^ or its variation. In the end, multiple devices or integrated circuits are included. Will appear on the substrate. These integrated circuits can then be borrowed from a knife or rope. The money is separated and can then be mounted to individual packages. More: In the case of k, a pattern on a substrate can be used to define an article such as a display, an hologram, or a magnetic recording head. In the production or manufacture of a semiconductor device such as an integrated circuit, the photo-drying method can transfer the image on the lithographic mask to a substrate such as Shi Xijing. Nanoimprint lithography (NIL) is an example of non-optical lithography. In nanoimprint lithography, a lithographic straw pattern is transferred to the surface by contact of the micro-mask with the surface. In the production or manufacture of a semiconductor device such as an integrated circuit, it is also possible to directly write a person without using a mask to fabricate a semiconductor element. Directly writing without a mask is a kind of process using a charged particle beam lithography to transfer a pattern to a base circuit such as a semiconductor = silicon germanium (4). Other substrates include a flat panel display for nano pressure. The desired pattern of the imprinted mask, or even the layer of the mark, is directly written on the surface of the two materials in this example... the patterned layer is transferred, and the layer can be subjected to various questions. Other processes, such as off, ion (_), metallization, and polishing. These processes are used to repair one layer of the substrate. If necessary, the new layer will be repeated for the entire process or its variation. Part of the layer 4 201239514 is written using optical lithography, while other layers can be written directly using the maskless screen used to make the same substrate. Also, a partial pattern of a given layer can be used. Optical lithography is written ' while other patterns are written directly using a maskless write. Finally, a combination of multiple devices or integrated circuits will appear on the substrate. These integrated circuits are then sliced. Or sawing and separating from each other, and then installed to individual seals In the more general case, the pattern on the surface can be used to define articles such as display pixels, holograms, or magnetic recording heads. Two common types of charged particle beam lithography are variable shaped beams (VSB). And character projection (CP), which are all areas of shaped beam-charged particle beam lithography, in which a precision electron beam is shaped and directed to expose a resist-coated surface, such as the surface of a wafer or The surface of a reticle. In VSB, 'these shapes are simple shapes, usually limited to axes with specific minimum and minimum dimensions and sides parallel to a Cartesian coordinate plane (ie, with "manhattan" a rectangle of orientation and a 45-degree right-angled triangle with a specific minimum and maximum size (ie, three internal angles are 45, 45, and 90 degrees). At a predetermined location, the dose of electrons is These simple shapes are shot into the resist. The total write time for this type of system increases with the number of shots. In character projection (CP), the system has a variety of different openings or characters. Template, the openings or characters may be complex shapes such as linear, arbitrarily angular, circular, nearly circular, circular, nearly annular, oval, nearly oval, partially circular, partial The part is nearly circular, partially annular, partially close to a ring, partially close to an oval, or any curved shape, and may be a complex shape of a connected group or a connected group of a group of 201239514 groups. Complex shape. An electron beam can be shot through a character on the template to efficiently produce a more complex pattern on the reticle. In theory, this system can shoot more complex shapes because of time-consuming shooting. It can be faster than the VSB system. Therefore, an E-shaped pattern shot consumes four shots by a VSB system, but the same e-pattern can be shot with one shot using a character projection system. Please note that the VSB system can be thought of as a special (simple) case of character projection, where the characters are simply characters, usually rectangular or 45-45-90 degree triangles. It is also possible to partially expose a character. This effect can be achieved, for example, by blocking a portion of the particle beam. For example, the E-shaped pattern may be partially exposed as an F-shaped pattern or a shaped pattern in which different portions of the bundle are cut by an opening. This is the same mechanism for how to use VSB to shoot rectangles of different sizes. Partial projection in this disclosure represents both character casting and VSB projection. As described, in optical lithography, a lithographic mask or reticle includes a geometric pattern corresponding to a circuit component to be fabricated on a substrate. The pattern used to make the reticle can be generated using a computer-aided design (Cad) software or program. When designing a pattern, the CAD program can generate a reticle by following a predetermined set of design rules. These rules are set by processing, design, and end-use restrictions. An example of a terminal usage limitation is to define the geometry of a transistor in a manner that does not adequately operate at the required supply voltage. In particular, design rules can define spatial tolerances between circuit devices or interconnects. Design rules are used, for example, to ensure that circuit devices or lines do not interact with each other in a bad manner. For example, design disciplines are used to keep lines from being too close to each other in a way that could cause a short circuit. Design Rule 6 201239514 Limits reflect the smallest dimension that can be reliably produced, and other items. When referring to these small dimensions, the concept of introducing the 1 dimension is adopted. It is for example defined as the minimum width of a line or the minimum space between two lines, which are subject to fine control. One of the goals in the fabrication of integrated circuits using optical lithography is to: Reproduce the original circuit design on the substrate using reticle. Integral circuit makers always try to efficiently charge the conductor wafer floor structure. Engineers continue to shrink the circuit size to allow the integrated circuit to contain more circuit components and use less power. As the size of the - (electrical) boundary dimension decreases and its circuit density increases, the circuit pattern or physical design_boundary dimension approaches the resolution limit of optical exposure fixtures. As the critical dimension of the circuit pattern becomes smaller and approaches the resolution value of the exposure tool, the physical design becomes _ accurately transcribed on the actual circuit pattern developed on the button. In order to further use optical lithography to transfer patterns with features that are smaller than the wavelength of light used in optical lithography processes, a process known as optical proximity renewal (OPC) has been developed. The 〇pc system changes the physical phase compensation to compensate for optical diffraction and optical interaction effects such as hybrid structures and _ship structures. The OPC system includes all resolution enhancement techniques performed with a reticle. The OPC can add a sub-resolution microfeature feature to the mask pattern to reduce the difference between the original design pattern, i.e., the design, and the resulting transferred circuit pattern on the substrate. The sub-resolution lithography features the original pattern in the physical design and interacts with each other and compensates for the proximity effect: Improving the final transferred circuit pattern... used to improve the pattern transfer hiding 201239514 Feature Construction (SRAF). Another feature structure added to improve pattern transfer is called "serifs". The serif can be positioned on a corner of a pattern to sharpen the corner in the final transferred image. Characteristic construction. The precision required for the SRAF surface fabrication process is often less than what is commonly referred to as the main feature construction for a pattern that is intended to be printed on a substrate. The serif is part of a main feature structure. The extreme extension of optical lithography is far beyond the sub-wavelength scheme, and OPC features must be made more complex to compensate for the more subtle interactions and effects. As the imaging system is pushed closer to its limits, it produces The ability to adequately scribe the reticle of the OPC features becomes important. While it is advantageous to add serifs or other OPC features to a mask pattern, it also significantly increases the total number of feature configurations in the mask pattern. Adding a serif to each corner of a square using the technique of seeing, adding another eight rectangles to a mask or reticle pattern. Adding an OPC feature structure is a kind of report The task of force requires high cost of computing time and leads to more expensive reticle. Not only is the OPC pattern presented complex, but because the optical proximity effect is long range compared to the minimum line and spatial dimensions, a given location The correct OPC pattern is significantly different depending on which geometry is nearby. Therefore, for example, 'one line end will have different size serifs, depending on which one is close to the reticle. Even if the purpose is likely to be on the wafer The same is true for the same shape, and these slight but critical variations are important and have prevented others from forming the ladder pattern. It is customary to reflect the feature structure of the design before the main feature structure, ie the OPC decoration, And OPC feature construction 'where the 0PC feature structure may include serifs, bumps 201239514 (j〇gs), and SRAF, to discuss the 〇I> (: decorative pattern) to be written onto a reticle. The representative meaning of slight variation may be 5% to 80% of the size of a major feature in the 敦PC Dunjin relative to a typical slight variation in the vicinity. Note that for the sake of clarity, the variations in the 0PC design are the variations referred to. Manufacturing variations such as line edge thickness and corner rounding will also occur in the actual surface pattern. When these OPC variations produce substantially the same pattern on the wafer Above, it indicates that the geometrical target on the wafer is the same within a specified error, depending on the functional details that the geometry is designed to perform, such as a transistor or a wire. However, typical specifications are located in a main The characteristic construction range is from 2% to 50%. There are many manufacturing factors that cause variation, but the 0PC component of the overall error is often in the range listed. For example, the sub-resolution auxiliary feature structure and other 0PC shapes accept various design rules. Such as a rule based on the size of the smallest feature that can be transferred using the optical lithography number. Other design rules may be from the mask manufacturing process, or from a reticle fabrication process if a character projected charged particle beam writing system is used to form a pattern onto a reticle. It should also be noted that the accuracy requirements of the SRAF feature construction on the mask may be lower than the accuracy requirements for the main feature configuration on the mask. As the process nodes continue to shrink, the size of the smallest SRAF on the mask is also reduced. For example, at a 2〇nm logic process node, a 40nm to 6〇nm SRAF is required on the mask for the highest precision layer. Inverse lithography (ILT) is a type of OPC technology. The ILT is a process for directly calculating a pattern to be formed on a reticle from a pattern to be formed on a substrate such as a germanium wafer. This may include using the desired pattern of 201239514 on the substrate as the input 'reverse' analog optical lithography process. The ILT operational reticle pattern may be purely curved - that is, completely non-linear - and may include circular, nearly circular, annular, nearly annular, oval, and/or near (four) patterns. Since the curved pattern is difficult to form on the - reticle using the conventional technique, it is expensive to use a linear approximation of the curved pattern. In this disclosure, 'ITT, OPC, source mask optimization (SM〇), and computational lithography are interchangeable terms. E UV optical lithography has a much higher resolution than the optical lithography. The very high resolution of EUV significantly reduces the need for 〇pc processing, resulting in lower mask complexity for EUV than 193mn optical lithography. However, because of the high resolution of the EUV, madness in a mask, such as excessive line edge thickness (LER), is transferred to the wafer. Therefore, the accuracy requirements for the euv mask are higher than those of the optical lithography. In addition, even though the shape of the EUV mask is not complicated by the addition of the complex sraF or serif required for 193nm lithography, the EUV mask shape is complicated by the complexity of adding EUv manufacturing. For EUV lithography to write a pattern onto the mask, a particularly coherent system is the intermediate scattering of charged particles such as electrons, which may affect a radius of about 2 μηι. This mid-range scattering introduces a new consideration in the preparation of mask data because the effects from adjacent patterns are the first to have a significant impact on the shape that a particular pattern will throw onto the surface of the mask. Previously, when using the mask of 193nm lithography for exposure, short-range scattering is only affected by the written pattern, and the long-range scattering has a large enough range that the size of a pattern rather than its detailed shape is affected. Only use dose modulation for correction. In addition, since the EUV processing of the wafer 10 201239514 is relatively expensive, it is desirable to reduce or eliminate multiple patterning. Multiple patterning is used in optical lithography to allow for exposure of small feature structures, where a pattern of one layer for wafer processing is exposed using multiple masks containing portions of the pattern. Reducing or eliminating multiple exposure systems requires a single mask to have a finer pattern. For example, a series of collinear line segments can be double patterned by first drawing a long line and then using a second mask in the lithography process to cut the line into line segments. For example, for euv lithography, the same layer written with a single mask would be required—with many smaller, line segmented masks. Due to the need to write a larger number of finer patterns on a single mask, the patterns need to be more precise, so the need for Euv mask precision is increased. There are several techniques for forming a pattern on a reticle, including using optical lithography or charged particle beam lithography. The most commonly used system is a variable shaped beam (VSB) 'where the surface of a resist coated reticle is exposed as described above with a dose of electrons having a simple shape such as a Manhattan rectangle and a 45 degree right triangle. . Customized masks In writing, electronic dose or firing systems are customarily designed to avoid overlap as much as possible, thereby greatly simplifying the calculation of how the resist on the reticle will align the pattern. Similarly, the firing set is designed to completely cover the area of the pattern to be formed on the reticle. The reticle writing for the most advanced technology nodes generally involves multiple passes of charged particle beam writing, a process known as multi-pass exposure, in which a given shape on the reticle is written and overwritten. . In general, two to four passes are used to write a reticle to write the charged particle beam into the precision error averaging in the 201239514, allowing for a more accurate reticle. And generally - the shots of the list - including the dose - are the same for each pass. Multi-pass exposure - variation in the shot of the list can be changed between rows; but the consortium of shots in any exposure covers the same area. Multi-pass writing reduces the overheating of the resist applied to the surface. The multipass write also averages the random errors of the charged particle beam writer. The use of multiple shots for different exposures for different exposures will also reduce the effects of specific systemic errors in the write process. Today's optical lithography writing implements typically reduce the reticle pattern by a factor of four during the optical lithography process. Therefore, the pattern formed on the reticle or mask must be four times larger than the desired pattern on the substrate or wafer. The current state-of-the-art charged particle beam writer using the conventional technique can resolve the feature structure from small to just (10). However, for feature structures that are less than just handsome, the write technique may not be able to accurately resolve feature constructs. In addition, manufacturing variations may result in LER and critical dimension (four) variation accepted by the family. For the OPC, which may produce less than (near) nm mask dimensions, and can be less than (10) nm for the main mask, and the mask size may be more rigorous than the optical lithography (four) screen. Micrography 'this may be a problem. C SUMMARY OF THE INVENTION 3 SUMMARY OF THE DISCLOSURE - A method for rupture or masking data preparation for charged particle beam lithography and "wherein the overlapping shots are enhanced to enhance the formation of charged particle beam shots by the group 12 201239514 The accuracy of one of the patterns on a surface and/or the marginal value of the dose. In some embodiments, the dose of the shot can be varied relative to each other prior to the correction of the proximity effect. Particle beam simulation can be used to calculate the pattern and dose margin values. The dose margin value improves the critical dimension (CD) variation and line edge thickness (LER) of the pattern produced on the surface. BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 shows an example of a character projected charged particle beam system; Figure 2A shows an example of a cross-sectional dose pattern depicting the aligned pattern width for each of the two resist thresholds; Figure 2B shows an example of a cross-sectional dose pattern similar to Figure 2A, but Has a higher dose edge slope than Figure 2A; 'Figure 3A shows an example of a desired -100 nm line end pattern to be formed on one of the reticle sheets; Figure 3B shows An example of an exemplary pattern formed by a shot produced by breaking a pattern of FIG. 3A by a technique; FIG. 4A shows an example of a desired 80 nm line end pattern to be formed on one of the reticle sheets; Figure 4B shows an example of a simulated pattern formed by firing a pattern created by breaking the pattern of Figure 4A; Figure 5A shows a desired 60 nm line end pattern to be formed on one of the reticle sheets. An example; FIG. 5B shows an example of a simulated pattern formed by a shot generated by breaking a pattern of FIG. 5A using a technique; FIG. 6 shows a shot of a group that can be used to form an 80 nm line end pattern 2012 201214 Different examples; Figure 7 shows the simulated pattern formed by the different shooting groups of Figure 6; Figure 8A shows an example of a rectangular pattern to be formed on a group on one surface; Figure 8B Shows how one of the patterns of Figure 8A formed on a surface can be used in the presence of medium-range scattering; Figure 9A shows a pattern that can be used to form Figure 8A. An example of a set of overlapping VSB shots on a surface; Figure 9B shows an example of a pattern that can be formed on a surface from a shot of Figure 9A; Figure 10 shows how to prepare a surface, such as a line A conceptual flow diagram of a film for use in optical lithography to fabricate a substrate such as an integrated circuit on a lithographic wafer; Figure 11A shows a method for combining model-based and accommodative fractures in the same design Conceptual Flowchart; Figure 11B shows a conceptual flow diagram of another method of combining model-based and acquainted fractures in the same design. I: Embodiment 3 Detailed Description of the Embodiments The present disclosure describes an overlay shot to enhance charging. The method of accuracy of particle beam exposure. The present invention is an enhanced charged particle beam system that accurately produces a pattern of less than 100 nm on a reticle that has acceptable CD variation and LER due to manufacturing variations. Furthermore, the invention is expanded

14 S 201239514 可在其下產生這些精確圖案之製造變異的製程窗。 見月參…、囷式其'中類似的代號係指類似的項目。第1 圖顯示一習見微影術系統1⑻、諸如一荷電粒子束寫入器系 統之一實施例,在此例中係為—電子束寫人n线,其採 用字元投射以製造1®13G。電子束寫人料、統100具有 -電子束源m,其投射—電子束m朝向—開孔板⑽。板 116中係形成有-容許電子束114通過之開孔H8。—旦電子 束1M通過開孔118,其彳統的透鏡(未圖示)所導引或偏 向成為電子束12G朝向另—矩形開孔板或模板罩幕⑵。模 板122中已形成-數量的開口或開孔124,其係界定可能身 為複雜字it之各不_字元126。形成於模板122中之各字 元126可用來形成一圖安1/10从 《 u μ 衆148於一基材132—諸如矽晶圓、標 線片或其他基材-之〜表面13()上。在部份曝光、部份投 射、部份字元投射1可變字元投射,電子束丨20可被定 位成藉以只打擊或照射空-, 耵予兀126的一者之一部分,藉此形成 身為一次組的字元126之-圖案I48。對於比開孔m所界定 的電子束120尺寸更小之各字元126,_不含開孔之遮黑區 域136係③#成與字tl 126相鄰,藉以防止電子束12()照射一 不良字元於模板122上。—電子束134係從字元126的一者出 現並通過n韻來自字元126的圖案尺寸之電磁或靜 電縮減透鏡138。常見可得的荷電粒子束寫入器系統中,縮 減因數介於10與60之間。經縮減的電子束14〇係從縮減透鏡 138出現,並藉由一系列的偏向器142被導引至表面13〇上成 為圖案148,其係被描繪成與字元126A對應的字母“H,,形 15 201239514 狀。因為縮減透鏡138,圖案148相較於字元126A縮減尺寸。 圖案148利用電子束系統1〇〇的一射擊所描畫。相較於使用 一可變定形束(VSB)投射系統或方法而言,這降低了完成圖 案148的整體寫入時間。雖然顯示一開孔118形成於板116 中,板116中可以具有不只一開孔。雖然此範例中顯示兩個 板116及122,可能只具有一板或不只兩板,而各板包含— 或多個開孔。 習見荷電粒子束寫入器系統中,縮減透鏡138被校準以 提供一固定縮減因數。縮減透鏡138及/或偏向器142亦聚焦 波束於表面130的平面上。表面130的尺寸可顯著地大於偏 向板142的最大值束偏向能力。因此,圖案正常係在一系列 的條纹中被寫入於表面上。各條紋含有複數個次場域,其 中一次場域係位於偏向板142的束偏向能力内。電子束寫入 器系統100係含有一定位機構150,以容許對於條紋及次場 域各者來定位基材132。習見荷電粒子束寫入器系統的一變 異中,當一次場域被曝光之時基材132係保持靜態,其後, 定位機構150將基材132移至下個次場域位置。習見荷電粒 子束寫入器系統的另一變異中,基材132在寫入製程期間連 續地移動。在涉及連續運動之此變異中,除了偏向板142 外’可具有另一組的偏向板(未圖示)以與基材132移動的相 同速度及方向來移動波束。 可以合理精度被投射至一表面130上之最小值尺寸圖 案係受限於與電子束寫入器系統1〇〇且與表面13〇—其正常 係包含基材132上的一阻劑塗覆物—相關聯之多種不同短14 S 201239514 A process window under which the manufacturing variations of these precise patterns can be produced. See the month's participation..., the similar code in the 'form' refers to a similar project. Figure 1 shows an embodiment of a conventional lithography system 1 (8), such as a charged particle beam writer system, in this case - an electron beam writing human n-line, which uses character projection to make 1®13G . The electron beam writing unit 100 has an electron beam source m which projects the electron beam m toward the aperture plate (10). An opening H8 through which the electron beam 114 is allowed to pass is formed in the plate 116. Once the electron beam 1M passes through the opening 118, its lens (not shown) is directed or biased toward the electron beam 12G toward the other rectangular aperture plate or stencil mask (2). A number of openings or openings 124 have been formed in the template 122 which define the respective _ characters 126 which may be complex words it. Each of the characters 126 formed in the template 122 can be used to form a Figure 1/10 from "U μ 148 on a substrate 132 - such as a wafer, a reticle or other substrate - to the surface 13 () on. In partial exposure, partial projection, partial character projection 1 variable character projection, the electron beam 丨 20 can be positioned so as to only strike or illuminate the air-, one of the ones of the 兀126, thereby forming As a group of characters 126 - pattern I48. For each character 126 having a smaller size than the electron beam 120 defined by the opening m, the black-out region 136 without the opening is adjacent to the word t1 126, thereby preventing the electron beam 12() from being illuminated by one. Bad characters are on template 122. - Electron beam 134 is an electromagnetic or static reduction lens 138 that emerges from one of the characters 126 and passes through the pattern size of the character 126. In commonly available charged particle beam writer systems, the reduction factor is between 10 and 60. The reduced electron beam 14 appears from the reduced lens 138 and is guided to the surface 13 by a series of deflectors 142 to form a pattern 148, which is depicted as the letter "H," corresponding to the character 126A. The shape 15 201239514 is shaped. Because the lens 138 is reduced, the pattern 148 is reduced in size compared to the character 126A. The pattern 148 is drawn using a shot of the electron beam system 1 。. Compared to using a variable shaped beam (VSB) projection In terms of system or method, this reduces the overall write time of the finished pattern 148. Although an opening 118 is shown formed in the plate 116, there may be more than one opening in the plate 116. Although two plates 116 are shown in this example and 122, there may be only one or more than two plates, and each plate contains - or more openings. In the charged particle beam writer system, the reduced lens 138 is calibrated to provide a fixed reduction factor. Reduced lens 138 and / Or the deflector 142 also focuses the beam on the plane of the surface 130. The size of the surface 130 can be significantly greater than the maximum beam deflection capability of the deflecting plate 142. Thus, the pattern is normally written on the surface in a series of stripes. Each stripe includes a plurality of subfields, wherein the first field is located within the beam biasing capability of the deflecting plate 142. The electron beam writer system 100 includes a positioning mechanism 150 to permit positioning for each of the fringes and the subfield. Substrate 132. In a variation of the charged particle beam writer system, the substrate 132 remains static when the field is exposed, after which the positioning mechanism 150 moves the substrate 132 to the next subfield position. In another variation of the charged particle beam writer system, the substrate 132 is continuously moved during the writing process. In this variation involving continuous motion, in addition to the deflecting plate 142, there may be another set of deflecting plates. (not shown) moves the beam at the same speed and direction as the substrate 132 moves. The minimum size pattern that can be projected onto a surface 130 with reasonable accuracy is limited to that of the electron beam writer system. A number of different shorts associated with the surface 13〇, which normally contains a resist coating on the substrate 132.

S 201239514 程物理效應。這些效應係包括前向散射、庫侖效應(c〇ulomb e ffe c t)、及阻劑擴散。束模糊係為—種用來包括所有這些短 私政應的用§吾。最現代的電子束寫入器系統可達成2〇11111至 30nm範圍中之有效束模糊半徑或pf。前向散射可構成總束 模糊的四分之一到二分之一。現代電子束寫入器系統係含 有許多種用來使束模糊的各成份單元降至最小值之機構。 部分電子束寫入器系統可能容許束模糊在寫入製程期間改 變,從可在一電子束寫入系統上取得的最小值數值至一或 多個較大數值。 一諸如電子束寫入器系統等荷電粒子束寫入器的射擊 劑里係為束源112的強烈度及各射擊的曝光時間之一函 數。一般而言,束強烈度保持固定,且曝光時間係改變以 . 獲得可變射擊劑量。在一稱為鄰近效應矯正(PEC)的製程 中,曝光時間可改變以補償諸如背散射及霧化等各種不同 長程效應。電子束寫入器系統通常係容許一用以影響一曝 光通行中的全部射擊之稱為基底劑量的整體劑量。部分電 子束寫入器系統係在電子束寫入器系統内進行劑量補償計 算’且不容許各射擊的劑量被個別地指派成為輸入射擊清 單的部份’因此輸入射擊具有未被指派的射擊劑量。此等 電子束寫入器系統中,在鄰近效應矮正之前,所有射擊具 有基底劑量。其他電子束寫入器系統係確實容許具有逐一 射名式基礎(shot-by-shot basis)之劑量指派。容許逐一射擊 式劑量指派之電子束寫入器系統中,可取得劑量位準的數 ϊ可能是64至4096或更大,或者可具有相對較少個可取得 17 201239514 的劑量位準^如3至8個位準。本發明的部分實施例係針 對配合使用容許指派劑量位準之荷電粒子束寫入系統’、 電子束寫入器内的機構係具有用於計算之目^ 糙解析度。因此,現今的電子東京討較粗 l的電千束寫入窃無法精確地 如2pm範圍中之可能對於EUV罩幕所需要的中程橋正 習見情形巾’射㈣設計成賤形射擊完全地覆蓋— 輪入圖案,同時盡可能地避免射擊重4。並且,所有 皆設計成具有-正常劑量,正常劑量係為可供_相對 同 的矩形射擊在未丨現長紐應下將於與射擊尺寸具有相 尺寸的表面上產生一圖案之劑量。 譬如,利用荷電粒子束微影術以曝光一表面上的一重 覆式圖案時,如同在最終製成表面上所測量之各圖案案例 的尺寸係由於製造變異而將略微不同。尺寸變異量係為— 重要的製造最適化判別標準。現今的罩幕遮罩中,可能欲 在圖案尺寸中具有不大於1ηηι(1σ)的均方根(RMS)變異。較 大的尺寸k異係意味著電路效能的較大變異,導致需要較 鬲的設計邊際值,故益加難以設計更快速、更低功率的積 體電路。此變異稱為臨界維度(CD)變異。一mCD變異係為 理想狀況,並表示製造變異將在最終製造表面上產生相對 較小尺寸的變異。在較小尺度中,一高CD變異的效應可以 線邊緣粗度(LER)予以觀察。LER係由製成略微不同之一線 邊緣的各部份所造成,導致預定具有一直線狀邊緣之一線 中的部分波狀。CD變異係與稱為邊緣斜率之位於阻劑臨閾 值的劑量曲線斜率呈反向相關,且具有其他作用。因此, 201239514 邊緣斜率、或劑量邊際值係為對於表面的粒子束寫入之一 臨界最適化因數。此揭示中,邊緣斜率及劑量邊際值係為 可互換的用語。 藉由習見斷裂’而無射擊重疊、間隙或劑量調變,經 寫入形狀的劑量邊際值係被認為不可變易:亦即,不可能 藉由選擇斷《項來改良劑量邊際值。在現代實行方式 中,避免稱為切片的極窄射擊之技術係為―種有助於使射 擊清單對於劑量邊際值達成最適化之以實際規則為基礎的 方法之範例。 在-其中可產生重疊射擊及劑量調變式射擊之斷裂環 ㉟中’有需要且有機會對於劑量邊際值達成最適化 C 湘射擊重#及劑量霞所容許具有之射擊組合的額夕9卜彈 ' @ ’係容許產生許多斷裂解決方案,其於表面上產生目標 罩幕形狀,但唯有在完美製造條件下才如此。因此利用^ 疊射擊及劑量調變式射擊,係生成了解決劑量邊際值議題 及其改良之誘因。 第2A至B圖係顯示可如何藉由將阻劑上的圖案曝光來 降低臨界維度,藉以在曝光或劑量曲線中產生一相對較l 的邊緣斜率。第2A圖顯示一橫剖面劑量曲線2〇2,其中= 顯示經過一經曝光圖案之橫剖面距離—諸如正交於圖案 緣的兩者之距離一且y軸顯示阻劑所接收的 —、 月J里。一圖案係 被阻劑所對齊,其中經接收劑量係高於—臨閾值。第2八圖 顯示兩臨閾值,其描繪阻劑敏感度的—變異之效廯,。 的臨閾值204係造成寬度214的一圖案將被阻劑所對齊較二 19 201239514 低的臨閾值2G6造成寬度216的—圖案將被阻劑所對齊,其 ^寬度216大於寬度214。第2B圖顯示另-橫剖面劑量曲線 222。顯示兩臨間值,其中臨間值222係與第2a圖的臨間值 204相同,且臨閾值226與第2A圖的臨閾值2〇6相同。劑量曲 線222的斜率在兩臨閾值附近係比劑量曲線2G2的斜率更 高。對於劑量曲線222,較高的臨閾值224係造成寬度234的 一圖案將被阻劑所對齊。較低的臨閾值226造成寬度236的 一圖案將被阻劑所對齊。如圖可看出,由於劑量曲線222比 起劑里曲線202之較高的邊緣斜率,寬度236與寬度234之間 的差異係小於寬度216與寬度214之間的差異。若阻劑塗覆 式表面疋一標線片,則曲線222對於阻劑臨閾值的變異之較 低敏感度係會造成從該標線片所製成的一光罩上之圖案寬 度更罪近於目標圖案寬度,藉此當光罩用來轉移一圖案至 一諸如石夕晶圓等基材時令可使用的積體電路之良率提高。 對於具有較尚邊緣斜率的劑量曲線係觀察到與對於各射擊 的劑量變異相類似之公差的改良。因此欲在諸如劑量曲線 222中達成一相對較高的邊緣斜率。 第3A圖顯示一經設計圖案3〇2的一範例。圖案302設計 成具有一恆定寬度306 ’該寬度是l〇〇nm。圖案302包含一線 端304。第3B圖顯示一經模擬圖案312的一範例,其可利用 一習見VSB射擊被形成於一表面上,其中VSB射擊是一 l〇〇nm寬的矩形,且具有一正常劑量。如第3B圖可看出’ 由於荷電粒子束寫入器的物理限制造成之束模糊,圖案312 的線端部分314具有圓滑角落。此外,經曝光圖案係在圖案S 201239514 physics effect. These effects include forward scatter, coulombic ffe c t, and resist diffusion. Beam ambiguity is used to include all of these short-term private sufficiencies. The most modern electron beam writer system achieves an effective beam blur radius or pf in the range of 2〇11111 to 30nm. Forward scatter can constitute a quarter to a half of the total beam ambiguity. Modern electron beam writer systems contain a variety of mechanisms for minimizing the component of the beam blur. Partial electron beam writer systems may allow beam blur to change during the writing process, from a minimum value that can be taken on an electron beam writing system to one or more large values. A shot in a charged particle beam writer such as an electron beam writer system is a function of the intensity of the beam source 112 and the exposure time of each shot. In general, the beam intensity remains fixed and the exposure time is varied to obtain a variable firing dose. In a process called Proximity Effect Correction (PEC), the exposure time can be varied to compensate for various long-range effects such as backscattering and fogging. An electron beam writer system typically allows an overall dose called a substrate dose to affect all shots in an exposure pass. Partial electron beam writer systems perform dose compensation calculations in the electron beam writer system 'and do not allow the doses of each shot to be individually assigned as part of the input shot list' so the input shot has an unassigned shot dose . In such electron beam writer systems, all shots have a substrate dose before the proximity effect is short. Other electron beam writer systems do allow dose assignments with a shot-by-shot basis. In an electron beam writer system that allows for one shot dose assignment, the number of dose levels that can be taken may be 64 to 4096 or greater, or may have a relatively small number of dose levels that can be obtained for 2012. Up to 8 levels. Some embodiments of the present invention are directed to the use of a charged particle beam writing system that allows for the assignment of a dose level, and the mechanism within the electron beam writer has a resolution for calculation. Therefore, today's electronic Tokyo is more difficult to accurately record, such as in the 2pm range. For the EUV mask, the medium-range bridge is needed to see the situation. The radiation (four) is designed to completely cover the dome-shaped shot. — Enter the pattern while avoiding a shot weight of 4 as much as possible. Also, all are designed to have a - normal dose, which is a dose that allows a relatively rectangular shot to produce a pattern on a surface having a phase size relative to the shot size. For example, when charged particle beam lithography is used to expose a repetitive pattern on a surface, the dimensions of each pattern as measured on the final finished surface will be slightly different due to manufacturing variations. The amount of dimensional variation is - an important manufacturing optimization criterion. In today's mask masks, it may be desirable to have a root mean square (RMS) variation of no more than 1ηηι (1σ) in the pattern size. Larger size k variants mean larger variations in circuit performance, resulting in a lower design margin, making it difficult to design faster, lower power integrated circuits. This variation is called the critical dimension (CD) variation. An mCD variant is ideal and indicates that manufacturing variations will result in relatively small size variations on the final fabricated surface. On a smaller scale, the effect of a high CD variation can be observed by line edge thickness (LER). The LER is caused by portions that are made slightly different from one of the line edges, resulting in a portion of the wavy in a line that is intended to have a linear edge. The CD variant is inversely related to the slope of the dose curve at the threshold of the resist, known as the edge slope, and has other effects. Therefore, the 201239514 edge slope, or dose margin value, is one of the critical optimization factors for the particle beam write to the surface. In this disclosure, the edge slope and dose margin values are interchangeable terms. The dose marginal value of the written shape is considered to be immutable by the fact that there is no shot overlap, gap or dose modulation; that is, it is not possible to modify the dose margin by selecting the term. In modern practice, the technique of avoiding extremely narrow shots called slicing is an example of a practical rule-based approach that helps to optimize the shot list for dose marginal values. In the rupture ring 35 in which overlapping shots and dose-modulated shots can be generated, 'there is a need and there is an opportunity to achieve an optimal balance of the dose margin value. The '@' system allows for a number of fracture solutions that produce a target mask shape on the surface, but only under perfect manufacturing conditions. Therefore, the use of stacking shots and dose-modulated shots has generated the issue of addressing the issue of dose margins and its improvement. Figures 2A through B show how the critical dimension can be reduced by exposing the pattern on the resist, thereby producing a relatively l edge slope in the exposure or dose curve. Figure 2A shows a cross-sectional dose curve 2〇2, where = shows the cross-sectional distance through an exposed pattern - such as the distance between the two orthogonal to the pattern edge and the y-axis shows the resist received - month J in. A pattern is aligned by the resist, wherein the received dose is above the threshold. Figure 2 shows two thresholds, which depict the effect of the sensitivity of the resistance. The threshold 204 is such that a pattern of width 214 will be aligned by the resister. 19 201239514 A low threshold of 2G6 results in a width 216 - the pattern will be aligned by the resist, and its width 216 is greater than the width 214. Figure 2B shows an additional cross-sectional dose curve 222. Two inter-values are displayed, wherein the inter-edge value 222 is the same as the inter-value 204 of Figure 2a, and the threshold 226 is the same as the threshold 2〇6 of the 2A map. The slope of the dose curve 222 is higher than the slope of the dose curve 2G2 near the two thresholds. For dose curve 222, a higher threshold 224 causes a pattern of width 234 to be aligned by the resist. A lower threshold 226 causes a pattern of width 236 to be aligned by the resist. As can be seen, since the dose curve 222 is higher than the edge slope of the curve 202 in the agent, the difference between the width 236 and the width 234 is less than the difference between the width 216 and the width 214. If the resist coated surface is a reticle, the lower sensitivity of curve 222 to the variation of the resist threshold will result in a more sinful pattern width from a reticle made from the reticle. In the target pattern width, the yield of the usable integrated circuit is improved when the mask is used to transfer a pattern to a substrate such as a stone wafer. For dose curves with a more marginal slope, an improvement in tolerance similar to the dose variation for each shot was observed. Therefore, a relatively high edge slope is desired in, for example, dose curve 222. Figure 3A shows an example of a design pattern 3〇2. Pattern 302 is designed to have a constant width 306' which is l 〇〇 nm. Pattern 302 includes a line end 304. Figure 3B shows an example of a simulated pattern 312 that can be formed on a surface using a conventional VSB shot, wherein the VSB shot is a rectangle wide and has a normal dose. As can be seen in Figure 3B, the line end portion 314 of the pattern 312 has rounded corners due to beam blurring due to physical limitations of the charged particle beam writer. In addition, the exposed pattern is attached to the pattern

20 S 201239514 周邊的段316及318中具有一不良邊緣斜率。可譬如利用粒 子束模擬以決定此邊緣斜率。圖案312的部分316及318可由 於製造變異而造成尺寸的不良重大變異。然而,線端314在 其中心段中係為理想長度,亦即與設計線端3〇4具有相同的 y座標。 第4A圖顯示一經設計圖案4〇2的一範例。圖案4〇2設計 成具有8〇nm的一恆定寬度406。圖案4〇2包含一線端4〇4,其 中線端404的y座標以參考線4〇8顯示。第4B圖顯示一經模擬 圖案412的一範例,其可利用一習見VSB射擊被形成於一表 面上,其中VSB射擊是8〇11111寬,且具有一正常劑量。如同 圖案312,圖案412的線端部分叫由於束模擬而具有圓滑角 落。並且,圖案412的周邊之部分416及418具有不良的邊緣 斜率。如圖可看出,具有不良邊緣斜率之圖案412周邊的部 为416及418係大於具有不良邊緣斜率之圖案3丨2的部分Ms 及318。這是由於圖案4〇2比起圖案3〇2的1〇〇11111寬度而言具 有80nm的較窄寬度所導致。此外,所形成的線端4】4之7座 標係大於參考線408的y座標,表示圖案412具有線端縮短, 其會影響利用-含有圖案412之罩幕所製作的一積體電路 之效能及/或機能。 第5A圖顯示一經設計圖案5〇2的一範例。圖案5〇2設計 成具有60nm的一恆定寬度5〇8。圖案5〇2包含一線端5〇4,其 中線端504的y座標以參考線5〇6顯示。第5β圖顯示一圖案 512的一範例,其可利用一習見VSB射擊被形成於一表面 上,其中VSB射擊是的⑽寬,且具有_正常劑量。如圖可 21 201239514 看出’圖案512的線端部分514很圓滑。亦具有線端縮短_ 圖案512的最小值y座標係大於參考線5_y座標。此外, 圖案5Π的周邊區5丨8具有一不良的邊緣斜率,而影響整體 線端514。 第3B、4B及5B圖的圖案係顯示:當以習見VSB射擊形 成時,具有80nm及以下寬度之圖案形成可如何具有線端縮 短,且亦可具有包含不良邊緣斜率之圓滑角落。 第6圖顯示各不同之用於斷裂一圖案以增強一諸如標 線片等表面上所形成的圖案品質之方法。形狀6〇2顯示—經 設計的線端圖案,圖案602具有8〇nm的寬度。圖案6〇2包含 一線私606。虛線6〇8代表線端6〇6的y座標。第ό圖圖案612 顯示一用以斷裂圖案6〇2以相較於第4Β圖圖案412改良一表 面上所形成圖案的品質之先前技藝方法。圖案612顯示單一 VSB射擊,其中射擊尺寸已在負乂維度被擴大,故射擊的最 小值y座標比參考y座標608更小7nm。射擊612的劑量是一正 常劑量。第7圖圖案712顯示射擊612的一經射擊形狀。圖案 712的線端係具有圓滑角落,且亦具有其中圖案包含過低邊 緣斜率之周邊區714及716。 第6圖亦顯示三群組的VSB射擊:群組622、群組632及 群組642,其可形成圖案602。射擊群組632及射擊群組642 示範本發明的一實施例,而射擊群組622代表一先前技藝方 法。射擊群組622係由射擊624及射擊626所組成,其彼此並 未重疊。射擊624係在長程PEC之前以一正常劑量的1.2倍作 射擊,而射擊626以一正常劑量作射擊。射擊624的寬度628There is a poor edge slope in sections 316 and 318 of the perimeter of 20 S 201239514. This can be done, for example, by particle beam simulation to determine this edge slope. Portions 316 and 318 of pattern 312 can cause significant variations in size due to manufacturing variations. However, the wire end 314 is of a desired length in its central section, i.e., has the same y coordinate as the design wire end 3〇4. Fig. 4A shows an example of a design pattern 4〇2. The pattern 4〇2 is designed to have a constant width 406 of 8 〇 nm. The pattern 4〇2 includes a line end 4〇4, and the y coordinate of the line end 404 is shown by reference line 4〇8. Figure 4B shows an example of a simulated pattern 412 that can be formed on a surface using a conventional VSB shot, wherein the VSB shot is 8 〇 11111 wide and has a normal dose. Like the pattern 312, the line end portion of the pattern 412 is called a rounded corner due to the beam simulation. Also, portions 416 and 418 of the periphery of pattern 412 have a poor edge slope. As can be seen, the portions around the pattern 412 having a poor edge slope are 416 and 418 are larger than the portions Ms and 318 of the pattern 3丨2 having a poor edge slope. This is because the pattern 4〇2 is caused by a narrow width of 80 nm in comparison with the width of the 1〇〇11111 of the pattern 3〇2. In addition, the formed seventh end of the line end 4 4 is larger than the y coordinate of the reference line 408, indicating that the pattern 412 has a line end shortening, which affects the performance of an integrated circuit fabricated using the mask containing the pattern 412. And / or function. Figure 5A shows an example of a design pattern 5〇2. The pattern 5〇2 is designed to have a constant width of 5 〇8 of 60 nm. The pattern 5〇2 includes a line end 5〇4, the y coordinate of which is shown in reference line 5〇6. The 5th map shows an example of a pattern 512 that can be formed on a surface using a conventional VSB shot, where the VSB shot is (10) wide and has a _ normal dose. As seen in Figure 21 201239514, the line end portion 514 of the pattern 512 is very rounded. There is also a line end shortening _ the minimum value y coordinate of the pattern 512 is larger than the reference line 5_y coordinate. In addition, the peripheral region 5丨8 of the pattern 5Π has a poor edge slope and affects the overall line end 514. The patterns of Figs. 3B, 4B, and 5B show that when formed by the conventional VSB shot, the pattern formation having a width of 80 nm or less can have a line end shortening, and can also have a rounded corner including a bad edge slope. Fig. 6 shows a different method for breaking a pattern to enhance the quality of a pattern formed on a surface such as a reticle. The shape 6〇2 shows a designed line end pattern, and the pattern 602 has a width of 8 〇 nm. The pattern 6〇2 contains a line 606. The dotted line 6〇8 represents the y coordinate of the line end 6〇6. The second pattern 612 shows a prior art method for breaking the pattern 6〇2 to improve the quality of the pattern formed on a surface compared to the fourth pattern 412. Pattern 612 shows a single VSB shot in which the shot size has been enlarged in the negative 乂 dimension, so the minimum y coordinate of the shot is 7 nm smaller than the reference y coordinate 608. The dose of shot 612 is a normal dose. Pattern 712 of Figure 7 shows a shot shape of shot 612. The line ends of pattern 712 have rounded corners and also have perimeter regions 714 and 716 in which the pattern includes a slope with a low edge. Figure 6 also shows three groups of VSB shots: group 622, group 632, and group 642, which may form a pattern 602. Shooting group 632 and shooting group 642 demonstrate an embodiment of the present invention, while shooting group 622 represents a prior art method. Shooting group 622 is comprised of shot 624 and shot 626 that do not overlap each other. Shot 624 was fired at 1.2 times the normal dose before the long-range PEC, while shot 626 was fired at a normal dose. Shoot 624 width 628

S 22 201239514 係小於604,並被計算以由大於正常的劑量產生寬度的 -圖案於表面上。可如圖看出,射擊626係在負及正X方 延伸超過射擊624的維度且亦超過圖案6〇2的維度。第7圖圖 案722顯示射擊群組622所產生之經模擬圖案。圖案從二線 端角落724具有比圖案712更高的一邊緣斜率,其中該角落 並無部份具有一過小邊緣斜率。此外,雖未 古 呵於正 常劑量的射擊624係相較於圖案712改良了圖案722左及右 側上之邊緣斜率。一用於決定射擊群組622的射擊之方法係 經由以模型為基礎的斷裂,其係為利用諸如荷電粒子束模 擬等模擬來決定可形成一所期望圖案於一阻劑塗覆式表面 上之一組射擊,其藉由將從給定一組的一或多個射擊被形 ' 成於表面上之圖案的模擬作決定,其中部分或全部射擊可 • 具有非正常劑量。或者,可經由以規則為基礎的方法來決 定射擊群組622的射擊。以模型為基礎的斷裂—雖然比起以 規則為基礎的斷裂相對更具運算密集性一係可決定—比起 利用以規則為基礎的方法所決定之一射擊清單而言將產生 一更精確圖案於表面上之射擊清單。 第6圖射擊群組632顯示根據本發明的一實施例之一用 於斷裂圖案602的示範性方法。射擊群組632係由射擊634, 射擊636及射擊638所組成。射擊636及638利用影線顯示以 改進清晰度。射擊634係以一高於正常劑量作射擊,譬如丄2 倍正常劑量,且射擊634的寬度係經過計算藉以在一表面上 產生具有寬度604的一圖案。射擊636及射擊638皆重疊於射 擊634 ’且皆延伸於參考y座標608下方。譬如射擊634與636 23 201239514 之間的重疊係為—部份重4 ’代表射擊634與射擊636之間 的交會區域係Μ於兩射擊巾的任—者。射擊咖及射擊 638在此範例中以正常劑量作射擊。第7圖圖案乃2顯示來自 群組632之-經模擬圖案。相較於圖案722,圖案732展現較 小的角落圓化,但亦在肢上具有較差的邊緣形狀,其邊 緣斜率小於周邊11734及736巾的最小值可接受數值。射擊 群組632·示:重疊射擊及非正㈣量可如何容許以 比使用正常劑量的習見㈣疊射擊更高之保真度來形成圖 案。 第6圖射擊群組642顯示根據本發明利用部份重疊射擊 之用於斷裂圖案602的另一範例。射擊群組642係由射擊 644、646、648及650所組成。射擊646、648及65〇係利用影 線顯示以改良清晰度。就像射擊624及634 ,射擊644使用一 咼於正常的劑量,諸如12x正常值。射擊646、648及65〇在 此範例中使用一正常劑量。射擊65〇重疊於射擊644。射擊 646及648延伸超過參考y座標6〇8。第7圖圖案742顯示來自 射擊群組642的一經模擬圖案。圖案742線端的角落744譬如 比起圖案722角落更不圓滑。此外,角落區中的邊緣斜率在 所有區位皆高於最小值。就像射擊群組632,射擊群組642 顯示:利用合併有非正常劑量之重疊射擊可如何容許以比 諸如射擊612的方法所顯示者之習見方法或先前技藝更高 的保真度來形成圖案。 上文所描述且顯示於第6圖射擊群組63 2及642之解決 方案可甚至利用一不容許對於個別射擊作劑量指派之荷電 δ 24 201239514 粒子束系統予以實行。本發明的一實施例中’可選擇一小 數量的劑量,譬如諸如1.Οχ正常及Ι·2χ正常等兩劑量,且對 於這兩劑量各者之射擊係可在兩分開的曝光通行中被分離 及曝光,其中對於一曝光通行之基底劑量係為1 ·〇χ正常且 對於另一曝光通行之基底劑量係為1·2χ正常。譬如,第6圖 射擊群組632中,射擊636及射擊638可被指派予一使用l.〇x 正常劑量的基底劑量之第一曝光通行,且射擊634可被指派 予一使用1.2χ正常劑量的基底劑量之第二曝光通行。此實 施例中,對於任何曝光通行之射擊的聯合體將不同於對於 經合併曝光通行全體之射擊的聯合體。 本發明的其他實施例中,可利用重疊射擊來降低並非 ' 劑量變異的製造變異類型之敏感度。束模糊變異係為另— 型製造變異的一範例。此外’本發明的方法亦可利用複雜 字元投射(CP)射擊、或以複雜CP及VSB射擊的一組合所實 行。 第8A圖顯示將被形成於一表面上之一群組的矩形圖案 800之一範例。該群組的圖案8〇〇係包含六個完整矩形,包 括矩形802、矩形804、矩形80ό、矩形808、矩形810及矩形 812。此外,顯示四個額外矩形的部分:矩形814、矩形816、 矩形818及矩形820。可看出矩形以直行配置於一規則圖案 中’其中相鄰直行係分隔—空間830,且其中一直行内的相 鄰矩形係分隔一空間832。 圖案群組800可利用一習見非重疊VSB射擊、對於圖案 群組800中的各圖案利用一 VSB射擊被寫入至一表面。因此 25 201239514 第8A圖亦可被視為一群組的射擊goo,包含射擊802、804、 806、808、810、812、814、816、818及 820。第 8B 圖顯示 可在中程散射出現下從射擊群組800產生之一組經模擬圖 案850的一範例。成組的圖案85〇係包含六個完整圖案,包 括圖案852、圖案854、圖案856、圖案858、圖案860及圖案 862。圖案群組850亦包含四個額外圖案,其中第8B圖只顯 示圖案的一部分,包括圖案864、圖案866、圖案868及圖案 870。圖案群組850中的圖案係展現由於束模擬所致之角落 圓化’其一範例為角落872。此外,位於中間兩直行之各圖 案在Υ方向測量的中部分係在X方向中比圖案的其餘部分更 窄’如圖案858的中部分874所顯示。此窄化係為比抵達圖 案858的其他部分者而言具有更少中程散射能量抵達圖案 858的中部分874之結果。圖案858中,區874中的圖案窄化 係由於射擊814與806之間隙、及射擊818與812之間隙所造 成。相較於相對的射擊814、806、818及812而言,有較少 的中程散射能量在與這些間隙相對之圖案858附近抵達阻 劑。外側直行圖案852、854、868、862及870因為其只在左 或右侧一者上具有鄰近射擊故展現不對稱窄化。面向内側 係具有一如圖案858的類似窄化’如同圖案862的窄化區876 所示。在諸如圖案862的邊緣878等面向外側邊緣上,缺乏 鄰近圖案係造成較低中程散射能·量沿著整體邊緣被接收, 結果使得整體邊緣878在-χ(負X)方向偏移,造成圖案862的 寬度882小於圖案858的寬度880。此經模擬中程散射係在效 應範圍(range of effect)上類似於對於EUV光學微影術之標S 22 201239514 is less than 604 and is calculated to produce a width-pattern on the surface by a dose greater than normal. As can be seen, the shot 626 is in the dimension of the negative and positive X sides extending beyond the shot 624 and also exceeding the pattern 6〇2. Figure 7 shows a simulated pattern produced by the firing group 622. The pattern has a higher edge slope from the second end corner 724 than the pattern 712, wherein no portion of the corner has a too small edge slope. In addition, the shot 624, which is not conventionally dosed, improves the edge slope on the left and right sides of pattern 722 as compared to pattern 712. A method for determining the firing of the firing group 622 is via a model-based fracture that is determined by simulations such as charged particle beam simulation to form a desired pattern on a resist coated surface. A set of shots that is determined by a simulation of a pattern formed on a surface from one or more shots of a given set, some or all of which may have an abnormal dose. Alternatively, the shooting of the shooting group 622 can be determined via a rule-based approach. Model-based fractures—although they are relatively more computationally intensive than rule-based fractures—will produce a more precise pattern than one that uses a rule-based approach to determine the shot list. A list of shots on the surface. Figure 6 Shooting Group 632 shows an exemplary method for breaking pattern 602 in accordance with one embodiment of the present invention. Shooting group 632 is comprised of shot 634, shot 636, and shot 638. Shots 636 and 638 are shaded for improved clarity. Shot 634 is fired at a higher than normal dose, such as 2 times the normal dose, and the width of shot 634 is calculated to produce a pattern having a width 604 on a surface. Both shot 636 and shot 638 overlap with shot 634' and both extend below reference y coordinate 608. For example, the overlap between shooting 634 and 636 23 201239514 is that the partial weight 4 ′ represents that the intersection area between shooting 634 and shooting 636 is tied to either of the two shooting towels. Shooting coffee and shooting 638 shoot in normal doses in this example. The pattern of Fig. 7 is 2 showing the simulated pattern from group 632. Pattern 732 exhibits a smaller corner rounding than pattern 722, but also has a poorer edge shape on the limb with a slope less than the minimum acceptable value for the perimeter 11734 and 736. Shooting group 632. Shows how overlapping shots and non-positive (four) quantities can allow for a higher fidelity than the normal dose (four) stack shots to form a pattern. Figure 6 Shooting Group 642 shows another example of a fracture pattern 602 utilizing partial overlap shots in accordance with the present invention. The shooting group 642 is composed of shots 644, 646, 648, and 650. Shots 646, 648, and 65 are displayed using a shadow to improve clarity. Just like shots 624 and 634, shot 644 uses a normal dose, such as a 12x normal value. Shots 646, 648, and 65 使用 used a normal dose in this example. Shooting 65 〇 overlaps with shooting 644. Shooting 646 and 648 extends beyond the reference y coordinate 6〇8. The pattern 742 of Figure 7 shows a simulated pattern from the shooting group 642. The corner 744 of the line end of the pattern 742 is less rounded than the corner of the pattern 722. In addition, the edge slope in the corner zone is above the minimum in all locations. Just like the shooting group 632, the shooting group 642 shows how the use of overlapping shots incorporating an abnormal dose can allow for a pattern to be formed with a higher fidelity than the method shown by the method such as shooting 612 or prior art. . The solution described above and shown in Figure 6 of the firing groups 63 2 and 642 can be implemented even with a charged δ 24 201239514 particle beam system that does not allow for dose assignment for individual shots. In one embodiment of the invention, 'a small number of doses can be selected, such as two doses such as 1. normal, and normal, and the firing system for each of the two doses can be used in two separate exposures. Separation and exposure, wherein the base dose for one exposure pass is 1 · 〇χ normal and the base dose for another exposure pass is 1.2 χ normal. For example, in shot group 632 of Figure 6, shot 636 and shot 638 can be assigned to a first exposure pass using a base dose of l.〇x normal dose, and shot 634 can be assigned to a normal dose of 1.2 χ. The second exposure of the substrate dose is passed. In this embodiment, the consortium for any exposure pass shot will be different from the consortium for the shots of the combined exposure pass. In other embodiments of the invention, overlapping shots may be utilized to reduce the sensitivity of the type of manufacturing variation that is not a 'dose variation. Beam blurring is an example of another type of manufacturing variation. Moreover, the method of the present invention can also be performed using complex character projection (CP) shots or a combination of complex CP and VSB shots. Figure 8A shows an example of a rectangular pattern 800 to be formed in a group on a surface. The group of patterns 8 includes six complete rectangles, including a rectangle 802, a rectangle 804, a rectangle 80, a rectangle 808, a rectangle 810, and a rectangle 812. In addition, four extra rectangular portions are displayed: rectangle 814, rectangle 816, rectangle 818, and rectangle 820. It can be seen that the rectangles are arranged in a straight line in a regular pattern 'where adjacent straight lines are separated - space 830, and wherein the adjacent rectangles in the row are separated by a space 832. Pattern group 800 can be written to a surface using a VSB shot for each pattern in pattern group 800 using a conventional non-overlapping VSB shot. Thus 25 201239514 Figure 8A can also be viewed as a group of shooting goo, including shots 802, 804, 806, 808, 810, 812, 814, 816, 818 and 820. Figure 8B shows an example of a set of simulated patterns 850 that can be generated from shot group 800 in the presence of mid-range scattering. The set of patterns 85 includes six complete patterns including a pattern 852, a pattern 854, a pattern 856, a pattern 858, a pattern 860, and a pattern 862. Pattern group 850 also includes four additional patterns, with Figure 8B showing only a portion of the pattern, including pattern 864, pattern 866, pattern 868, and pattern 870. The pattern in pattern group 850 exhibits corner rounding due to beam simulation, an example of which is corner 872. In addition, the middle portion of the pattern in the middle two straight rows measured in the x direction is narrower in the X direction than the rest of the pattern as shown by the middle portion 874 of the pattern 858. This narrowing is the result of having less intermediate-path scatter energy reaching the mid-portion 874 of pattern 858 than other portions of arrival pattern 858. In pattern 858, the pattern narrowing in region 874 is due to the gap between shots 814 and 806 and the gap between shots 818 and 812. Compared to the opposite shots 814, 806, 818, and 812, there is less intermediate-range scattering energy reaching the resist near the pattern 858 opposite the gaps. The outer straight lines 852, 854, 868, 862, and 870 exhibit asymmetric narrowing because they have adjacent shots on only one of the left or right side. The inner side has a narrowing zone 876 similar to the pattern 862, as shown by the pattern 858. On the outwardly facing edge, such as edge 878 of pattern 862, the absence of an adjacent pattern causes lower mid-range scattering energy to be received along the entire edge, with the result that the overall edge 878 is offset in the -χ (negative X) direction, resulting in The width 882 of the pattern 862 is less than the width 880 of the pattern 858. This simulated mid-range scattering system is similar to the EUV optical lithography standard in the range of effect.

S 26 201239514 線片的中程散射,但圖案群組850中所模擬的中程散射係比 現今EUV標線#所常纽者具有更高㈣烈度㈣如卿)。 圖案群組850顯示具有一足夠量值的中程散射可如何影響 由荷電粒子束微影術所寫入的圖案。 本發明的另一實施例中,可利用重疊射擊以實行罩幕 製程矯正,藉此在中程散射出現下產生較高保真度圖案。 第9A圖顯示可用來產生群組的圖案8〇〇之一射擊群組9〇〇。 射擊群組900係包含矩形射擊902、9〇4、906、908、910及 912。射擊群組9〇〇亦包含矩形射擊914、916、918及920, 只顯示其某部分。相較於射擊群組8〇〇,射擊群組9⑽包括 下列: •外側直行上之射擊在其外側邊緣上被加寬。這包括 射擊902、904、918、912及920。射擊912中,譬如,相較 於射擊812,邊緣936在+χ方向被移動。 •添加額外射擊以防止圖案的中部分中之圖案窄化, 如圖案群組850所示。所添加的射擊係包括射擊922、924、 926、928、930及932。這些添加的射擊係輸送額外劑量至 區域,但例外係為將接收較小中程散射劑量之外側直行的 射擊之外側邊緣。由於在外側直行的射擊群組900上,藉由 如上述在其外側邊緣上加寬射擊9〇2、904、918、912及920 來防止圖案窄化’重疊射擊922、924及932被定位為遠離射 擊902、904及912的外側邊緣以防止射擊9〇2、9〇4及912所 形成的圖案之過度中部分加寬。 第9B圖顯示可從群組的射擊900產生於一表面上之一 27 201239514 群組的圖案950之一範例。群組的圖案950係包含圖案952、 954、956、958、960及962,及部份圖案964、966、968及 970。如圖可看出’中程散射出現下’群組的射擊900中所 示相較於群組的射擊800之曝光變化係改良該表面上所產 生之圖案的保真度。圖案的中部分並無窄化。此外’諸如 圖案962的寬度等外部直行圖案的寬度係等同於諸如圖案 958的寬度980等内部直行圊案之寬度。 本發明中所描述或參照的計算可以各種不同方式達 成。一般而言,可藉由製程中、製程前或製程後方法達成 計算。製程中計算係涉及當需要其結果時進行一計算。製 程前計算係涉及預計算、然後儲存結果以在一後續處理步 驟期間供稍後檢索,並可改良處理效能,特別是對於可重 覆多次之計算尤然。計算亦可從一處理步驟被推延,然後 在一稍後的後處理步驟進行。製程前計算的一範例係為— 射擊群組’其身為對於與一給定輸入圖案或成組輸入圖案 特徵相關聯的一或多個射擊之劑量圖案資訊的一預計算。 射擊群組及相關聯的輸入圖案可儲存在經預計算射擊群級 的-程式庫中’故可對於輸入圖案的額外案例快速地產生 包含該射擊群組之該組射擊,而不需作圖案重新計算。部 分實施例中,預計算係可包含㈣群組將產生於—阻劑塗 覆式表面上之劑量圖案的模擬。其他實施例中,射擊群組 不需模擬即可被決 错由利用經由建構作續 (correct-by-construction)技術。部厶昝 |刀頁苑例中,經預計與 射擊群組可以-射擊清單形式料於射擊群組程式庫中S 26 201239514 The medium-range scattering of the line, but the medium-range scattering system simulated in the pattern group 850 has a higher (four) intensity than the current EUV marking line #4 (4). Pattern group 850 shows how mid-range scattering with a sufficient magnitude can affect the pattern written by charged particle beam lithography. In another embodiment of the invention, overlapping shots may be utilized to perform mask process correction whereby a higher fidelity pattern is produced in the presence of mid-range scattering. Figure 9A shows one of the patterns 8 射击 of the pattern 8 可 that can be used to generate the group. Shooting group 900 includes rectangular shots 902, 9〇4, 906, 908, 910, and 912. The shooting group 9〇〇 also contains rectangular shots 914, 916, 918 and 920, showing only a certain part of it. Compared to the shooting group 8〇〇, the shooting group 9 (10) includes the following: • The shooting on the outer straight line is widened on its outer edge. This includes shots 902, 904, 918, 912, and 920. In shot 912, for example, edge 936 is moved in the +χ direction as compared to shot 812. • Add extra shots to prevent pattern narrowing in the middle portion of the pattern, as shown by pattern group 850. The added shots include shots 922, 924, 926, 928, 930, and 932. These added shots deliver additional doses to the area, with the exception of the outer edge of the shot that will receive the smaller mid-range scattering dose on the outside. Due to the wide-range shooting group 900 on the outside, the pattern narrowing is prevented by widening the shots 9〇2, 904, 918, 912 and 920 on its outer edge as described above. The overlapping shots 922, 924 and 932 are positioned as The outer edges of the shots 902, 904, and 912 are moved away to prevent the excessive mid-portion of the pattern formed by the shots 9〇2, 9〇4, and 912 from widening. Figure 9B shows an example of a pattern 950 that can be generated from a group of shots 900 on one of the surfaces of the 2012 201214 group. The pattern 950 of the group includes patterns 952, 954, 956, 958, 960, and 962, and partial patterns 964, 966, 968, and 970. As can be seen, the exposure change shown in the shot 900 of the 'middle range scattering occurrence' group compared to the shot 800 of the group improves the fidelity of the pattern produced on the surface. The middle part of the pattern is not narrowed. Further, the width of the outer straight line pattern such as the width of the pattern 962 is equivalent to the width of the inner straight line such as the width 980 of the pattern 958. The calculations described or referenced in the present invention can be achieved in a variety of different ways. In general, calculations can be made by in-process, pre-process, or post-process methods. The calculation in the process involves performing a calculation when the results are needed. The pre-process calculation involves pre-calculation, then storing the results for later retrieval during a subsequent processing step, and can improve processing performance, especially for calculations that can be repeated multiple times. The calculation can also be deferred from a processing step and then performed at a later post-processing step. An example of pre-process calculations is - the shot group' is a pre-calculation of dose pattern information for one or more shots associated with a given input pattern or set of input pattern features. The shooting group and associated input patterns can be stored in a library of pre-computed shooting groups - so that for the additional case of the input pattern, the group of shots containing the shooting group can be quickly generated without patterning recalculate. In some embodiments, the pre-computation system can include a simulation of the (d) group of dose patterns that will be produced on the resist-coated surface. In other embodiments, the firing group can be determined without the need for simulation by using a correct-by-construction technique. In the case of the Knife Court, it is expected that the shooting group can be used in the shooting group library.

28 201239514 /、他實㈣巾’㈣貞計算的射料組係可以可對於·一或多 個特定類錄入„產絲敎„程式卿錢儲存。 厂他貝施丨种’複數個經預計算的射擊群組可以一表格 =式被儲存,其巾表格巾的登_對應於各不同輪入圖案 2入圖案特徵諸如圖案寬度,且其中各表格登錄係提供 料群組中之—清單的射擊、或如何產生適當組射擊之資 此外’不同射擊群組可以*同形式儲存於射擊群組程 :庫中。p刀實施例中,一給定射擊群組可產生的劑量圖 案亦可被儲存於射擊群組程式庫中。一實施例中,劑量圖 案可被儲存成-稱為敎(glyph)的二維(χ&γ)劑量地圖。 第10 S疋如何製備一標線片以供用來在一石夕晶圓上製 作-諸如積體電路等表面之概念流程圖1G5G。第一步驟 1052中,設計-物理設計、諸如—積體電路的—物理設計。 這可包括蚊邏輯閘 '電晶體、金屬層,及在_物理設計 中、諸如-積體電路中所發現需要之其他項目。物理設計 可為直線形、部份曲線形、或完全曲線形。接著,在一步 驟1054中,決定光學鄰近橋正。此揭示的—實施例中,這 可匕括從射擊群㈣以庫1Q74取得經預計算的射擊群組 程式庫作為-輸人。這村Μ加或取代方式包括取 得經預設計的字元麵H切料輸人,包括將可在 =步驟腕巾於-模板腦上取得的複雜字元。此揭示的 -實施例中’ _QPC步驟刪亦可包括射擊計數或寫入時 間的同時最適化’並亦可包括—斷裂操作、一射擊置放操 作、-劑量指派操作,或亦可包括_射擊順序最適化操作、 29 201239514 或其他罩幕資料製備操作,其中這些操作有部分或全部為 同時性或是在單一步驟中被合併。0PC步驟可生成部份或 完全曲線形圖案。OPC步驟1054的輸出係為一罩幕設計 1056。 罩幕製程矯正(MPC)1057可選用性在罩幕設計1〇56上 進行。MPC係修改將被寫入至標線片之圖案,而補償諸如 小於約l〇〇mn寬的圖案之窄化等效應。一步驟⑺兄中,可發 生一可能包括一斷裂操作、一射擊置放操作、一劑量指派 操作、或一射擊順序最適化之罩幕資料製備(MDp)操作。 MDP可使用罩幕設計1056或辦〇 1〇57的結果作為輸入。本 發明的部分實施例中,MPC可以一斷裂或其他MDp操作的 部份進行。亦可以斷裂或其他MDP操作的部份進行其他矯 正,可能的矯正係包括:前向散射,阻劑擴散,庫侖效應, 蝕刻,背向散射,霧化,負載,阻劑充填,及Euv中程散 射。MDP步驟1058的結果係為一射擊清單1〇6〇。〇pc步驟 1054或MDP步驟1058、或者一分離的程式1072係可包括預 s十算可用於一給定輸入圖案之一或多個射擊群組,並將此 資訊儲存於一射擊群組程式庫1074中。此揭示中係想見在 一步驟中合併OPC及罩幕製備的任何或全部不同操作。可 包括一斷裂操作之罩幕資料製備步驟丨〇58係亦可包含一圖 案匹配操作以匹配經預計算的射擊群組,以生成一密切匹 配於罩幕設計之罩幕。罩幕資料製備亦可包含降低步驟 1062中所寫入圖案對於製造變異之敏感度。罩幕資料製備 亦可包含:輸入將被形成於一表面上之圖案,其中圖案略 δ 30 201239514 微地不同,選擇一組將被用來形成該數量的圖案之字元, 該組字元配合於一模板罩幕上,該組字元可能包括複雜及 VSB字元,且該組字元以改變的字元劑量或改變的字元位 置為基礎’或改變束模糊半徑或施加該組字元内之一字元 的部份曝光或拖拉一字元以降低射擊計數或總寫入時間。 可設計表面上之一組略微不同的圖案以在一基材上產生實 質相同的圖案。並且,可從預定一組的字元選擇該組字元。 此揭示的一實施例中,可對於一特定罩幕設計製備出可在 罩幕寫入步驟1062期間被快速選擇之可在一步驟1〇8〇中於 一模板上取得的一組字元。該實施例中,一旦罩幕資料製 備步驟1056完成,在一步驟1〇84中製備一模板。此揭示的 另一實施例中,一模板係在MDP步驟1058之前或與其同時 地在步驟1084中被製備,且可能獨立無關於該特定罩幕設 計。此實施例中,步驟1082中係設計可在步驟1080中取得 的字元及模板佈局以對於許多潛在罩幕設計1 〇 5 6作一般性 輸出’以併入可能由一特定OPC程式1054或一特定MDP程 式1058或特定類型設計所輸出之圖案’其係提供物理設計 1052的特徵,諸如記憶體、快閃記憶體、系統晶片設計、 或設計位於物理設計1052中的特定製程技術、或使用於物 理設計1052中的一特定胞元程式庫 '或可在罩幕設計1〇56 中形成不同組略微不同圖案的任何其他共同特徵。模板可 包括一組字元,諸如在步驟1058中所決定之—有限數量的 字元。 利用射擊清單1060以在一罩幕寫入步驟1〇62中產生一 31 201239514 表面’其使用一荷電粒子束寫入器、諸如一電子束寫入器 系統。罩幕寫入步驟1062可使用含有複數個複雜字元之模 板1084,或可使用一只包含VSB開孔之模板。電子束寫入 器系統係投射一束電子經過模板到一表面上以形成圖案於 一表面上,如一步驟1064所示。完成的表面隨後可使用於 一光學微影術機具中’其顯示於一步驟1066中。最後,一 步驟1068中,產生一諸如矽晶圓等基材。已如先前描述, 步驟1080中’字元可被提供至〇pc步驟1〇54或MDP步驟 1058。步驟1080亦提供字元至一字元及模板設計步驟1〇82 或一射擊群組產生步驟1072。字元及模板設計步驟1〇82提 供輸入至模板步驟1084且提供至字元步驟1〇8〇。射擊群組 產生步驟1072提供資訊至射擊群組程式庫1074。並且,一 射擊群組預計算步驟1072可使用物理設計1〇52或罩幕設計 !〇56作為輸入,並可預計算一或多個射擊群組其儲存於 一射擊群組程式庫1074中。 以模型為基礎的斷裂係可在單一步驟中與習見斷裂作 口併。這譬如係容許以模型為基礎的斷裂使用於令其可在 該處提供最大利益之該等區域中,同時對於該設計的其他 伤則使用較不具運算密集性之習見斷裂。如先前所示, ^見斷裂中,盡可能避免射擊重疊,且所有射擊在長程矯 正之別具有一正常劑量。第11A圖中,概念流程圖1100顯示 可如何合併習見及以模型為基礎的斷裂之一實施例。對於 緃合併斷裂製程之輸入係為罩幕設計1102。罩幕設計U〇2 可旎係為來自第1〇圖的罩幕設計1056,或者其可身為罩幕28 201239514 /, his real (four) towel '(d) 贞 calculated shots can be stored for one or more specific categories of „production 敎 „ 程式 钱 钱 。 。 。 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式 程式The factory's multiple pre-computed shooting groups can be stored in a table format, and the towel table towel _ corresponds to each different wheeling pattern 2 into the pattern features such as the pattern width, and each of the tables The login system provides the shooting of the list, or how to generate the appropriate group of shots. In addition, the 'different shooting groups can be stored in the same way in the shooting group: library. In the p-blade embodiment, the dose pattern that can be generated for a given shot group can also be stored in the shot group library. In one embodiment, the dose pattern can be stored as a two-dimensional (χ & γ) dose map called glyph. The 10th 疋 疋 how to prepare a reticle for use on a lithographic wafer - a conceptual flow diagram 1G5G of a surface such as an integrated circuit. In a first step 1052, a design - physical design, such as - an integrated circuit - physical design. This may include mosquito logic gates 'transistors, metal layers, and other items found in _ physical design, such as - integrated circuits. The physical design can be straight, partially curved, or completely curved. Next, in step 1054, the optical proximity bridge is determined. In the disclosed embodiment, this may include obtaining a pre-computed shooting group library from the shooting group (4) in the library 1Q74 as the input. This village addition or replacement method involves obtaining a pre-designed character face H cut, including complex characters that will be available on the -step brain in the -step brain. In the disclosed embodiment, the '_QPC step deletion may also include simultaneous optimization of the shot count or write time' and may also include a - break operation, a shot placement operation, a dose assignment operation, or may also include _ shooting Sequential optimization operations, 29 201239514 or other mask data preparation operations, where these operations are partially or fully simultaneous or combined in a single step. The 0PC step can generate a partial or complete curved pattern. The output of OPC step 1054 is a mask design 1056. The mask process correction (MPC) 1057 is available on the mask design 1〇56. The MPC system modification will be written to the pattern of the reticle to compensate for effects such as narrowing of the pattern of less than about 1 〇〇 mn wide. In a step (7) brother, a mask data preparation (MDp) operation may be performed which may include a rupture operation, a shot placement operation, a dose assignment operation, or a shot sequence optimization. The MDP can use the result of the mask design 1056 or the operation of 1〇57 as input. In some embodiments of the invention, the MPC can be performed as part of a break or other MDp operation. Other corrections can also be made for breaks or other parts of the MDP operation. Possible corrections include: forward scatter, resist diffusion, Coulomb effect, etching, backscattering, atomization, loading, resist filling, and Euv mid-range scattering. The result of the MDP step 1058 is a shot list 1〇6〇. 〇pc step 1054 or MDP step 1058, or a separate program 1072 may include pre-six calculations for one or more shot groups of a given input pattern, and storing this information in a shot group library 1074. This disclosure is intended to incorporate any or all of the different operations of combining OPC and mask preparation in one step. The mask data preparation step 可 58, which may include a break operation, may also include a pattern matching operation to match the pre-computed shot groups to create a mask that closely matches the mask design. Mask data preparation may also include reducing the sensitivity of the pattern written in step 1062 to manufacturing variations. The mask data preparation may also include: inputting a pattern to be formed on a surface, wherein the pattern is slightly δ 30 201239514 is slightly different, and a set of characters to be used to form the number of patterns is selected, the group of characters matching On a template mask, the set of characters may include complex and VSB characters, and the set of characters is based on the changed character dose or changed character position' or changing the beam blur radius or applying the set of characters A portion of one of the characters is exposed or dragged by one character to reduce the shot count or total write time. A slightly different set of patterns on the surface can be designed to produce a substantially identical pattern on a substrate. Also, the set of characters can be selected from a predetermined set of characters. In one embodiment of the disclosure, a set of characters that can be quickly selected during the mask writing step 1062 and taken over a template in a step 1 〇 8 可 can be prepared for a particular mask design. In this embodiment, once the mask data preparation step 1056 is completed, a template is prepared in a step 〇84. In another embodiment of this disclosure, a template is prepared prior to or concurrent with the MDP step 1058 in step 1084 and may be independent of the particular mask design. In this embodiment, in step 1082, the character and template layouts that can be taken in step 1080 are designed to make a general output for a number of potential mask designs 1 to be incorporated by a particular OPC program 1054 or one. The particular MDP program 1058 or the pattern output by a particular type of design' provides features of the physical design 1052, such as memory, flash memory, system chip design, or a particular process technology designed to be located in the physical design 1052, or used in A particular cell library in physical design 1052' may form any other common feature of the different sets of slightly different patterns in the mask design 1〇56. The template may include a set of characters, such as determined in step 1058 - a limited number of characters. Using shot list 1060 to generate a 31 201239514 surface in a mask writing step 〇 62, which uses a charged particle beam writer, such as an electron beam writer system. The mask writing step 1062 can use a template 1084 containing a plurality of complex characters, or a template containing VSB openings can be used. The electron beam writer system projects a beam of electrons through a stencil onto a surface to form a pattern on a surface, as shown in a step 1064. The finished surface can then be used in an optical lithography implement' which is shown in a step 1066. Finally, in a step 1068, a substrate such as a germanium wafer is produced. As previously described, the 'words' in step 1080 can be provided to 〇pc step 1〇54 or MDP step 1058. Step 1080 also provides a character to a character and template design step 1 〇 82 or a shot group generation step 1072. The character and template design step 〇82 provides an input to the template step 1084 and provides to the character step 1〇8〇. The Shooting Group Generation Step 1072 provides information to the Shooting Group Library 1074. Also, a shot group pre-calculation step 1072 can use the physical design 1 〇 52 or mask design ! 〇 56 as input, and can pre-compute one or more shot groups stored in a shot group library 1074. A model-based fracture system can be combined with a see-through fracture in a single step. This would allow model-based fractures to be used in such areas where they would provide maximum benefit, while other injuries to the design would be less computationally intensive. As previously indicated, ^ see breaks, avoiding shot overlap as much as possible, and all shots have a normal dose during long-range correction. In Figure 11A, conceptual flow diagram 1100 shows one embodiment of how the merged and model-based fractures can be combined. The input system for the 緃 merge fracture process is the mask design 1102. The mask design U〇2 can be used as the mask design 1056 from the first drawing, or it can be used as a mask

32 201239514 設計1056的一部份、或一經更改形式的罩幕設計⑺%、諸 如來自MPC1G57者。在罩幕設計膽上進行f見斷裂ιι〇4 以生成-習見射擊清單11G6。或者’可在罩幕設計·的 部份上進行習見斷裂,使一些部份保持未斷裂。一以模型 為基礎的斷裂步驟1108隨後係輸入射擊清單丨丨⑽並修改、 添加或刪除一射擊的複雜區域中之射擊。複雜區域可譬如 包括具有最小圖案之區域,或具有曲線形圖案之區域。複 雜區域亦可包括具有來自中程散射的高影響之區域。複雜 區域亦可包括製造中具有特定敏感度之“熱區(h〇t spots)’’。此脈絡的“複雜”用語可能不代表形狀的幾何複雜 度。部分實施例中,以模型為基礎的斷裂11〇8係可包括: 決定在何者區域中修改習見射擊及/或以模型為基礎的射 擊取代習見射擊。其他實施例中,複雜區域可在一分開的 步驟112中被決定,自動地從罩幕設計1〇56或人工地決定。 任一案例中,以模型為基礎的斷裂11〇8係產生射擊,其有 些係部份地重疊於其他射擊。以模型為基礎的斷.nos係 可以已利用以模型為基礎的技術所決定之射擊來取代或修 改射擊的經設計或經決定複雜部分中之部分或全部習見射 擊。以模型為基礎的斷裂步驟1108之輸出係為一最終射擊 清單1110 ’其含有習見及以模型為基礎的射擊兩者。最終 射擊清單1108係對應於第1〇圖射擊清單1060。對於概念流 程圖中之步驟的粗糙顆粒平行處理,罩幕設計11〇2可能是 一部份設計,或其可能是可平行進行各步驟之整體設計。 第11B圖概念流程圖U20係顯示可如何合併習見及以 33 201239514 模型為基礎的斷裂之另一實施例。對於經合併斷裂製程之 輸入係為罩幕設計1122。罩幕設計1122可能是來自步驟1 〇 的罩幕設計1056,或者其可能是罩幕設計1〇56的一部份、 或一經更改形式的罩幕設計1056、諸如來自MPC 1057者。 第11B圖中,罩幕設計1122係藉由將圖案資料分成非複雜圖 案區域1126及複雜圖案區域1128之圖案區分步驟1124所處 理。一習見斷裂步驟1130使用非複雜圖案區域H26作為輸 入。習見斷裂1130輸出一清單的習見射擊1136。一額外輸 出係為PEC資訊1132。部分實施例中,此資訊可能是可被 PEC直接使用之一或多個形式。其他實施例中,pec資訊可 譬如為習見清單本身,可自其計算PEC資訊。複雜圖案區 域1128係利用以模型為基礎的斷裂1134所斷裂。以模型為 基礎的斷裂1134可使用PEC資訊1132作為輸入,若需要從習 見射擊推導出對於長程效應的影響範圍内以模型為基礎的 射擊之適當PEC端正’則處理此資訊。其他實施例中,pEC 資訊亦可由以模型為基礎的斷裂1134所輸出,且習見斷裂 1130可以某方式使用此資訊。以模型為基礎的斷裂1134生 成一以模型為基礎的射擊清單1138 ^習見射擊清單1136及 以模型為基礎的射擊清單1138隨後合併成一經合併射擊清 單1140 ’其對應於第1〇圖射擊清單1〇6〇。對於概念流程圖 1120中之步驟的粗糙顆粒平行處理,罩幕設計1122可能是 一部份設計,或者其可能是其中可平行進行各步驟之整體 設計。 本揭示所描述的斷裂、罩幕資料製備、鄰近效應矯正 34 201239514 及射擊群生成流程係可利用作 腦軟體的1贱f輯實行。广Μ置之具有適當電 亦可平行㈣㈣《域要的大量計算, 可細分成、流程中的-或多個運Γ—實施例中’運算 二維幾何區,以支援平行運算。/_性步驟之複數個 獨或多重心的—特殊用途硬體h I施财’可利用單 腦或處理器核心更高之速度進行比利用—般用途電 實施例中,特殊用途硬體裝置可t多個步驟的運算。一 _)。另-實施例中,此揭示中所:是—圖形處理單元 程係可包括修訂及重新計討能^相最適化及模擬製 量減少總射擊數、或總荷電粒子代製程,藉以盡 數。又另-實施例中,可以:入時間、或某其他參 (CO叫by糊敝tiGn)方法決定&amp;由建構作橋正 要射擊修改。 句刀始的射擊’故不需 雖已對於特定實施例詳細地描述 者解讀上文後將瞭解可易於構想出這心:=術 式' 或變異、及均等物。該等用於斷裂—二的錢方 鄰近效應橋正及光學鄰近紅的方法I 胃料製備、 變異可由-般熟習該技術者實行,而/些及其他修改及 圍更特定地建立之本標的物的精神與範請專· =技術者將瞭解:上文贿僅供範_、且t作2熟 :對於此說明書中的步驟添加、刪除或修改㈣而不脫離 2發明的範圍。-般而言,所提出的任何⑽ 表明達成-魏之基本操作的―可能順序,且可能具^ 35 201239514 多變異。因此,本標的物係預定涵蓋位於申請專利範圍及 其均等物的範圍内之此等修改及變異。 L圖式簡單說明3 第1圖顯示一字元投射荷電粒子束系統的一範例; 第2A圖顯示一橫剖面劑量圖形的一範例,其描繪對於 兩阻劑臨閾值各者之經對齊圖案寬度; 第2B圖顯示一橫剖面劑量圖形的一範例,其類似於第 2A圖、但具有比第2A圖更高的一劑量邊緣斜率; 第3A圖顯示將被形成於一標線片上之一所期望的 1 OOnm線端圖案之一範例; 第3B圖顯示利用習見技術使第3A圖的圖案斷裂而產 生之射擊所形成的一經模擬圖案之一範例; 第4A圖顯示將被形成於一標線片上之一所期望的 80nm線端圖案之一範例; 第4B圖顯示利用習見技術使第4A圖的圖案斷裂而產 生之射擊所形成的一經模擬圖案之一範例; 第5A圖顯示將被形成於一標線片上之一所期望的 60nm線端圖案之一範例; 第5B圖顯示利用習見技術使第5A圖的圖案斷裂而產 生之射擊所形成的一經模擬圖案之一範例; 第6圖顯示可用來形成一 80nm線端圖案之群組的射擊 之不同範例; 第7圖顯示由第6圖的各不同射擊群組所形成之經模擬 圖案; 36 201239514 第8A圖顯示將被形成於一表面上之一群組的矩形圖案 之一範例; 第8B圖顯示在中程散射出現下,可如何利用習見非重 疊VSB射擊被形成於一表面上之第8A圖的圖案之一範例; 第9A圖顯示可用來形成第8A圖的圖案於一表面上之 一組重疊的VSB射擊之一範例; 第9B圖顯示可從第9A圖的射擊被形成於一表面上之 一圖案的一範例; 第10圖顯示如何製備一表面、諸如一標線片之概念流 程圖,以供利用光學微影術用來製作一諸如積體電路等基 材於一石夕晶圓上; 第11A圖顯示一在相同設計中合併以模型為基礎及習 見斷裂之方法的概念流程圖; 第11B圖顯示另一在相同設計中合併以模型為基礎及 習見斷裂之方法的概念流程圖。 【主要元件符號說明】 100···電子束寫入器系統 112···電子束源 114,120,134...電子束 116···開孔板 118…開孔 122···矩形開孔板或模板罩幕 124…開口或開孔 126,126A···字元 37 201239514 130…表面 132…基材 136···遮黑區域 138···電磁或靜電縮減透鏡 M0…經縮減的電子束 142…偏向器 148,412,512,602,612,712,722,732,800,852,854,856,858,860,862, 864,866,868,870,950,952,954,956,958,960,962〜圖案 150…定位機構 202,222…橫剖面劑量曲線 204,224·.·較高的臨閾值 206,226…較低的臨閾值 214,216,234,236,604··.寬度 302,402,502…經設計圖案 304,404,504,514,606 …線端 306,406,508…恆定寬度 312,412,850…經模擬圖案 314···圖案312的線端部分 316,318_··圖案周邊的段 408,5〇6…參考線 414···圖案412的線端部分 416,418…圖案412的周邊之部分 518···圖案512的周邊區 608…虛線32 201239514 A part of the design 1056, or a modified version of the mask design (7)%, such as from the MPC1G57. On the mask design, let's see the break ιι〇4 to generate - see the shot list 11G6. Alternatively, the fracture can be seen on the part of the mask design so that some parts remain unbroken. A model-based rupture step 1108 then enters the shot list 丨丨(10) and modifies, adds, or deletes shots in a complex region of a shot. The complex area may include, for example, an area having a minimum pattern or an area having a curved pattern. The complex region may also include regions with high impact from mid-range scattering. Complex areas may also include "hot spots" with specific sensitivities in manufacturing. The "complex" term of this context may not represent the geometric complexity of the shape. In some embodiments, model-based The fracture 11〇8 series may include: deciding in which region the modified shots and/or the model-based shots are substituted for the simulated shots. In other embodiments, the complex regions may be determined in a separate step 112, automatically from The mask design is 1〇56 or manually determined. In either case, the model-based fracture 11〇8 system produces shots, some of which partially overlap with other shots. Model-based breaks. Nos can The shots determined by the model-based technique have been used to replace or modify some or all of the shots in the designed or determined complex portion of the shot. The output of the model-based rupture step 1108 is a final shot list 1110. 'It contains both a habit and a model-based shot. The final shot list 1108 corresponds to the first shot shot list 1060. For the steps in the conceptual flow diagram Rough particles are processed in parallel, and the mask design 11〇2 may be part of the design, or it may be an overall design that can be performed in parallel. Figure 11B Conceptual flow chart U20 shows how the merger can be seen and the model is 33 201239514 Another embodiment of the underlying fracture. The input system for the merged fracture process is a mask design 1122. The mask design 1122 may be the mask design 1056 from step 1 ,, or it may be a mask design 1 〇 56 A portion, or a modified form of the mask design 1056, such as from MPC 1057. In Figure 11B, the mask design 1122 is a patterning step by dividing the pattern data into non-complex pattern regions 1126 and complex pattern regions 1128. 1124 is processed. A conventional fracture step 1130 uses the non-complex pattern region H26 as an input. The fracture 1130 outputs a list of the conventional shots 1136. An additional output is the PEC information 1132. In some embodiments, this information may be PEC. One or more forms are used directly. In other embodiments, the pec information can be, for example, the look-up list itself, from which PEC information can be calculated. Case area 1128 is broken using model-based fracture 1134. Model-based fracture 1134 can use PEC information 1132 as input, if it is necessary to derive model-based shots from the practice shots for the effects of long-range effects. This information is processed by the appropriate PEC terminal. In other embodiments, the pEC information can also be output by the model-based fracture 1134, and the fracture 1130 can be used in some way. The model-based fracture 1134 generates one. The model-based shooting list 1138 ^See shooting list 1136 and the model-based shooting list 1138 are then merged into a combined shooting list 1140 'which corresponds to the first shot shooting list 1〇6〇. For the parallel processing of the coarse particles of the steps in the conceptual flow diagram 1120, the mask design 1122 may be part of the design, or it may be an overall design in which the steps may be performed in parallel. The fractures, mask data preparation, proximity effect corrections described in the present disclosure 34 201239514 and the firing group generation process can be implemented using the 1贱f series of brain software. The appropriate power can also be parallel (4) (four) "a large number of calculations required by the domain, can be subdivided into, in the process - or multiple operations - in the embodiment" to operate the two-dimensional geometric region to support parallel operations. / _ Sexual steps of multiple independent or multi-hearted - special purpose hardware h I Shicai 'can use a single brain or processor core for higher speed than utilization - general use of electricity, special purpose hardware devices Can be operated in multiple steps. One _). In another embodiment, the disclosure is that the graphics processing unit can include revision and re-evaluation to optimize the summation and analog production to reduce the total number of shots, or the total charged particle generation process. In another embodiment, the time of entry, or some other parameter (CO called by tiGn), may be determined by the construction of the bridge to be modified. The beginning of the sentence is not required. Although it has been described in detail for a particular embodiment, it will be appreciated that the following can be readily conceived: = </ RTI> or variations, and equivalents. The method for the singularity of the singularity of the singularity of the singularity of the singularity of the singularity of the singularity of the singularity of the singularity of the singularity of the singularity of the singularity of the singularity of the smear The spirit and the spirit of the object please = = the technician will understand: the bribe is only for the _, and t is 2 cooked: add, delete or modify (4) for the steps in this specification without departing from the scope of the 2 invention. In general, any proposed (10) indicates the possible sequence of achieving the basic operation of Wei, and may have multiple variations of 201235. Therefore, the subject matter of the subject matter is intended to cover such modifications and variations within the scope of the claims and their equivalents. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 shows an example of a one-shot projected charged particle beam system; FIG. 2A shows an example of a cross-sectional dose pattern depicting the aligned pattern width for each of the two resist thresholds. Figure 2B shows an example of a cross-sectional dose pattern similar to Figure 2A but with a higher dose edge slope than Figure 2A; Figure 3A shows one that will be formed on a reticle An example of a desired line end pattern of 100 nm; FIG. 3B shows an example of a simulated pattern formed by shots generated by the technique of breaking the pattern of FIG. 3A; FIG. 4A shows that it will be formed on a line An example of one of the desired 80 nm line end patterns on the sheet; FIG. 4B shows an example of a simulated pattern formed by shots generated by the technique of breaking the pattern of FIG. 4A; FIG. 5A shows that it will be formed in An example of a desired 60 nm line end pattern on one of the reticle sheets; Figure 5B shows an example of a simulated pattern formed by shots generated by breaking the pattern of Fig. 5A using a conventional technique; A different example of a shot that can be used to form a group of 80 nm line end patterns is shown; Figure 7 shows a simulated pattern formed by the different shot groups of Figure 6; 36 201239514 Figure 8A shows that it will be formed in one An example of a rectangular pattern of a group on a surface; Figure 8B shows an example of how to use the pattern of Figure 8A formed on a surface by a non-overlapping VSB shot in the presence of mid-range scattering; The figure shows an example of a VSB shot that can be used to form a set of patterns on a surface of Figure 8A that overlaps; Figure 9B shows an example of a pattern that can be formed on a surface from a shot of Figure 9A; Figure 10 shows a conceptual flow diagram of how to prepare a surface, such as a reticle, for use in optical lithography to fabricate a substrate such as an integrated circuit on a wafer; Figure 11A shows the same A conceptual flow diagram incorporating a model-based approach and a method of seeing fractures in the design; Figure 11B shows a conceptual flow diagram of another method of combining model-based and acquaintance fractures in the same design. [Description of main component symbols] 100···Electrobeam writer system 112···electron beam source 114,120,134...electron beam 116···opening plate 118...opening hole 122···rectangular aperture plate or template Mask 124... opening or opening 126, 126A··· character 37 201239514 130... surface 132...substrate 136···blackening area 138···electromagnetic or static reduction lens M0...reduced electron beam 142... Bias 148, 412, 512, 602, 612, 712, 722, 732, 800, 852, 854, 856, 858, 860, 862, 864, 866, 868, 960, 950, 952, 954, 956, 958, 960, 962~ Layout 150... Positioning mechanism 202, 222... Cross-sectional dose curve 204, 224.. Higher threshold value 206, 226... Lower threshold 214, 216, 234, 236, 604.. width 302, 402, 502... Design pattern 304, 404, 504, 514, 606 ... line end 306, 406, 508... constant The width of the line 312, 850, 850...the line end portion 316 of the pattern 312, the pattern 312, the section 408 of the pattern periphery 408, 5〇6...the reference line 414··the line end portion of the pattern 412, the portion of the periphery of the pattern 412 518···the peripheral area 608 of the pattern 512...the dotted line

S 38 201239514 622,632,642,900· · ·射擊群組 624,626,634,636,638,644,646,648,650,802,804,806,808,810,812, 814,816,818,820,922,924,926,928,930,932&quot;.射擊 628···射擊624的寬度 714,716,734,736···周邊區 724…圖案722的線端角落 800…矩形圖案 830,832…空間 872…角落 874…圖案858的中部分 876···圖案862的窄化區 878…圖案862的邊緣 880…圖案858的寬度 882…圖案862的寬度 902,904,906,908,910,912,914,916,918,920...矩形射擊 936…邊緣 964,966,968,970…部份圖案 980…圖案958的寬度 1050…流程圖 1052,1054,1056,1057,1058,1060,1062,1064,1066,1068,1072,1074, 1080,1082,1084,1102,1104,1106,1108,1110,1112,1122,1124, 1126,1128,1130,1132,1134,1136,1138,1140 …步驟 1100,1120…概念流程圖 39S 38 201239514 622,632,642,900·· Shooting group 624,626,634,636,638,644,646,648,650,802,804,806,808,810,812,814,816,818,820,922,924,926,928,930,932&quot;. Shooting 628··· Shooting 624 width 714,716,734 The middle portion 876 of the pattern 858 ... the narrowing region 878 of the pattern 862 ... the edge 880 of the pattern 862 ... the width 882 of the pattern 858 ... the width of the pattern 862 902, 904, 906, 908, 910, 912, 914, 916, 918, 920 ... rectangular shooting 936 ... edge 964, 966, 968, 970 ... partial pattern 980... The width of the pattern 958 is 1050...flow charts 1052, 1054, 1056, 1057, 1058, 1060, 1062, 1064, 1066, 1068, 1072, 1074, 1080, 1082, 1084, 1102, 1104, 1106, 1108, 1110, 1112, 1122, 1124, 1126, 1128, 1130, 1132, 1134, 1136, 1138, 1140 ... Step 1100, 1120... Concept Flowchart 39

Claims (1)

201239514 七、申請專利範圍·· 1. 一種用於光學鄰近矯正(0PC)之方法,包含下列步驟: 輸入一輸入圖案; 輸入一組OPC指令;及 決定可形成一圖案於一表面上之複數個荷電粒子 束射擊’⑴其中該等複數個射擊中的炱少兩射擊係重 豐’及(u)其中該圖案係為該輸入圖案的,OPC補償版, 及(⑴)其中該表面上的曝光圖案對於製造變異之敏感度 係降低。 2. 如申請專利範圍第丨項之方法,其中該決定步驟係包含 從該等複數個射擊計算該表面上的該圖案。 3·如申請專利範圍第2項之方法’其中該計算係包含荷電 粒子束模擬。 4·如申清專利範圍第2項之方法進一步包含運算步驟, 其運算該表面上的該經計算圖案於利用光學微影製程 被轉移至該基材時是否將於該基材上形成在—預定公 差内均等於用於該基材的所期望圖案之—圖案,其中該 運算步驟係包含微影術模擬及似彳模擬中的至少—者。 5.-種用於荷電粒子綠f彡術_裂伽伽㈣)或罩幕資 料製備鱗幕製_正或鄰近效應矯正之方法 列步驟: 3 決定將形成一圖案於—表面上之複數個荷電粒子 束,擊’其中料複數個射擊中之至少兩射擊係部份地 重疊’且其中該表面上的曝光圖案對於製造變異之敏感 40 201239514 度係降低。 6. 如申請專利範圍第5項之方法,其中藉由相較於使用非 重疊正常劑量VSB射擊以形成該圖案而言增大邊緣斜 率,藉以降低該對於製造變異之敏感度。 7. 如申請專利範圍第5項之方法,其中該決定步驟係包含 從該等複數個射擊計算該表面上的該圖案。 8. 如申請專利範圍第7項之方法,其中該計算係包含荷電 粒子束模擬。 9.如申請專利範圍第8項之方法,其中該荷電粒子束模擬 係包括由前向散射、阻劑擴散、庫侖效應(C0Ul〇mb effect)、及蝕刻所組成之一短程效應群組中之至少一者。 10.如申請專利範圍第8項之方法,其中該荷電粒子束模擬 係包括極紫外線(Euv)中程散射。 11·如申請專利制第8項之方法,其巾該荷電粒子束模擬 係包括由背向散射、霧化、貞載及阻散填所組成之一 長程效應群組中之至少一者。 12·如申請專利範圍第5項之方法,其中該等複數個射擊中 之各射擊係包含_指定劑量,且其中在長程矯正之前, 該等複數個射擊中之—第-射擊的指定劑量係不同於 忒等複數個射擊中之—第二射擊的指定劑量。 甲知專利範圍第5項之方法,其令在該決定步财, 該表面上的該圖案係為-第-圖案,該方法進—步包入 產生將形成-第二圖案於該表面上的-組非重疊正: 劑量可妓形束(彻)射擊之步驟,其中該第— 201239514 該第二圖案係相鄰。 14. 如申請專利範圍第13項之方法,其中來自該組非重疊 VSB射擊之短程及/或長程效應係使用於該決定步驟中。 15. 如申請專利範圍第5項之方法,其中該等複數個射擊中 的射擊係為可變定形束(VSB)射擊。 16. 如申請專利範圍第5項之方法,其中該等複數個射擊係 在單一曝光通行中被曝光。 17. 如申請專利範圍第5項之方法,進一步包含下列步驟: 輸入將形成該圖案於該表面上之具有正常劑量之 一組非重疊VSB射擊;及 以該等經決定的複數個射擊取代該組VSB射擊中 之部分或全部射擊。 18. —種用於荷電粒子束微影術的斷裂或罩幕資料製備或 罩幕製程矯正或鄰近效應矯正之方法,包含下列步驟: 輸入將被形成於一表面上之一所期望圖案;及 決定將形成該圖案於該表面上之複數個荷電粒子 束射擊,其中該等複數個射擊中的至少兩射擊係部份重 疊,及其中該等複數個射擊將於該表面上形成一圖案其 比從非重疊正常劑量VSB射擊所形成之圖案係更接近 於該所期望圖案。 19. 如申請專利範圍第18項之方法,其中該決定步驟係包含 從該等複數個射擊計算該表面上的該圖案。 20. 如申請專利範圍第19項之方法,其中該計算係包含荷電 粒子束模擬。 42 S 201239514 21. 如申請專利範圍第18項之方法,其中藉由相較於使用非 重疊正常劑量VSB射擊以形成該圖案而言增大邊緣斜 率,藉以降低該對於製造變異之敏感度。 22. —種用於荷電粒子束微影術的罩幕製程矯正之方法,包 含下列步驟: 輸入將被形成於一標線片(reticle)上之一所期望圖 案;及 決定複數個荷電粒子束射擊,其中該等複數個射擊 中的至少兩射擊係部份重疊,其中該等複數個射擊將形 成該所期望圖案於該標線片上,且其中該等複數個射擊 係併入有罩幕製程橋正。 23. —種用於荷電粒子束微影術的斷裂或罩幕資料製備或 罩幕製程矯正或鄰近效應矯正之系統,包含: 一裝置,其能夠決定將形成一圖案於一表面上之複 數個荷電粒子束射擊,其中該等複數個射擊中的至少兩 射擊係部份地重疊,且其中該表面上的曝光圖案對於製 造變異之敏感度係降低。 24. 如申請專利範圍第23項之系統,其中藉由相較於使用非 重疊正常劑量VSB射擊以形成該圖案而言增大邊緣斜 率,藉以降低該對於製造變異之敏感度。 25. —種用於一設計之光學鄰近矯正(OPC)的系統,該設計 包含待形成於一表面上的一圖案,該系統包含: 用於該基材之一所期望圖案;及 一裝置,其能夠決定複數個荷電粒子束射擊⑴其中 43 201239514 該等複數個射擊中的至少兩射擊係重疊,(ii)其中該等 複數個射擊將形成一圖案於該表面上,其當使用於該光 學微影製程中時將形成用於該基材的該所期望圖案,及 (iii)其中該表面上的曝露圖案對於製造變異之敏感度係 降低。201239514 VII. Patent Application Range·· 1. A method for optical proximity correction (0PC), comprising the steps of: inputting an input pattern; inputting a set of OPC commands; and determining a plurality of patterns that can form a pattern on a surface Charged particle beam shot '(1) wherein the plurality of shots in the plurality of shots are heavy and 'u) wherein the pattern is the input pattern, the OPC compensated version, and ((1)) the exposure on the surface The sensitivity of the pattern to manufacturing variations is reduced. 2. The method of claim 2, wherein the determining step comprises calculating the pattern on the surface from the plurality of shots. 3. The method of claim 2, wherein the calculation comprises a charged particle beam simulation. 4. The method of claim 2, further comprising an operation step of calculating whether the calculated pattern on the surface is formed on the substrate when transferred to the substrate by an optical lithography process. The predetermined tolerance is equal to the pattern of the desired pattern for the substrate, wherein the operational steps include at least one of lithography simulation and analogy simulation. 5.- Kind for charged particle green 彡 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ The charged particle beam, hitting at least two of the plurality of shots partially overlapping, and wherein the exposure pattern on the surface is sensitive to manufacturing variations 40 201239514 degree system. 6. The method of claim 5, wherein the sensitivity to manufacturing variations is reduced by increasing the edge slope compared to using a non-overlapping normal dose VSB shot to form the pattern. 7. The method of claim 5, wherein the determining step comprises calculating the pattern on the surface from the plurality of shots. 8. The method of claim 7, wherein the calculation comprises a charged particle beam simulation. 9. The method of claim 8, wherein the charged particle beam simulation system comprises one of a short-range effect group consisting of forward scatter, resist diffusion, Coulomb effect, and etching. At least one. 10. The method of claim 8, wherein the charged particle beam simulation comprises extreme ultraviolet (Euv) mid-range scattering. 11. The method of claim 8, wherein the charged particle beam simulation comprises at least one of a long-range effect group consisting of backscattering, atomizing, helium loading, and blocking filling. 12. The method of claim 5, wherein each of the plurality of shots comprises a specified dose, and wherein prior to the long range correction, the specified dose of the first shot is in the plurality of shots It is different from the specified dose of the second shot in a plurality of shots such as 忒. A method of claim 5, wherein in the decision, the pattern on the surface is a -first pattern, the method further encapsulating to produce a second pattern on the surface - Group non-overlapping positive: The step of dose-to-beam (sharp) shooting, wherein the second - 201239514 is adjacent to the second pattern. 14. The method of claim 13, wherein the short-range and/or long-range effects from the set of non-overlapping VSB shots are used in the determining step. 15. The method of claim 5, wherein the shots in the plurality of shots are variable shaped beam (VSB) shots. 16. The method of claim 5, wherein the plurality of shots are exposed in a single exposure pass. 17. The method of claim 5, further comprising the steps of: inputting a non-overlapping VSB shot having a normal dose of the pattern on the surface; and replacing the determined plurality of shots with the plurality of shots Part or all of the shots in the group VSB shot. 18. A method for fracture or mask data preparation or mask process correction or proximity effect correction for charged particle beam lithography, comprising the steps of: inputting a desired pattern to be formed on a surface; Determining that a plurality of charged particle beam shots of the pattern are formed on the surface, wherein at least two of the plurality of shots partially overlap, and wherein the plurality of shots form a pattern on the surface The pattern formed from the non-overlapping normal dose VSB shots is closer to the desired pattern. 19. The method of claim 18, wherein the determining step comprises calculating the pattern on the surface from the plurality of shots. 20. The method of claim 19, wherein the calculation comprises a charged particle beam simulation. 42 S 201239514 21. The method of claim 18, wherein the sensitivity to manufacturing variations is reduced by increasing the edge slope compared to using a non-overlapping normal dose VSB shot to form the pattern. 22. A method of mask process correction for charged particle beam lithography comprising the steps of: inputting a desired pattern to be formed on a reticle; and determining a plurality of charged particle beams Shooting, wherein at least two of the plurality of shots partially overlap, wherein the plurality of shots will form the desired pattern on the reticle, and wherein the plurality of shots are incorporated into a mask process Bridge is right. 23. A system for fracture or mask data preparation or mask process correction or proximity effect correction for charged particle beam lithography, comprising: a device capable of determining a plurality of patterns to be formed on a surface A charged particle beam shot wherein at least two of the plurality of shots partially overlap, and wherein the exposure pattern on the surface is less sensitive to manufacturing variations. 24. The system of claim 23, wherein the sensitivity to manufacturing variations is reduced by increasing the edge slope as compared to using a non-overlapping normal dose VSB shot to form the pattern. 25. A system for optical proximity correction (OPC) of a design, the design comprising a pattern to be formed on a surface, the system comprising: a desired pattern for one of the substrates; and a device, It is capable of determining a plurality of charged particle beam shots (1) wherein 43 201239514 at least two of the plurality of shots overlap, (ii) wherein the plurality of shots form a pattern on the surface, which is used in the optics The desired pattern for the substrate will be formed during the lithography process, and (iii) where the exposure pattern on the surface is less sensitive to manufacturing variations.
TW100136720A 2010-10-13 2011-10-11 Method and system for reducing manufacturing variation using charged particle beam lithography TWI546614B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39247710P 2010-10-13 2010-10-13
US13/168,953 US8703389B2 (en) 2011-06-25 2011-06-25 Method and system for forming patterns with charged particle beam lithography
US13/168,954 US8473875B2 (en) 2010-10-13 2011-06-25 Method and system for forming high accuracy patterns using charged particle beam lithography

Publications (2)

Publication Number Publication Date
TW201239514A true TW201239514A (en) 2012-10-01
TWI546614B TWI546614B (en) 2016-08-21

Family

ID=47599543

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100136720A TWI546614B (en) 2010-10-13 2011-10-11 Method and system for reducing manufacturing variation using charged particle beam lithography

Country Status (1)

Country Link
TW (1) TWI546614B (en)

Also Published As

Publication number Publication date
TWI546614B (en) 2016-08-21

Similar Documents

Publication Publication Date Title
TWI605302B (en) Method for critical dimension uniformity using charged particle beam lithography
KR101671322B1 (en) Method for design and manufacture of a reticle using variable shaped beam lithography
US8137871B2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
TWI526788B (en) Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US8221939B2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US8431914B2 (en) Method and system for manufacturing a surface using charged particle beam lithography with variable beam blur
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
KR20110069044A (en) Method for optical proximity correction, design and manufacturing of a reticle using variable shaped beam lithography
US9057956B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20120221980A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
KR101761270B1 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
US8221940B2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
TWI567503B (en) Method and system for design of enhanced patterns for charged particle beam lithography
TW201239514A (en) Method and system for forming high accuracy patterns using charged particle beam lithography
US20130252143A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
US9164372B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
WO2012118621A2 (en) Method and system for design of enhanced patterns for charged particle beam lithography