TW201035690A - Acid-sensitive, developer-soluble bottom anti-reflective coatings - Google Patents

Acid-sensitive, developer-soluble bottom anti-reflective coatings Download PDF

Info

Publication number
TW201035690A
TW201035690A TW099104952A TW99104952A TW201035690A TW 201035690 A TW201035690 A TW 201035690A TW 099104952 A TW099104952 A TW 099104952A TW 99104952 A TW99104952 A TW 99104952A TW 201035690 A TW201035690 A TW 201035690A
Authority
TW
Taiwan
Prior art keywords
group
layer
composition
reflective coating
polymer
Prior art date
Application number
TW099104952A
Other languages
Chinese (zh)
Other versions
TWI524151B (en
Inventor
Jim D Meador
Joyce A Lowes
Ramil-Marcelo L Mercado
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of TW201035690A publication Critical patent/TW201035690A/en
Application granted granted Critical
Publication of TWI524151B publication Critical patent/TWI524151B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Abstract

Acid-sensitive, developer-soluble bottom anti-reflective coating compositions are provided, along with methods of using such compositions and microelectronic structures formed thereof. The compositions preferably comprise a crosslinkable polymer dissolved or dispersed in a solvent system. The polymer preferably comprises recurring monomeric units having adamantyl groups. The compositions also preferably comprise a crosslinker, such as a vinyl ether crosslinking agent, dispersed or dissolved in the solvent system with the polymer. In some embodiments, the composition can also comprise a photoacid generator (PAG) and/or a quencher. The bottom anti-reflective coating compositions are thermally crosslinkable, but can be decrosslinked in the presence of an acid to be rendered developer soluble.

Description

201035690 六、發明說明: 相關申請案之交互參照 本申請案主張 2009 年 2 月 19 曰申請之 ANTI-REFLECTIVE COATINGS WITH ACID-CLEAVABLE, ADAMANTYL MONOMER IN BINDER POLYMER (序號 61/153,909)之優先權權益,該文獻係以全文引用之方式併 入本文中。 發明背景 【發明所屬之技術領域】 本發明係關於一種使用聚合物中之金剛烷基單體形成 且具有優良反射率控制及優良光阻相容性之新型可濕式顯 影底部抗反射塗層。 【先前技術】 隨著積體電路(1C)行業向較小特徵尺寸持續邁進以 增加資訊儲存能力,需要傑出抗反射技術來提供193 nm微 影所需之臨界尺寸(CD )控制。底部抗反射塗層將為選用 於臨界及甚至非臨界應用(諸如植入)之抗反射材料。在 頂部抗反射塗層下使用經染色抗蝕劑對於45 nm、32 nm及 22 nm節點植入層並不足夠。植入45 nm節點所需之CD為 約1 5 0 nm,而植入3 2 nm及22 nm節點所需之CD為約130 nm 〇 雖然用於最現代應用之底部抗反射塗層為電漿顯影型 201035690 (乾式)’但較少使用之顯六 層亦提供某些優勢,勺 * (濕式)底部抗反射塗 rRTF. ^ 匕括4除乾式顯影所必需之活性離子 在二步驟φ冑以及對堆疊中之電漿敏感層的潛在損害。 在二㈣中使用光阻顯影劑(例如氣氧化四曱録(TMAH) 除經曝光之抗餘劑及顯影劑可溶之底部抗反射 展 几反射塗層由於在底部抗反射塗 n”期間使得對未曝光之抗_的移除減至最少而 :刻預算。然而’顯影劑可溶之底部 =可使用乾燥底部抗反射塗層達成之解析度且典型 9析度需求不那麼嚴格之非臨界應用,諸如植入層。 多種用於製備光敏感顯影劑可溶之正性底部抗反射塗 化學平台先前已經描述。此等底部抗反射塗層通 二有熱固性且包括:a)使用聚合黏合劑的填充染料之底 P抗反射塗層,b)使用具有連接聚合物之發色團的酸可降 =超支化聚合物形成的塗層;或〇使料接染料之線性聚 〇 &物形成的塗層。對於此等3種所強調之方法,聚合物薄 膜在熱板烘烤步驟期間變得溶劑不可溶(交聯)。在曝露於 適合光源及㈣曝錢料(PEB)後,其降解成顯影劑可 溶或水可溶之物質。然而,對於具有臨界顯微触刻術應用 所需之改良解析度及加工寬容度的底部抗反射塗層平台仍 存在需求。 【發明内容】 本發明大體係關於-種形成微電子結構之方法。該方 5 201035690 法包含提供具有表面之基板,在該基板表面上形成抗反射 =,及將光阻塗覆至該抗反射層上以形成成像層。由包含 溶解於或分散於溶劑系統中之可交聯聚合物的抗反射組成 物形成抗反射層。聚合物包含具有金剛烷基之循環單體單 元。 本發明亦係關於一種微電子結構,其包含具有表面之 基板、與該基板表面相鄰之固化抗反射層以及與該抗反射 層相鄰之光阻層。纟包含溶解於或分散於溶劑系統中之可 父聯聚合物的抗反射塗料組成物形成抗反射塗層。聚合物 包含具有金剛烷基之循環單體單元。 亦提供一種抗反射塗料組成物,其包含溶解於或分散 於溶劑系統中之可交聯聚合物及交聯劑。聚合物包含具有 五剛烷基之循環單體單元及具有酸基之循環單體單元。 【實施方式】 本發明係關於-種新型底部抗反射塗料組成物,使用 此等組成物之方法,及由其形成之結構。肖等組成物在固 化時較佳不可溶於有機溶劑及光阻顯影劑中,但可在酸存 在下解交聯且用顯影劑移除。在一些態樣中,固化 交聯)之抗反射塗料組成物在曝露於輻射繼而peb後解= 聯。簡言之,組成物具有光敏感性。在其他態樣中,組^ 物本質上不具光敏感性,而依賴於在曝光期間自另一層(諸 如光阻)擴散之酸來使該固化組成物解交聯。在任一3狀$ 下,此舉使得抗反射塗層之經曝光部分與未曝光部分具有 201035690 不同/合解速率允+在不移除未曝光部分下得以移除經曝 光P /7因此在兩個態樣中,本發明之底部抗反射塗料 組成物皆可濕式顯影。士士 V 如本文所用之術語「顯影劑可溶 或「可濕式顯影」咅喟如* 」w明如本文中所述,組成物能夠在解交 聯後可溶於鹼性顯影劑或皮φ — ^ Α水中以便其力實質上經習知顯影 劑水溶液或水移除。 組成物車乂佳包含溶解於或分散於溶劑系統中之可交聯 聚合物(聚合物黏合劑),且更佳可熱交聯之聚合物。組成 D 物亦較佳包含與聚合物—八 物起刀政於或溶解於溶劑系統中之 交聯劑。在一些且贈眘在,丨由 .,, —體K例中,組成物亦可包含光酸產生劑 (PAG)及/或淬滅劑。201035690 VI. INSTRUCTIONS: Cross-reference to related applications This application claims the priority rights of ANTI-REFLECTIVE COATINGS WITH ACID-CLEAVABLE, ADAMANTYL MONOMER IN BINDER POLYMER (Serial No. 61/153, 909) filed on February 19, 2009. The literature is incorporated herein by reference in its entirety. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a novel wettable developing bottom anti-reflective coating formed using an adamantyl monomer in a polymer and having excellent reflectance control and excellent photoresist compatibility. [Prior Art] As the integrated circuit (1C) industry continues to move toward smaller feature sizes to increase information storage capabilities, outstanding anti-reflective techniques are needed to provide the critical dimension (CD) control required for 193 nm lithography. The bottom anti-reflective coating will be an anti-reflective material for critical and even non-critical applications such as implantation. The use of dyed resists under top anti-reflective coatings is not sufficient for 45 nm, 32 nm, and 22 nm node implant layers. The CD required to implant a 45 nm node is about 150 nm, and the CD required to implant a 32 nm node and a 22 nm node is about 130 nm. Although the bottom anti-reflective coating used in most modern applications is plasma. Developed type 201035690 (dry)' but the lesser use of the six layers also provides some advantages, scoop * (wet) bottom anti-reflective coating rRTF. ^ 匕 4 remove the active ions necessary for dry development in two steps φ 胄And potential damage to the plasma sensitive layer in the stack. The use of a photoresist developer in the second (four) (such as gas oxidized tetrazolium (TMAH) in addition to the exposed anti-reagent and developer soluble bottom anti-reflective spread reflective coating due to the bottom anti-reflective coating n" The removal of unexposed anti-_ is minimized: engraved budget. However, 'developer soluble bottom= can be achieved with a dry bottom anti-reflective coating to achieve resolution and typical 9 resolution is less stringent non-critical Applications, such as implant layers. A variety of positive bottom anti-reflective coating chemical platforms for the preparation of light-sensitive developers have been previously described. These bottom anti-reflective coatings are thermoset and include: a) the use of polymeric binders a dye-filled bottom P anti-reflective coating, b) a coating formed using an acid-reducing chromophore having a linking polymer = a hyperbranched polymer; or a linear polyfluorene & Coating. For these three emphasized methods, the polymer film becomes solvent insoluble (crosslinked) during the hot plate baking step. After exposure to a suitable light source and (4) exposed material (PEB), Degraded into developer soluble or water soluble However, there is still a need for a bottom anti-reflective coating platform having improved resolution and processing latitude required for critical micro-touching applications. SUMMARY OF THE INVENTION The present invention relates to the formation of microelectronic structures. The method of claim 5, 2010, 35, 690, which comprises providing a substrate having a surface on which an anti-reflection = is formed, and a photoresist is applied to the anti-reflective layer to form an image-forming layer. The inclusion is dissolved or dispersed in a solvent. The antireflective composition of the crosslinkable polymer in the system forms an antireflective layer. The polymer comprises a cyclic monomer unit having an adamantyl group. The invention also relates to a microelectronic structure comprising a substrate having a surface, and A cured anti-reflective layer adjacent to the surface of the substrate and a photoresist layer adjacent to the anti-reflective layer. The anti-reflective coating composition comprising a copolymerizable polymer dispersed or dispersed in a solvent system forms an anti-reflective coating. The polymer comprises a recycled monomer unit having an adamantyl group. An antireflective coating composition is also provided, which comprises dissolving or dispersing in a solvent system a crosslinkable polymer and a crosslinking agent. The polymer comprises a cyclic monomer unit having a pentacycloalkyl group and a cyclic monomer unit having an acid group. [Embodiment] The present invention relates to a novel bottom anti-reflective coating composition. a method of using the composition, and a structure formed therefrom. The composition such as shawin is preferably insoluble in an organic solvent and a photoresist developer when cured, but can be decrosslinked in the presence of an acid and used as a developer. Removal. In some aspects, the anti-reflective coating composition of the cured cross-linking is decoupled after exposure to radiation followed by peb. In short, the composition is photo-sensitive. In other aspects, the composition Essentially not photosensitive, relying on the acid diffused from another layer (such as a photoresist) during exposure to de-crosslink the cured composition. Under either of the three shapes, this allows the anti-reflective coating to pass through. The exposed portion and the unexposed portion have a 201035690 different/combination rate. The exposed P/7 can be removed without removing the unexposed portion. Therefore, in both cases, the bottom anti-reflective coating composition of the present invention can be used. Wet development.士士 V As used herein, the term "developer soluble or "wet developable" such as *"w as described herein, the composition is soluble in alkaline developer or hide after decrosslinking φ — ^ Α water so that its force is substantially removed by conventional aqueous developer or water. The composition ruthenium comprises a crosslinkable polymer (polymer binder) dissolved or dispersed in a solvent system, and more preferably a thermally crosslinkable polymer. The composition D also preferably comprises a crosslinking agent which is conjugated to or dissolved in the solvent system. In some cases, the composition may also contain a photoacid generator (PAG) and/or a quencher.

聚合物較佳包含具有金剛烧基之循環單體單元。金剛 炫基可為聚合物主鏈之—部分,或其可為側位金剛烧基。 在-具體實例巾’㈣烧基較佳經由連接基團(諸如酿或 醚等)連接至聚合物主鏈。金剛烷基較佳具有酸不穩定性 (酸可分解性)。如本文所用,術語「酸不穩定性」或「酸 可分解性」金剛烷基意謂金剛烷基在與聚合物之連接基團 上之氧連接的碳(〇;碳)上經取代(例如,甲基、乙基、異 丙基或氰基甲基)。具有金剛烷基之循環單體單元在熱交聯 期間較佳不參與聚合物之交聯。金㈣基自身亦較佳不含 有任何酸基(例如,不存在_0H基團)。尤其較佳用於形成 聚合物之金剛烷基單體包括丙稀酸金剛烷_及甲基丙烯酸 金剛烷酯,其中曱基丙烯酸金剛烷酯尤其較佳,且係選自 由以下組成之群:甲基丙婦酸2_異丙基_2_金剛㈣(ιρΜ 7 201035690 曱基丙稀酸2-乙基-2-金剛烧酯(EM)、曱基丙烯酸(2-金剛 烧氧基)曱酯(AM)、甲基丙烯酸2-(氰基曱基)_2-金剛烷酯 (CAM )及甲基丙烯酸2-[(2 -甲基-金剛烷基)_氧基]_羰基甲 酯(MACM)。以視作100重量%之聚合物的總重量計,金 剛烧基單體較佳以至少約1 〇重量%,更佳以約1 〇重量%至 約60重量%,且甚至更佳以約15重量%至約55重量%之含 量存在於聚合物中。 較佳聚合物亦包含具有酸官能基(亦即,具有側位酸 性官能基)之循環單體單元。較佳酸基係選自由以下組成 之群:羥基(-OH)'羧基(_C00H)、酚系基團(_Ar_〇H)、 氣醇(-C(CF3)2〇H)及其混合物。如上所述,側位金剛烧 基較佳不含酸。同#,在本發明中,具有酸性官能基之循 環單體單元較佳為不基於金剛烷基之單體(亦即,不含金 剛烷基)。以視作1〇〇重量%之聚合物的總重量計,酸基較 佳以至少@ 3重量%,較佳以約4重量%至約30重量%,且 :至更佳以約:重量%至約25重量%之含量存在於聚合物 、。不同於先前技術組成*,充當交聯位點(或可交聯部 /刀)之酸基較佳未經保護基保護。亦即,至少$ 95% 佳至/約98% ’且較佳約i〇〇%之酸基無保護 防止酸基具有活性之基團。 保瘦基為 因此,尤其較佳之聚合物應包含以下之循環單體單元, 201035690The polymer preferably comprises a recycled monomer unit having an adamantyl group. The diamond sulphate may be part of the polymer backbone, or it may be a pendant acetonide group. The (four) alkyl group is preferably attached to the polymer backbone via a linking group such as a brew or ether. The adamantyl group preferably has acid instability (acid decomposability). As used herein, the term "acid labile" or "acid decomposable" adamantyl means that adamantyl is substituted on the carbon (hydrazine; carbon) attached to the linking group of the polymer (eg, , methyl, ethyl, isopropyl or cyanomethyl). The cyclic monomer unit having an adamantyl group preferably does not participate in crosslinking of the polymer during thermal crosslinking. The gold (tetra) group itself is also preferably free of any acid groups (e.g., the absence of a -OH group). Particularly preferred adamantyl monomers for forming a polymer include adamantane acrylate and adamantyl methacrylate, wherein adamantyl methacrylate is particularly preferred and is selected from the group consisting of: 2,4-isopropyl-2-bromide (4) (ιρ 2010 7690 曱 丙 丙 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- 2- (AM), 2-(cyanoindenyl)_2-adamantyl methacrylate (CAM) and 2-[(2-methyl-adamantyl)-oxy]-carbonylmethyl methacrylate (MACM) Preferably, the adamantyl monomer is at least about 1% by weight, more preferably from about 1% by weight to about 60% by weight, and even more preferably, based on the total weight of the polymer as 100% by weight. Amounts of from about 15% by weight to about 55% by weight are present in the polymer. Preferred polymers also comprise recycled monomer units having acid functional groups (i.e., having pendant acidic functional groups). Preferred acid groups are selected. Free group consisting of: hydroxy (-OH)' carboxyl (_C00H), phenolic group (_Ar_〇H), gas alcohol (-C(CF3)2〇H), and mixtures thereof. The pendant adamantyl group preferably does not contain an acid. In the present invention, the cyclic monomer unit having an acidic functional group is preferably a monomer not based on an adamantyl group (i.e., does not contain an adamantyl group). The acid group is preferably at least @3 wt%, preferably from about 4 wt% to about 30 wt%, and more preferably at about: wt%, based on the total weight of the polymer as 1% by weight. An amount of up to about 25% by weight is present in the polymer. Unlike the prior art composition*, the acid group serving as a crosslinking site (or crosslinkable moiety/knife) is preferably protected from the protecting group. That is, at least $ 95% preferably to about 98% 'and preferably about i〇〇% of the acid group is unprotected to prevent the acid group from being active. The thinning group is such that, particularly preferably, the polymer should comprise the following cyclic monomer units , 201035690

其中各Ri係選自由-η、分支及未分支烷基(較佳為 G-C6烷基,更佳為Ci_C4烷基)及環狀基團(包括雜環’; 較佳3 12個j衣成員,更佳4_8個環成員)組成之群;各μ Ο係個別地選自由-OH、-L-OH、-CO〇H、-L_C00H及 _L_C(CF3)2〇H組成之群,其中L可為任何適合連接基團(諸 士刀支及未分支烧基(Ci_Ci〇〇,較佳為Ci_C2〇且更佳為 Ci-Ce)、芳族基(_Ar)或醯胺);且各R3係個別地選自由 以下組成之群:Wherein each Ri is selected from the group consisting of -η, branched and unbranched alkyl groups (preferably G-C6 alkyl groups, more preferably Ci_C4 alkyl groups) and cyclic groups (including heterocyclic rings); preferably 3 12 j clothes a group of members, preferably 4_8 ring members; each μ Ο is individually selected from the group consisting of -OH, -L-OH, -CO〇H, -L_C00H, and _L_C(CF3)2〇H, wherein L may be any suitable linking group (Zhc blade and unbranched alkyl group (Ci_Ci〇〇, preferably Ci_C2〇 and more preferably Ci-Ce), aromatic group (_Ar) or decylamine); The R3 is individually selected from the group consisting of:

其中各R4係個別地選自由分支及未分支烷基(Ci_c4烧基, 且較佳為C1-C3烧基)及亂基烧基組成之群。聚合物中 之莫耳百分比較佳為約90:10至約30:70,且更佳為約854 5 至約60:40。 或者’如上文所述’可將酸不穩定性金剛烷基併入聚 合物主鏈中來替代具有側位金剛烷基之循環單體單元,戍 9 201035690 除具有側位金剛烧基之循環單體單元之外,還可將酸不穩 定性金剛烧基併入聚合物主鏈中。經由替代聚合技術,熟 習此項技術者可在聚合物中納入諸如以下之基團:Each of the R4 groups is individually selected from the group consisting of branched and unbranched alkyl groups (Ci_c4 alkyl group, and preferably C1-C3 alkyl group) and a chaotic base group. The percentage of moles in the polymer is preferably from about 90:10 to about 30:70, and more preferably from about 854 5 to about 60:40. Alternatively, 'as described above' can incorporate an acid labile adamantyl group into the polymer backbone to replace the cyclic monomer unit having a pendant adamantyl group, 戍9 201035690, except for a recycle order having a side-position diamond justification group In addition to the bulk unit, an acid labile acetonide group can also be incorporated into the polymer backbone. Those skilled in the art can incorporate groups such as the following into the polymer via alternative polymerization techniques:

其中各R4係如上文所定義’例外為該等R4基團中之一者 亦可為-H’且其中各R5基團含有可聚合官能基。另外,可 選擇顯示不同程度之酸不穩定敏感性之不同單體。 在組成物中亦可包括染料(例如光衰減部分或化合物 或發色團)。可簡單地以物理方式將染料混合至抗反射組成 物中’諸如藉由將染料連同聚合物—起溶解於或分散於溶 齊’1系統中。當將染料以物理方式混合至組成物中時,適合 染料包括小分子,及券或t合發色團(例如聚(經基苯乙 烯),諸如分支聚(4-羥基苯乙烯)、聚(乙烯基苯曱酸);3,7_ 一經基2-奈甲酸,連接3,7-二經基2·萘甲酸之參(2,3_環氧 丙基)異氰酸酯(TEPIC);苯乙烯·順丁烯二酸酐共聚物、9_ 蒽曱酸;連接羥基-苯甲酸之TEPIC ;連接氰基苯甲酸之 TEPIC ;及其混合物)。 更佳地,染料鍵結至聚合物之官能基,或甚至更佳地, 染料直接連接至聚合物主鍵(亦即,其自身單體重複單 元)。染料亦可構建成聚合物主鍵(亦即,成為上述單體單 201035690 7L之-部分或自身成為另 組成物中之染料較佳撰 ' 兀。適用於抗反射塗料 其衍生物及其組合,且成之由笨乙烯、苯基化合物、茶、葱、 以視作1〇«重量%^ 料連接㈣趙實例, ^ ^ 20 # ^ 〇/ 5 ^ 里/〇至約65重量%,且甚至更佳 二:重量%之含量存在於聚合物中。 Ο sd=’聚合物較佳藉由使選自由丙稀酸金剛院 酉曰及甲基丙烯酸金剛餘b 成之群的第-化合物與至少- 種第一化合物聚合而形成, 選自由苯乙浠系化合物、二種弟二化合物係諸如 4入 、 丙烯酸系化合物、甲基丙烯酸系 =二稀系化合物、乙_、其衍生物及其組合組成 之群的化合物。Wherein each R4 is as defined above, with the exception that one of the R4 groups may also be -H' and wherein each R5 group contains a polymerizable functional group. In addition, different monomers that exhibit varying degrees of acid instability sensitivity can be selected. Dyes (e.g., light attenuating moieties or compounds or chromophores) may also be included in the composition. The dye can simply be physically mixed into the antireflective composition' such as by dissolving or dispersing the dye together with the polymer in a solvent' system. When the dye is physically mixed into the composition, suitable dyes include small molecules, and vouchers or t-chromophores (eg, poly(styrene), such as branched poly(4-hydroxystyrene), poly( Vinylbenzoic acid); 3,7-mono-based 2-naphthoic acid, 3,7-di-trans- 2,naphthoic acid (2,3-epoxypropyl) isocyanate (TEPIC); styrene·cis Butene hydride copolymer, 9-decanoic acid; TEPIC linked to hydroxy-benzoic acid; TEPIC linked to cyanobenzoic acid; and mixtures thereof). More preferably, the dye is bonded to a functional group of the polymer, or even more preferably, the dye is directly attached to the polymer primary bond (i.e., its own monomer repeating unit). The dye may also be constructed as a polymer primary bond (ie, as a part of the above-mentioned monomer single 201035690 7L or as a dye in another composition). It is suitable for anti-reflective coatings and derivatives thereof, and It is made of stupid ethylene, phenyl compound, tea, onion, and is regarded as 1〇«重量%^ material connected (4) Zhao instance, ^ ^ 20 # ^ 〇 / 5 ^ 里 / 〇 to about 65% by weight, and even more佳二: The content of % by weight is present in the polymer. Ο sd = 'The polymer is preferably made of a compound selected from the group consisting of Donkey Kong Donkey and methacrylic acid ruthenium b and at least - The first compound is formed by polymerization, and is selected from the group consisting of a styrene-based compound, a two-component compound such as a 4-injection compound, an acryl-based compound, a methacrylic-based compound, a derivative thereof, a derivative thereof, and a combination thereof. a group of compounds.

G 可=入聚合物中之額外單體除交聯位點之外還可包括 :位多裱芳族官能基,或任何潛在酸交聯基團,或其他改 變聚:物之極性或疏水性之基團,且可用於改變抗反射薄 膜之父聯密度、疏水性或極性從而製得較難以解交聯之薄 、及/或使其在未曝光區域中更具疏水性且對顯影劑之敏感 性較低。適合單體係選自由以下組成之群:2_萘甲酸丄〒 基丙烯酸醋(ΝΑΜΑ)、單_2.(甲基丙烯醯氧基)乙基丁二酸 氟化醇甲基丙烯酸酯及卜B〇c-氧基苯乙烯(㈣Μ )。若 存在,則以視作⑽重量%之聚合物的總重量計,該等單體 較佳:約〇.5重量%至約5〇重量%,更佳以乡勺2重量%至約 重里/〇,甚至更佳以約3重量%至約2〇重量。/。,且最佳 以約4重量%至約15重量%之含量存在於聚合物中。土 11 201035690 在另-具體實例中,尤其較佳用於本發明中之聚合物 基本上由具有金剛烷基之循環第—單體單元、具有酸基之 循環第二單體單兀及具有染料之循環第三單體單元組成。 與具體實例無關,以視作100重量%之抗反射組成物的 總重量計,聚合物以至多約10重量%,較佳以約〇 6重量% 至約3·6重量%,更佳以約〇.7重量%至@ 32重量%,且甚 至更佳以約0.8重量%至約3重量%之含量存在於抗反射組 成物中。聚合物亦較佳具有至多約g/mQi,更㈣ 2,5〇〇 g/m〇1 至、約 70,000 g/mol,且甚至更佳約 4,_ 一 至約60,000 g/mol之重量平均分子量(M )。 如上所述,組成物亦較佳包含交聯劑。較佳交聯劑為 乙稀鍵交聯劑。尤其較佳該等交聯劑為多官能纟(雙官能 性、三官能性及四官能性)交聯劑。”乙稀社實例: 括以商標名vECTomer™ (Aldrich; St ϋ m〇)出售之 乙烯醚。適合乙烯醚交聯劑亦可如本文中所述來製備。以 視作100重量%之組成物的總重量計’交聯劑較佳以約"5 重量%至約U8重量% ’較佳以約〇2重量%至約〇9重量 % ’且甚至更佳以約〇.22重量%至約〇 8重量%之含量存在 於組成物中。 更佳地,若存在,則乙烯醚交聯劑具有下式: 0—CH=zCH2)n 其中係選自由芳基(較佳為C6_Ci4)及烧基(較佳為 (vc18’且更佳為Cl_Ci〇)組成之群,各個別地選自由 烷基(k仫為cvc。,且更佳為Ci_c]。)、烷氧基(較佳為 12 201035690G may be included in the polymer as an additional monomer in addition to the crosslinking site, which may include: a polyfluorene aromatic functional group, or any latent acid crosslinking group, or other change in the polarity or hydrophobicity of the poly a group, and can be used to change the parent bonding density, hydrophobicity or polarity of the antireflective film to make it more difficult to de-crosslink thin, and/or to make it more hydrophobic in the unexposed areas and to the developer Less sensitive. Suitable single system is selected from the group consisting of: 2_naphthoic acid decyl acrylate vinegar (ΝΑΜΑ), single _2. (methacryloxy)ethyl succinic acid fluorinated alcohol methacrylate and B〇c-oxystyrene ((iv)Μ). If present, the monomers are preferably from about 5% by weight to about 5% by weight, more preferably from about 2% by weight to about 5% by weight, based on the total weight of the polymer (10% by weight). 〇, even more preferably from about 3% by weight to about 2 〇 by weight. /. And preferably present in the polymer in an amount from about 4% to about 15% by weight. Soil 11 201035690 In another embodiment, the polymer particularly preferred for use in the present invention consists essentially of a cyclic monomer unit having an adamantyl group, a recycled second monomer unit having an acid group, and a dye The cycle consists of a third monomer unit. Regardless of the specific example, the polymer is up to about 10% by weight, preferably from about 6% by weight to about 3.6 % by weight, based on the total weight of the 100% by weight antireflective composition, more preferably about From 7% by weight to @32% by weight, and even more preferably present in the antireflective composition at a level of from about 0.8% by weight to about 3% by weight. The polymer also preferably has a weight average molecular weight of up to about g/mQi, more (iv) 2,5〇〇g/m〇1 to about 70,000 g/mol, and even more preferably from about 4,_1 to about 60,000 g/mol. (M). As mentioned above, the composition also preferably comprises a crosslinking agent. A preferred crosslinking agent is an ethylene linkage crosslinking agent. It is especially preferred that the crosslinking agents are polyfunctional fluorene (bifunctional, trifunctional and tetrafunctional) crosslinking agents. Example of a sulphuric acid plant: a vinyl ether sold under the trade name vECTomerTM (Aldrich; St ϋ m〇). A suitable vinyl ether crosslinker can also be prepared as described herein. It is considered to be 100% by weight of the composition. The total weight of the cross-linking agent is preferably from about 5% by weight to about 8% by weight, preferably from about 2% by weight to about 9% by weight, and even more preferably from about 0.25% by weight to A content of about 8 wt% is present in the composition. More preferably, if present, the vinyl ether crosslinker has the formula: 0-CH=zCH2)n wherein is selected from an aryl group (preferably C6_Ci4) and A group consisting of a base (preferably (vc18' and more preferably Cl_Ci〇), each selected from the group consisting of an alkyl group (k仫 is cvc, and more preferably Ci_c), an alkoxy group (preferably 12 201035690

Ci-Ci8,且更佳為Ci-CiG)、幾基及上述兩者或兩者以上之 組合組成之群,且η為至少2,且較佳為2-6。最佳乙烯醚 包括選自由乙二醇乙烯醚、三羥甲基丙烷三乙烯醚、1,4-環己烷二曱醇二乙烯醚及其混合物組成之群的乙烯醚。另 一較佳乙烯醚具有選自由以下組成之群的結構式:Ci-Ci8, and more preferably Ci-CiG), a plurality of groups, and a combination of the above two or more, and η is at least 2, and preferably 2-6. The preferred vinyl ether comprises a vinyl ether selected from the group consisting of ethylene glycol vinyl ether, trimethylolpropane trivinyl ether, 1,4-cyclohexanedimethanol divinyl ether, and mixtures thereof. Another preferred vinyl ether has a structural formula selected from the group consisting of:

Public

〇 〇 众 Ό 〇 及 13 201035690 較佳用於抗反射組成物中之PAG係選自由以下組成之 群:鑌鹽(例如全氟磺酸三苯基銃,諸如TPS九氟丁磺酸 鹽、TPS三氟曱磺酸鹽' TPS曱苯磺酸鹽及其經取代形式, 諸如全氟-1-丁烷磺酸參(4-第三丁基苯基)锍(經烷基取代之 TPS九氟丁確酸鹽)’皆可購自sigma-Aldrich );將-續酸自旨 (例如’由CIBA以名稱CGI®出售之肟-磺酸酯);三嗪(例 如’可購自Midori Kagaku公司之TAZ108®);基於丁二醯 亞胺基之磺酸酯(Midori Kagaku公司);基於萘二甲醢亞胺 基之磺酸酯(Midori Kagaku公司);錤鹽;及其組合。若存 在,則以視作100重量%之組成物的總重量計,PA(3應以約 0.005重量%至約〇.〇8重量。/。,較佳以約〇 〇〇8重量%至約 〇·〇7重量%,且更佳以約〇.〇1重量%至約〇 〇6重量%之含量 存在於組成物中。 抗反射組成物較佳實質上不含酸 在一些具體實例中, 產生劑(PAG或熱酸產生劑(TAG))且從而不具光敏感性。 亦即’抗反射塗料組成物較佳包含少於約 產生 於約0.01重量%之酸PA Ό 13 13 13 201035690 Preferably, the PAG used in the antireflective composition is selected from the group consisting of strontium salts (eg, triphenyl sulfonium perfluorosulfonate, such as TPS nonafluorobutane sulfonate, TPS) Trifluorosulfonium sulfonate ' TPS sulfonate and its substituted form, such as perfluoro-1-butanesulfonic acid ginseng (4-t-butylphenyl) hydrazine (alkyl substituted TPS hexafluoride) Butyrate) can be purchased from sigma-Aldrich; will be continued from acid (eg '肟-sulfonate sold by CIBA under the name CGI®); triazine (eg 'available from Midori Kagaku TAZ108®); succinimide-based sulfonate (Midori Kagaku); naphthylquinone-based sulfonate (Midori Kagaku); sulfonium salt; and combinations thereof. If present, PA (3 should be from about 0.005 wt% to about 〇.〇8 wt%, preferably from about 〇〇〇8 wt% to about 100 wt% of the total weight of the composition. 〇·〇 7 wt%, and more preferably present in the composition in an amount of from about 0.1% by weight to about 6% by weight. The antireflective composition preferably contains substantially no acid, in some embodiments, Producing agent (PAG or thermal acid generator (TAG)) and thus not photosensitive. That is, the 'anti-reflective coating composition preferably contains less than about 0.01% by weight of acid.

包含 述, 發解 14 201035690 較佳用於組成物中之淬滅劑較佳為諸如胺之小分子 或具有鹼官能基作為主鏈之一部分或側位部分的聚I物j 適合淬滅劑包括選自由以下組成之群的淬滅劑二⑽: 羥基哌啶、三乙醇胺、三乙胺、三曱醇胺、三甲胺、三里 丙醇胺、三異丙胺、三第三丁醇胺、三第三丁胺、二" 醇胺、三正丁胺、二乙醇胺、二乙胺、二甲醇胺、二甲二丁、 二異丙醇胺、二異丙胺、二第三丁醇胺、二第三丁胺、—Included, Digestion 14 201035690 Preferably, the quencher used in the composition is a small molecule such as an amine or a poly-I having a base functional group as a part or a side part of the main chain. The quencher (2) selected from the group consisting of: hydroxypiperidine, triethanolamine, triethylamine, tridecylamine, trimethylamine, trilinolamine, triisopropylamine, tritributolamine, triad Tributylamine, di " alkanolamine, tri-n-butylamine, diethanolamine, diethylamine, dimethanolamine, dimethyl dibutyl, diisopropanolamine, diisopropylamine, ditributolamine, di Tributylamine, -

正丁醇胺、二正丁胺、乙醇胺、乙胺、甲醇胺、曱胺、異 丙醇胺、異丙胺、第三丁醇胺、第三丁胺、正丁醇胺,及 正丁胺、t-Boc-氧基苯乙烯/4-乙烯基吡啶共聚物,任何使用 4-乙烯基吡啶作為單體之聚合物,及其組合。 若存在淬滅劑,則以視作100重量%之組成物的總重量 計,抗反射塗料組成物較佳包含少於約〇 〇5重量%之淬= 劑,更佳包含約0.0002重量%至約〇〇2重量%之淬滅劑' 更佳包含約0.00025重量%至約0_018重量%之淬滅劑\且 甚至更佳包含約0.0003重量%至約〇 〇17重量%之淬滅劑。 抗反射塗料組成物亦可實質上不含淬滅劑。在該等具體實 例中,組成物較佳包含少於約〇 〇〇〇 i重量%之淬滅劑更 佳包含少於約0.00005重量%之淬滅劑’且甚至更佳包含約 〇重量%之淬滅劑。 可視情況包括於組成物中之額外成份包括界面活性 劑、助黏劑、抗氧化劑、光引發劑、擴散促進劑、溶解抑 制劑及上述各者之組合。 與具體實例無關,藉由簡單地將聚合物分散於或溶解 15 201035690 於適合溶劑系統中,軔杜 ^ L 較佳在環境條件下且歷時足以形成眘N-butanolamine, di-n-butylamine, ethanolamine, ethylamine, methanolamine, decylamine, isopropanolamine, isopropylamine, tert-butanolamine, tert-butylamine, n-butanolamine, and n-butylamine, t-Boc-oxystyrene/4-vinylpyridine copolymer, any polymer using 4-vinylpyridine as a monomer, and combinations thereof. If a quencher is present, the antireflective coating composition preferably comprises less than about 5% by weight of the quencher, more preferably from about 0.0002% by weight, based on the total weight of the composition as 100% by weight. About 2% by weight of the quencher' more preferably comprises from about 0.00025% by weight to about 0-018% by weight of the quencher\and even more preferably from about 0.0003% by weight to about 〇〇17% by weight of the quencher. The antireflective coating composition may also be substantially free of quenching agents. In such embodiments, the composition preferably comprises less than about 〇〇〇〇i by weight of the quencher, more preferably less than about 0.00005% by weight of the quencher' and even more preferably comprises about 〇% by weight. Quenching agent. Additional ingredients which may optionally be included in the composition include surfactants, adhesion promoters, antioxidants, photoinitiators, diffusion promoters, dissolution inhibitors, and combinations of the foregoing. Regardless of the specific example, by simply dispersing or dissolving the polymer in a suitable solvent system, the 轫 Du ^ L is preferably under ambient conditions and is sufficient to form a cautious

夤上均質溶液的時間吾 A 來形成抗反射塗料組成物。亦較 佳將任何額外成份連同 心n1合物一起分散於溶劑系統中。 較佳溶劑系統包括搜6丄 匕括選自由以下組成之群的溶劑: 乙酯(EL )、乙酴五-β &quot;· 丙—醇甲醚酯(PGMEA )、丙二醇甲_ (PGME ) '丙二醇正丙鱗 \〈 PnP )、%己酮、7-丁内酯及其混 合物。較佳地,溶劑李 、 w乐、、死具有約u 8 c至約i 6(rc ’且 約118t至約146°C之沸κ .a仏 咖各 之弗點。以視作丨〇〇重量%之組成物的 ‘重量計,溶劑系統應以至少約90重量%,較佳以約965 ㈣至約99.4重量%,更佳以約96 9重量%至約99 2重 置%,且甚至更佳以約97 5重量%至約99重量%之含量使 用以視作100重量%之組成物的總重量計,組成物較佳包 曰 力·5重罝/〇,較佳約0.8重量%至約31 重里/〇,且甚至更佳約1重量%至約2.5重量%之固體含量。 圖ΗΑ)-_說明本發明之形成使用本發明抗反射塗層 之結構的方法。在該方法中,提供具有表面…之基板1〇。 在本發明中可使用任何微電子基板。較佳基板包括選自由 以下組成之群的基板:石夕、驗、Si〇2、、鋁、鎢、 石夕化鶴、坤化鎵、錯、叙、氮化组 '珊蝴、黑鑽石、推鱗 或推石朋玻璃、離子植入層、氮化鈦、氧化給、氮氧化石夕及 .上述各者之混合物。該方法包含將—定量之本發明抗反射 組成物塗覆至基板10上以在基板1〇之表面…上形成組成 物層12。該組成物可藉由任何已知塗覆方法來塗覆,其中 -種較佳方法為以約75〇 rpm至約5 〇〇〇啊(較佳約 16 201035690 ΓΡηΐ 至約 4,_ rPm,且更佳約 l,〇〇〇 rpm 至約 3,500 rpm) 之速度旋塗組成物’歷時約20秒至約90秒(較佳約30秒 至約60秒)之時段。基板1〇可具有平坦表面,或其可包 括形貌特徵(通孔、溝槽、接觸孔、凸起特徵、線等)。如 本文所用,「形貌」係指基板表面中或基板表面上之結構的 门度或冰度。舉例而言,基板i 〇可包含界定孔之結構,其 包括侧壁及底壁。因此,將抗反射組成物塗覆至基板上之 方法較佳包括將組成物塗覆至彼等孔側壁及底壁之至少一 〇部分上。 在達成所而覆蓋後,接著烘烤組成物層1 2以引發组# 物之熱交聯,從而形成固…。較佳洪烤條件 約。125°C ’較佳約15(rc至約2赃,且更佳約丨赃至約 205 C ’且甚至更佳約155。〇至約18〇〇c之溫度,及歷時約川 秒至約90秒(較佳約45秒至約乃秒)之時段。抗反射塗 層12在烘烤後之厚度較佳為約2〇 ηιη至約85 更佳為 Ο 約3〇nm至約75麵,甚至更佳為約32聰至約7〇nm,且 最{土為約3 3 n in至約6 5 n rn。甚A j-c主二ί λ 王J 〇 nm右基板表面1〇a包括形貌則 較佳以h實質上以此等厚度覆蓋基板形貌的厚度來塗覆 抗反射塗層1 2。 在聚合物酸基為緩酸基團且交聯南丨為7此 印又柳釗马乙烯醚交聯劑之 具體實例中,交聯之聚合物將包合 肝已3具有下式之縮醛鍵聯:The time of homogenizing the solution is applied to form an anti-reflective coating composition. It is also preferred to disperse any additional ingredients together with the core n1 compound in the solvent system. Preferred solvent systems include a solvent selected from the group consisting of ethyl ester (EL), ethyl quinone-beta &quot;-propanol methyl ether ester (PGMEA), propylene glycol methyl _ (PGME)' Propylene glycol n-propyl scale \<PnP), % ketone, 7-butyrolactone and mixtures thereof. Preferably, the solvent Li, w, and die have a boiling point of from about u 8 c to about i 6 (rc ' and from about 118 t to about 146 ° C. The solvent system should have a solvent system of at least about 90% by weight, preferably from about 965 (four) to about 99.4% by weight, more preferably from about 96 to 9% by weight to about 99 2% by weight, and even More preferably, the composition is preferably used in an amount of from about 97% by weight to about 99% by weight based on the total weight of the composition regarded as 100% by weight, preferably 5 parts by weight, preferably about 0.8% by weight. A solids content of from about 1% by weight to about 5% by weight, and even more preferably from about 1% by weight to about 2.5% by weight. Figure ΗΑ) - - illustrates a method of forming a structure using the antireflective coating of the present invention. In this method, a substrate 1 having a surface is provided. Any microelectronic substrate can be used in the present invention. The preferred substrate comprises a substrate selected from the group consisting of: Shi Xi, Qi, Si〇 2, aluminum, tungsten, Shi Xihua, Kunhua, Wrong, Syria, Nitrogen, 'Shan Butterfly, Black Diamond, Push scale or push stone glass, ion implantation layer, titanium nitride, oxidation, nitrogen oxynitride and a mixture of the above. The method comprises applying a quantitative amount of the antireflective composition of the present invention onto a substrate 10 to form a composition layer 12 on the surface of the substrate 1 . The composition can be applied by any known coating method, wherein a preferred method is from about 75 rpm to about 5 Torr (preferably from about 16 201035690 ΓΡηΐ to about 4, _ rPm, and More preferably, the composition is spin-coated at a speed of from about 〇〇〇 rpm to about 3,500 rpm for a period of from about 20 seconds to about 90 seconds (preferably from about 30 seconds to about 60 seconds). The substrate 1〇 may have a flat surface, or it may include topographical features (vias, trenches, contact holes, raised features, lines, etc.). As used herein, "topography" refers to the degree of curvature or roughness of a structure in or on a surface of a substrate. For example, the substrate i 〇 can include a structure defining a hole including a sidewall and a bottom wall. Accordingly, the method of applying the antireflective composition to the substrate preferably comprises applying the composition to at least one of the sidewalls of the apertures and the bottom wall of the aperture. After the coverage is achieved, the composition layer 12 is then baked to initiate thermal crosslinking of the group to form a solid. Better hung roasting conditions. 125 ° C ' is preferably about 15 (rc to about 2 Torr, and more preferably about 205 to about 205 C ' and even more preferably about 155. 〇 to a temperature of about 18 〇〇c, and lasts about a second to about The period of 90 seconds (preferably about 45 seconds to about seconds). The thickness of the anti-reflective coating 12 after baking is preferably from about 2 〇 ηηη to about 85, more preferably from about 3 〇 nm to about 75 Å. Even better, it is about 32 Cong to about 7 〇 nm, and the most {soil is about 3 3 n in to about 6 5 n rn. Very A jc main 2 ί λ J J 〇 nm right substrate surface 1 〇 a includes morphology Preferably, the anti-reflective coating 12 is coated with substantially the thickness of the substrate to cover the thickness of the substrate. The polymer acid group is a slow acid group and the crosslinked south is 7 In a specific example of a vinyl ether crosslinker, the crosslinked polymer will comprise an acetal linkage of the formula 3 having the following formula:

17 201035690 ^中R係選自由以下組成之群··芳基(較佳、約c6至、約Cl2)、 ^ 刀支及未分支烷基。如上所述,具有金剛烷基之 循環單體單元較佳不參與交聯。 一 2聯層12將充分進行交聯以使其實質上不可溶於典型 光P /合劑中。因此,當進行剝離測試時,本發明之塗層應 具有小於約5%,較佳小於約1%,且甚至更佳為約〇%之^ 離百为比。剝離測試涉及首先測定固化層之厚纟(藉由採 在5個不同位置上罝測之平均值)。此為平均初始薄膜厚 度。接著’將乳酸乙醋(EL)搗塗(—d)至固化薄膜 歷時、力2〇秒’繼而以約3,000 rPm旋轉乾燥約30秒以 移除溶劑。再使用橢圓對稱法在晶圓上之5個不同點處量 測厚度’且測定此等量測之平均值。此為平均最終薄膜厚 度:剝離量為初始平均薄膜厚度與最終平均薄膜厚度之間 的差值。剝離百分比為: %剝離: — 剝離量 初始平均薄膜厚度 100 &quot;如本文中所述’交聯層12亦較佳實質上不可溶於典型 光阻顯影劑中。交聯之抗反㈣膜在顯影劑中之溶解度係 使用如上文所述用於制離測試之相同程序及計算來估算,、 例外為使用顯影劑替代光阻溶劑叫。亦在11(rc下對丄 聯層進行卿,料6〇秒。接著,將G.26NTMA_f^ 搗塗至該層上’歷時45秒’繼而5秒去離子水沖洗及旋轉 18 201035690 :燥1化層厚度之任何損失皆定義為「暗 1叫」。固化層將具有少於約5%,較佳少於約15% = 少於約1%,甚至更佳少於約〇 8%, 更仫 。u.«/。且最佳約〇%之暗損失。 '、可使用與用於剥離測試之類似程序 2之濕式顯影。百先在0rie〜v寬帶曝光單元上用寬 帶光以20 mJ/Cm使固化層曝光。接著在13代下對經 層進行PEB,歷時90秒。接著將光阻顯影劑(〇 26 n17 201035690 ^ wherein R is selected from the group consisting of aryl (preferably, about c6 to about Cl2), ^ knife and unbranched alkyl. As described above, the cyclic monomer unit having an adamantyl group preferably does not participate in crosslinking. A 2-layer 12 will be sufficiently cross-linked to render it substantially insoluble in the typical photop/mixture. Accordingly, the coating of the present invention should have a ratio of less than about 5%, preferably less than about 1%, and even more preferably about 〇%, when subjected to a peel test. The peel test involves first measuring the thickness of the cured layer (by taking the average of the measurements at 5 different locations). This is the average initial film thickness. Next, lactic acid ethyl acetate (EL) was applied (-d) to the cured film for 2 sec seconds, followed by spin drying at about 3,000 rPm for about 30 seconds to remove the solvent. The thickness is then measured at five different points on the wafer using an elliptical symmetry method and the average of these measurements is determined. This is the average final film thickness: the amount of peeling is the difference between the initial average film thickness and the final average film thickness. The percentage of peeling is: % peeling: - peeling amount Initial average film thickness 100 &quot; As described herein, the crosslinked layer 12 is also preferably substantially insoluble in a typical photoresist developer. The solubility of the cross-linked anti-reverse (iv) film in the developer is estimated using the same procedures and calculations as described above for the separation test, with the exception of the use of a developer instead of a photoresist solvent. Also at 11 (rc), the joint layer is clarified, and it takes 6 seconds. Then, G.26NTMA_f^ 捣 is applied to the layer for 45 seconds, followed by 5 seconds of deionized water to rinse and rotate. 18 201035690: Dry 1 Any loss of the thickness of the layer is defined as "dark 1". The cured layer will have less than about 5%, preferably less than about 15% = less than about 1%, and even more preferably less than about 8%, more仫.u.«/. and the best about 〇% of the dark loss. ', can be used with the similar process for the peel test 2 wet development. Hundreds first on the 0rie ~ v broadband exposure unit with broadband light to 20 The cured layer was exposed by mJ/Cm. Then the PEB was subjected to PEB for 13 seconds in 13 passages. Then the photoresist developer (〇26 n)

搗塗至薄膜上’歷時60秒’繼而5秒去離子水沖洗,同時 以300 rpm旋轉,且接著以約3,_ rpm旋轉乾燥約3〇秒 以移除顯影劑。再量測層厚度,且計算顯影百分比。光敏 感性抗反射塗層較佳將具有約95%至約1〇〇%,且更佳約 99%至約100%之顯影百分比。在抗反射塗料組成物不具光 敏感性之具體實例中,%式顯影百分比較佳將低於約 1.5%,較佳低於約0.8%,且甚至更佳為約〇%。 固化抗反射層或塗層12之折射率(n值)將為至少約 1.3’較佳為約i.4至約2,更佳為約145至約i 8,且甚至 更佳為約1.5至約1.75。抗反射塗層12亦較佳在使用波長 (例如,193nm、248 mn或365 nm)下具有至少約〇 2,較 佳約0.25至約0.65且更佳約〇_3至約〇6之消光係數(k 值)。 參考圖1(B) ’接著可將光阻組成物塗覆至固化層12上 以形成成像層14,產生堆疊16。接著較佳在至少約9rc , 較佳約lOOt:至約135。〇,且更佳約10(rc至約13(rc之溫度 下對成像層14進行塗覆後烘烤(pab ),歷時約45秒至約 19 201035690 75秒之時段。應瞭解,成像層14之厚度可處於約別^^加至 約2,000 nm之範圍内。較佳地,成像層14之厚度為約ι〇〇 nm至約250 nm,更佳為約120nm至約24〇nm,甚至更佳 為約13〇nm至約230 nm,且最佳為約n〇nm至约225 nm。 適合成像組成物包括市售光阻(例如,來自T〇K,Kawasaki shi,Kanagawa (Japan)之 TarF_Pi6_〇〇1 ;來自 JSR Micr〇,The crucible was applied to the film for a period of 60 seconds&apos; followed by a 5 second deionized water rinse while rotating at 300 rpm, and then spin dried at about 3, rpm for about 3 seconds to remove the developer. The layer thickness was measured again and the development percentage was calculated. The photosensitive anti-reflective coating will preferably have a percent development of from about 95% to about 1%, and more preferably from about 99% to about 100%. In a specific example where the antireflective coating composition is not photosensitive, the % development percentage will preferably be less than about 1.5%, preferably less than about 0.8%, and even more preferably about 〇%. The refractive index (n value) of the cured antireflective layer or coating 12 will be at least about 1.3', preferably from about i.4 to about 2, more preferably from about 145 to about i8, and even more preferably from about 1.5 to About 1.75. The anti-reflective coating 12 also preferably has an extinction coefficient of at least about 〇2, preferably from about 0.25 to about 0.65, and more preferably from about 〇3 to about 〇6, at a wavelength of use (e.g., 193 nm, 248 mn, or 365 nm). (k value). Referring to Figure 1 (B)', a photoresist composition can then be applied to the cured layer 12 to form the imaging layer 14, creating a stack 16. It is then preferably at least about 9 rc, preferably about 100 volts: to about 135. 〇, and more preferably about 10 (rc to about 13 (coating post-baking (pab) of imaging layer 14 at a temperature of rc, for a period of from about 45 seconds to about 19 201035690 75 seconds. It will be appreciated that imaging layer 14 The thickness can be in the range of about 2,000 nm. Preferably, the thickness of the imaging layer 14 is from about ι to about 250 nm, more preferably from about 120 nm to about 24 Å, and even more. Preferably, it is from about 13 Å to about 230 nm, and most preferably from about n 〇 nm to about 225 nm. Suitable imaging compositions include commercially available photoresists (for example, TarF_Pi6 from T〇K, Kawasaki shi, Kanagawa (Japan). _〇〇1; from JSR Micr〇,

Sunnyvale, CA 之 ARX3001JN、ARX3340J 及 AM2073J ;Sunnyvale, CA's ARX3001JN, ARX3340J and AM2073J;

Shin-Etsu,Tokyo (Japan)之 SAIL_X_181)或任何其他光敏感 性組成物。在抗反射塗料組成物本質上不具光敏感性(亦 即含較少PAG之抗反射塗層)之情況下,如下文所更詳細 說明,適合光阻組成物較佳將包含酸產生劑(較佳為ρΑΘ) 且此夠產生足以用於使相鄰抗反射塗層解交聯及脫除保護 基之酸以使其變成顯影劑可溶。 可藉由曝露於適當波長之光,繼而使經曝光之光阻顯 影來將成像層14圖案化。更特定而言,參考圖i(c),使用 安置於成像層14表面上方之遮罩18來使成像層14曝光。 遮罩1 8具有開口區丨8a,該等開口區經設計以允許輻射(心) 穿過遮罩18且接觸成像層14。遮罩18之剩餘實心部分i8b 係、j 3又计以防止輻射在某些區域中接觸成像層14之表面。 热白此項技術者應易於瞭解,基於將形成於成像層14中且 最終形成於基板丨〇中之所需圖案來設計開口區1 〇a及實心 部分1 Ob之排列。 有利地,在使成像層14曝露於輻射(亦即光)時,本 4月之k反射塗層丨2亦如此。在曝光後,由pAG (其處於 20 201035690 抗反射塗層自身中或來自光阻組成物)產生酸,且此酸使 抗反射塗層12中之聚合物「解交聯」。亦即,甚至在抗反 射塗層本質上不具光敏感性之情況下,抗反射塗層12之經 曝光部分仍在曝光後因自成像層14之經曝光部分擴散至與 成像層14相鄰之抗反射層12之相應部分中的酸而變成顯 影劑可溶。該酸(無論是來自光阻或抗反射塗層)使得在 熱交聯後於抗反射塗層中之聚合物與交聯劑之間形成的鍵 斷裂。舉例而言’當缓酸為聚合物上之酸基時,解交聯使 〇 得具有下式之鍵聯之鍵(*)斷裂:Shin-Etsu, SAIL_X_181 of Tokyo (Japan) or any other light-sensitive composition. Where the antireflective coating composition is not optically sensitive in nature (i.e., an antireflective coating containing less PAG), as described in more detail below, suitable photoresist compositions will preferably comprise an acid generator (compare Preferably, it is sufficient to produce an acid sufficient to decrosslink and remove the protecting group from the adjacent antireflective coating to render it soluble in the developer. The imaging layer 14 can be patterned by exposure to light of a suitable wavelength, followed by exposure of the exposed photoresist. More specifically, referring to Figure i(c), the imaging layer 14 is exposed using a mask 18 disposed over the surface of the imaging layer 14. The mask 18 has an open area 丨 8a that is designed to allow radiation (heart) to pass through the mask 18 and contact the imaging layer 14. The remaining solid portion i8b of the mask 18, j3, is again taken to prevent radiation from contacting the surface of the imaging layer 14 in certain areas. The person skilled in the art should be readily aware of the arrangement of the open region 1 〇a and the solid portion 1 Ob based on the desired pattern to be formed in the imaging layer 14 and ultimately formed in the substrate. Advantageously, the same applies to the k-reflective coating 丨2 of the present month when the imaging layer 14 is exposed to radiation (i.e., light). After exposure, the acid is generated by pAG (which is in the 20 201035690 antireflective coating itself or from the photoresist composition) and this acid "decrosslinks" the polymer in the antireflective coating 12. That is, even in the case where the anti-reflective coating is not optically sensitive in nature, the exposed portion of the anti-reflective coating 12 is diffused from the exposed portion of the imaging layer 14 to the adjacent image forming layer 14 after exposure. The acid in the corresponding portion of the antireflection layer 12 becomes a developer soluble. The acid, whether from a photoresist or an anti-reflective coating, causes the bond formed between the polymer in the antireflective coating and the crosslinker to break after thermal crosslinking. For example, when the acid retardant is an acid group on the polymer, the decrosslinking causes the bond (*) having a bond of the formula to be broken:

4 一步增強聚合物之 酸亦較佳分解酸不穩定性金剛院基4 one step to enhance the acid of the polymer is also better to decompose the acid instability

溶解性。抗反射層12《經曝光部分因此包含自由金剛烷 基,其在顯影期間移除。曝光後,較佳在約85。〇至約140它, 更佳約9 5 C至約13 5 C ’且更佳約1 〇 s 5认 、 J iU5 C至約13〇。&lt;3之溫度 下對成像層14及抗反射塗層12進彳干 疋订’歷時約45秒至 約7 5秒之時段。 接著使成像層14及抗反射塗屌]) /θ 增12之因上述製程而變 得可溶的經曝光部分與光阻顯影劑拯 W接觸以移除該等經曝光 部分。在移除成像層14之同時,處 处於成像層14之經曝光 部分下面的抗反射塗層12之經曝井部八 Ρ刀亦由顯影劑移除以 在成像層14及抗反射塗層12中同眸犯^ J呀形成所需圖案20。圖 21 201035690 案20可為通孔、溝槽、線、間隙等,最終將使用蝕刻或離 子植入製程將其轉移至基板10上。較佳地,由顯影劑移除 成像層14及抗反射塗層12之至少約95%之經曝光部分, 更佳移除至少約99%之經曝光部分,且甚至更佳移除約 100%之經曝光部分。 適合顯影劑為有機或無機鹼性溶液,諸如氫氧化鉀 (KOH) ' TMAH,且較佳包含具有〇·26 N或更低之濃度的 TMAH水溶液。一些此等顯影劑可以商標名pd523ad (可 講自 Moses Lake Industries 公司,Moses Lake,WA)、MF-319 (可購自 Shipley,Massachusetts)、MF-320(可購自 Shipley) 及NMD3 (可購自TOK,japan)購得。 在另一具體實例中,可使用ArF浸潰式微影(未示) 將光阻圖案化。輻射在曝光期間通過之介質為液體而非空 氣(如習知微影中)。經由微影系統之光學投影元件(亦即 透銃)使成像層曝露於輻射,其中浸潰液體接觸微影系統 之光學兀件之至少一部分及結構(亦即堆疊)之一部分。 甚至更佳地,液體填充系統中之最後一個光學元件與成像 層之間的空間’從而使得光學元件浸潰於液體中。適合浸 &gt;貝液體权佳具有大於丨(較佳為約1至約2,且更佳為約1 3 至約1.4 )之折射率,且係選自由水(較佳為純水)或有機 溶劑組成之群。浸潰式微影系統在此項技術中為已知的且 包括來自 AmphibianTM Systems ( Rochester, NY )之Solubility. The anti-reflective layer 12 "the exposed portion thus contains free adamantane groups which are removed during development. After exposure, it is preferably about 85. It is about 140, more preferably about 9 5 C to about 13 5 C ' and more preferably about 1 〇 s 5 recognizes, J iU5 C to about 13 〇. &lt;3&gt; The imaging layer 14 and the anti-reflective coating 12 are allowed to dry for a period of about 45 seconds to about 75 seconds. Next, the image forming layer 14 and the anti-reflective coating 屌]) / θ are increased by 12 due to the above process, and the soluble exposed portion is brought into contact with the photoresist developer to remove the exposed portions. While the imaging layer 14 is being removed, the exposed portion of the anti-reflective coating 12 under the exposed portion of the imaging layer 14 is also removed by the developer to form the imaging layer 14 and the anti-reflective coating. In the 12th, the same guilty man ^ J formed the desired pattern 20. Figure 21 201035690 Case 20 can be a via, trench, line, gap, etc., which will eventually be transferred to substrate 10 using an etch or ion implantation process. Preferably, at least about 95% of the exposed portions of imaging layer 14 and anti-reflective coating 12 are removed by the developer, more preferably at least about 99% of the exposed portions are removed, and even more preferably about 100% removed. The exposed portion. Suitable developers are organic or inorganic alkaline solutions such as potassium hydroxide (KOH) 'TMAH, and preferably comprise an aqueous solution of TMAH having a concentration of 〇·26 N or lower. Some of these developers are available under the tradename pd523ad (available from Moses Lake Industries, Moses Lake, WA), MF-319 (available from Shipley, Massachusetts), MF-320 (available from Shipley), and NMD3 (available Purchased from TOK, japan). In another embodiment, the photoresist can be patterned using ArF impregnated lithography (not shown). The medium through which radiation passes during exposure is liquid rather than air (as in conventional lithography). The imaging layer is exposed to radiation via an optical projection element (i.e., through) of the lithography system, wherein the impregnation liquid contacts at least a portion of the optical element of the lithography system and a portion of the structure (i.e., the stack). Even more preferably, the space between the last optical element in the liquid filling system and the imaging layer is such that the optical element is immersed in the liquid. Suitable for immersion &gt; preferably has a refractive index greater than 丨 (preferably from about 1 to about 2, and more preferably from about 1 3 to about 1.4) and is selected from water (preferably pure water) or organic a group of solvents. Immersion lithography systems are known in the art and include from AmphibianTM Systems (Rochester, NY)

Amphlbian 干涉儀及來自 ASML ( Veldhoven, Netherlands) 之 1900i 。 22 201035690 與具體實例無關,接著可在經圖案化之堆叠上進— 知蝕刻、金屬化等以完成裝置製造。若需要多個曝光製:, 則亦可使用與經圖案化之抗反射塗層相鄰塗覆之第二 層來重複該曝光-顯影製程。 實施例 以下實施例闡述本發明之方法。然而,應瞭解,僅以 說明方式提供此等實施例^不應將其中任—者視作對本發 明之總體範疇之限制。 x 實施例1 二元共聚物I合成及其沈澱Amphlbian interferometer and 1900i from ASML ( Veldhoven, Netherlands). 22 201035690 Regardless of the specific example, etching, metallization, etc. can be performed on the patterned stack to complete device fabrication. If multiple exposure systems are desired: the exposure-development process can also be repeated using a second layer applied adjacent to the patterned anti-reflective coating. EXAMPLES The following examples illustrate the process of the invention. However, it should be understood that the present invention is not limited by the scope of the present invention. x Example 1 Synthesis and precipitation of binary copolymer I

在此程序中’使用12_9 mol% IPM、甲基丙烯酸及苯乙 ❹烯合成三元共聚物’繼而使其沈澱。將9.08 g( 105.5 mm〇l) 甲基丙稀酸(Sigma-Aldrich,St. Louis,MO)、12,38 g( 118 9 mmol )苯乙稀(Sigma-Aldrich,St. Louis, MO)、8.71 g( 33 2 mmol) Adamantate® X-M-105 ( IPM ; Idemitsu K〇san 有限 公司,Tokyo, Japan)及203.72 g PGME裝入裴備有磁力授 拌棒及溫度計之500 ml三頸燒瓶中。在室溫下攪拌混合物 5分鐘以製成溶液。接著將具有氮氣入口配接器之滴液漏斗 及具有氮氣出口配接器之冷凝器連接至燒瓶上。 23 201035690 將 0.68 g ( 4.14 mmol ) 2,2,-偶氮雙異丁腈(AIBN ; Sigma-Aldrich,St. Louis,MO)及 67.63 g PGME 裝入透明 125 ml耐爾基瓶(Nalgene bottle )中。在室溫下翻轉混合 物0·64小時以製成溶液。將AIBN溶液裝入連接至燒瓶之 滴液漏斗中,且接著用氮氣在室溫下吹洗反應系統2.〇小 時。接著,在l〇2.5t-103°C下將燒瓶浸於油浴槽中。在氮 氣下攪拌反應混合物。在反應溶液溫度達到1 〇3它下,將 AIBN溶液經3分鐘之時段逐漸添加至反應燒瓶中。接著在 氮氣下,在98 °C-1051下,攪拌所得反應混合物24小時。 產里.299.5 g溶液(99%回收率);ι〇·ΐ8 wt.%聚合物固體。 由凝膠滲透層析(GPC)測得溶液中三元共聚物j之乂说為 15,150 g/mol,且分散性(D)為 2.8。 為使三元共聚物I自溶液中沈澱,將2公升己烷裝入裝 備有懸臂式攪拌器及攪拌棒之4公升燒杯中。將2〇〇7笆 IPM三元共聚物溶液裝入滴液漏斗中,接著將其經〇·5小時 自該漏斗逐滴添加至經攪拌己燒中。在室溫下再搜摔混合 物5分鐘且接著使其沈澱。藉由真空過渡移除溶劑。接^ 揽拌三元共聚物固體連同200 ml新鮮己烧2分鐘,繼μ 由真空過據移除溶劑。再攪拌三元共聚物固體連同2〇2加 新鮮己烧5分鐘,繼而經由真空過渡移除溶劑。在㈣真 空洪箱中乾燥三元共聚物㈣,使用研绰及研杵將其研磨 成粉末,且在4(TC真空烘箱中乾燥至恆重。產量:126 粉末(產率:62% )。經由GPC測得:τ元丑# &amp;^ . δ 卞一兀共聚物之Mw為ι6,〇5〇 g/mol ’ 且 〇 為 21。 24 201035690 實施例2 使用三元共聚物I製備底部抗反射塗層 在此程序中,使用三元共聚物I ( IPM,來自上述實施 例1 )以及PAG及淬滅劑來製備底部抗反射塗層。將〇.7 i 8 g内部乙烯醚交聯劑(參見實施例27 )、2.423 g三元共聚物 I、156.1861 g PGME 及 39.36 g PGMEA 裝入 250 ml 琥珀色 耐爾基瓶中。在室溫下翻轉混合物152小時。接著,添加 1.2596 g 1%淬滅劑(i_B〇c_4_羥基哌啶;sigma Aldrich st. Ο ,In this procedure, a terpolymer was synthesized using 12-9 mol% of IPM, methacrylic acid and styrene, and then precipitated. 9.08 g (105.5 mm 〇l) methyl methic acid (Sigma-Aldrich, St. Louis, MO), 12,38 g (118 9 mmol) styrene (Sigma-Aldrich, St. Louis, MO), 8.71 g (33 2 mmol) Adamantate® XM-105 (IPM; Idemitsu K〇san Co., Ltd., Tokyo, Japan) and 203.72 g of PGME were placed in a 500 ml three-necked flask equipped with a magnetic stir bar and thermometer. The mixture was stirred at room temperature for 5 minutes to prepare a solution. A dropping funnel with a nitrogen inlet adapter and a condenser with a nitrogen outlet adapter were then attached to the flask. 23 201035690 0.68 g ( 4.14 mmol ) 2,2,-azobisisobutyronitrile (AIBN; Sigma-Aldrich, St. Louis, MO) and 67.63 g PGME were loaded into a transparent 125 ml Nalgene bottle in. The mixture was inverted at room temperature for 0.60 hours to prepare a solution. The AIBN solution was charged into a dropping funnel attached to the flask, and then the reaction system was purged with nitrogen at room temperature for 2. hr. Next, the flask was immersed in an oil bath at l〇2.5t-103°C. The reaction mixture was stirred under nitrogen. The AIBN solution was gradually added to the reaction flask over a period of 3 minutes while the temperature of the reaction solution reached 1 〇3. The resulting reaction mixture was then stirred at 98 ° C - 1051 under nitrogen for 24 hours.产里.299.5 g solution (99% recovery); ι〇·ΐ8 wt.% polymer solids. The terpolymer j in the solution was determined by gel permeation chromatography (GPC) to be 15,150 g/mol, and the dispersibility (D) was 2.8. To precipitate the terpolymer I from the solution, 2 liters of hexane was charged into a 4 liter beaker equipped with a cantilever stirrer and a stir bar. A 2〇〇7笆 IPM terpolymer solution was charged into the dropping funnel, which was then added dropwise from the funnel to the stirred hexane for 5 hours. The mixture was again searched at room temperature for 5 minutes and then allowed to precipitate. The solvent was removed by vacuum transfer. Then, mix the terpolymer solid together with 200 ml of fresh hexane for 2 minutes, then remove the solvent by vacuum. The terpolymer solid was stirred again with 2 Torr and fresh hexane for 5 minutes, followed by removal of the solvent via vacuum transfer. The terpolymer (4) was dried in a (iv) vacuum box, ground into a powder using a mortar and pestle, and dried to constant weight in a 4 (TC vacuum oven. Yield: 126 powder (yield: 62%). Measured by GPC: τ元丑# &amp;^. The Mw of the δ 卞-兀 copolymer is ι6, 〇5〇g/mol ' and 〇 is 21. 24 201035690 Example 2 Preparation of Bottom Resistance Using Terpolymer I Reflective Coating In this procedure, a terpolymer # (IPM, from Example 1 above) and PAG and a quencher were used to prepare the bottom anti-reflective coating. 〇.7 i 8 g internal vinyl ether crosslinker (See Example 27), 2.423 g of terpolymer I, 156.1861 g of PGME and 39.36 g of PGMEA were placed in a 250 ml amber Nergi bottle. The mixture was inverted at room temperature for 152 hours. Next, 1.2596 g of 1% was added. Quencher (i_B〇c_4_hydroxypiperidine; sigma Aldrich st. Ο ,

Louis,MO)之 PGME 溶液,繼而添加 0.0423 g CGI TPS-Cl (Ciba,Tarrytown,NY )。接著在室溫下翻轉該瓶隔夜,且經 〇· 1弘m終點過濾器將其内容物過濾2次且放入4個6〇… 破色耐爾基瓶中。 接著測試所得底部抗反射塗層之各種特性。對於光學 及薄膜特性測試,首先將底部抗反射塗料以i,5〇〇卬爪旋塗 至石夕晶圓上,歷時3G秒或⑼秒,且使其熱固化(亦即, 在160 C下烘烤60秒)。使用Gaertner橢圓偏光計量測且記 錄所得薄膜之初始厚度。 、、為測试該層之耐溶劑性’將光阻溶劑(乳酸乙酯)捣 塗至薄膜上’歷時2Q秒’繼而以約3,GGG rpm旋轉乾燥約 3〇秒以移除溶劑。再使用橢圓偏光計量測厚度,且如上文 所述,計算剝離或溶脹百分比。 亦置測光阻顯影劑(〇·26 N TMAH水溶液)對未曝光 暗損失)塗層的影響。為估算暗損失,如上文所述,用 反射塗料塗覆另-晶圓且洪烤,且量測及記錄初始薄膜厚 25 201035690 度。在lure下對未曝光層進行PEB,歷時6G秒。接著將 光阻顯影劑(0_26NTMAH)搗塗至薄膜上,糾化秒,、 繼而5秒去離子水沖洗且旋轉乾燥。使用橢圓偏光計量測 層厚度,且計算暗損失。對於EL剝離測試或暗損失,正數 表示該薄膜溶脹。 接著在1 93 _下使用J_A· w〇〇llam公司VASE⑧橢圓偏 光计3:測該薄膜之η及k值。此底部抗反射塗層之薄膜及 光學特性係展示於表2實施例1 _ 1 7結果中。 使用OrielTM Duv曝光單元測定此底部抗反射塗層之 對比曲線,其中在曝光前光穿過248 nm帶通濾波器。將底 部抗反射塗料以MOO rpm旋塗至矽晶圓上,歷時3〇秒或 60秒,且在16(TC下烘烤6〇秒。使2個晶圓曝光,繼而在 100 C下進行PEB歷時60秒,或在12(TC下進行PEB歷時 60秒其-人,以195 nm厚度塗覆覆蓋抗姓劑(arx3〇〇 1 jn; JSR Micro) ’繼而在li〇°C下進行pAB歷時6〇秒,曝光, 且在1HTC下進行PEB歷時6〇秒。在存在及不存在抗蝕劑 下2種PEB之對比曲線結果係展示於圖2(a)_(c)中。此底部 抗反射塗層給出圖2(a)-(c)中所示之底部抗反射塗層之最低 E〇。 接著’如圖3中所指示,在Amphibian XIS干涉儀 (Amphibian Systems)上,以各種曝光時間進行用於使用覆 蓋抗蝕劑(ARX3001JN)之微影的193 nm曝光,其中在11〇。〇 下進行PAB及PEB ’歷時60秒。150 nm L/S ( 1:1 )不在範 圍内。 26 201035690 ' 實施例3 使用二70共聚物I製備含較少PAG之底部抗反射塗層 在此程序中,在無PAG或淬滅劑之情況下,使用三元 共聚物I(IPM)製備底部抗反射塗層。將1 si% g二元丘 聚物I、0.3 59 g交聯劑(來自實施例27)、74.3〇9 g pQME 及19.679 g PGMEA裝入i25 mi琥珀色耐爾基瓶中。在室 溫下翻轉合物隔夜,且接著使用〇. 1 過遽器進行終點 過濾。在以下旋轉參數下,將所得底部抗反射塗料旋塗至 〇 矽晶圓上:a)以丨,5〇〇 rpm,歷時30秒或60秒,或b )以 2,738 rpm’歷時30秒或60秒,各條件之後皆為在16〇t:T 烘烤60秒。旋轉參數a)得到54 nm-55 nm之熱固性底部 抗反射塗層,而旋轉參數b )得到38 nm之熱固性底部抗反 射塗層。接著’如上文在實施例2中所述,以1 95 nm厚度 使用覆蓋抗蝕劑ARX3001JN進行193 nm微影。SEM照片 (使用Carl Zeiss SMT公司之LEO 1560製得)展示於圖4 中。對於兩者厚度,皆獲得極好之i 5〇 nm L/S ( 1:1 )。 ❹ 接著如上文在實施例2中所述測定此底部抗反射塗層 之薄膜及光學特性。結果展示於表2中。 實施例4 元共聚物II之合成及其沈澱Louis, MO) PGME solution, followed by 0.0423 g CGI TPS-Cl (Ciba, Tarrytown, NY). The bottle was then inverted overnight at room temperature and the contents were filtered twice through a 〇·1 hiro m end filter and placed in four 6 〇... broken Neldi bottles. The various properties of the resulting bottom anti-reflective coating were then tested. For optical and film properties testing, the bottom anti-reflective coating is first applied to the Shixi wafer with i, 5 paws for 3G seconds or (9) seconds, and it is thermally cured (ie, at 160 C). Bake for 60 seconds). The Gaertner ellipsometry was used to measure and record the initial thickness of the resulting film. To test the solvent resistance of the layer, a photoresist solvent (ethyl lactate) was applied to the film for 2 seconds, followed by spin drying at about 3, GGG rpm for about 3 seconds to remove the solvent. The thickness is then measured using ellipsometry and the percent peel or swell is calculated as described above. The effect of the photoresist developer (〇·26 N TMAH aqueous solution) on the unexposed dark loss coating was also measured. To estimate the dark loss, the other wafer was coated with a reflective coating and flooded as described above, and the initial film thickness was measured and recorded at 25 201035690 degrees. The unexposed layer was subjected to PEB under lure for 6G seconds. The photoresist developer (0-26NTMAH) was then applied to the film, corrected for seconds, then rinsed with deionized water for 5 seconds and spin dried. The thickness of the layer was measured using ellipsometry and the dark loss was calculated. For the EL peel test or dark loss, a positive number indicates that the film is swollen. Next, J_A·w〇〇llam VASE8 ellipsometer 3 was used under 193 _: the η and k values of the film were measured. The film and optical properties of this bottom anti-reflective coating are shown in the results of Example 1 _ 17 of Table 2. A comparison curve of this bottom anti-reflective coating was measured using an OrielTM Duv exposure unit where light was passed through a 248 nm bandpass filter prior to exposure. The bottom anti-reflective coating was spin coated onto the tantalum wafer at MOO rpm for 3 seconds or 60 seconds and baked at 16 (TC for 6 seconds). Exposure of 2 wafers followed by PEB at 100 C For 60 seconds, or at 12 (the PEB lasts 60 seconds, the person, the 951 nm thickness is coated with the anti-surname agent (arx3〇〇1 jn; JSR Micro)' and then the pAB duration is performed at li〇°C 6 sec, exposure, and PEB for 6 sec at 1HTC. The results of the comparison of the two PEBs in the presence and absence of resist are shown in Figure 2(a)-(c). The reflective coating gives the lowest E 底部 of the bottom anti-reflective coating shown in Figures 2(a)-(c). Next, as indicated in Figure 3, on the Amphibian XIS interferometer (Amphibian Systems), various The exposure time was used for 193 nm exposure using lithography covering the resist (ARX3001JN), where PAB and PEB were performed for 60 seconds at 11 。. 150 nm L/S (1:1) was out of range 26 201035690 ' Example 3 Preparation of a bottom anti-reflective coating containing less PAG using Di 70 Copolymer I In this procedure, in the absence of PAG or quencher, use ternary A bottom anti-reflective coating was prepared from Polymer I (IPM). 1 si% g binary oligomer I, 0.359 g crosslinker (from Example 27), 74.3〇9 g pQME, and 19.679 g PGMEA were loaded into i25 In amber amber Nerki bottle, the compound was turned over overnight at room temperature, and then filtered using an 〇. 1 filter for end point filtration. The resulting bottom anti-reflective coating was spin coated onto the wafer under the following rotation parameters. Top: a) 丨, 5 rpm, lasts 30 seconds or 60 seconds, or b) at 2,738 rpm' for 30 seconds or 60 seconds, after each condition is baked at 16 〇t:T for 60 seconds. The rotation parameter a) gives a thermoset bottom anti-reflective coating of 54 nm-55 nm, while the rotation parameter b) gives a 38 nm thermoset bottom anti-reflective coating. Next, as described above in Example 2, a 193 nm lithography was performed using a blanket resist ARX3001JN at a thickness of 1 95 nm. SEM photographs (made using LEO 1560 from Carl Zeiss SMT) are shown in Figure 4. For both thicknesses, excellent i 5 〇 nm L/S (1:1) is obtained.薄膜 The film and optical properties of the bottom anti-reflective coating were then determined as described above in Example 2. The results are shown in Table 2. Example 4 Synthesis and Precipitation of Metapolymer II

27 201035690 在此程序中’使用12.9 m〇i〇/0 EM、曱基丙烯酸及苯乙 烯合成三7L共聚物。將9.1 g( 1〇6 mmol)曱基丙烯酸、12.40 g ( 119.15 mmol )本乙烯、8.26 g(33.3 mmol ) Adamantate® EM ( Idemitsu Kosan 有限公司,T〇ky〇, Japan)及 2〇〇·78 呂 PGME裝入裝備有磁力攪拌棒及溫度計之5〇〇 ml三頸燒瓶 中。在至溫下攪拌混合物6分鐘以得到溶液。將具有氮氣 出口配接器之冷凝器及具有氮氣入口配接器之滴液漏斗連 接至燒瓶上。27 201035690 In this procedure, three 7L copolymers were synthesized using 12.9 m〇i〇/0 EM, mercaptoacrylic acid and styrene. 9.1 g (1〇6 mmol) of methacrylic acid, 12.40 g (119.15 mmol) of ethylene, 8.26 g (33.3 mmol) of Adamantate® EM (Idemitsu Kosan Co., Ltd., T〇ky〇, Japan) and 2〇〇·78 Lu PGME was placed in a 5 〇〇 ml three-necked flask equipped with a magnetic stir bar and a thermometer. The mixture was stirred at ambient temperature for 6 minutes to obtain a solution. A condenser with a nitrogen outlet adapter and a dropping funnel with a nitrogen inlet adapter were attached to the flask.

接著’將 0.654 g ( 3.98 mm〇l) AIBN 及 66.88 g PGME 裝入125 ml耐爾基瓶中。在室溫下翻轉混合物〇·6小時以 製成溶液。接著將ΑΙΒΝ溶液轉移至滴液漏斗中。用氮氣吹 拂反應系統15分鐘,且接著在l〇〇t下將燒瓶浸於油浴槽 中。在98 C-104。。下’在氮氣下攪拌混合物24小時。產量: 294.4 g溶液(99%回收率);1〇 18机%聚合物固體 '經由 GPC測付,谷液中二凡共聚物之心為15,25〇 _〇1,且〇為 2.5。 為使三元共聚物Π自溶液中沈澱,將2.00公升己烷裝 ,4公升:堯杯中。將199.8g三元共聚物π溶液裝入滴液漏 斗中接著在至溫下將其經13.2分鐘逐滴添加至經攪拌己 、元中4著在至溫下再檀拌混合&amp; 6分鐘。藉由真空過遽 移除溶劑。將約200 ml新鮮己院添加至三元共聚物中作為 :洗液,再攪拌混合物6分鐘’繼而再藉由真空過濾移除 背丨再冰加200 ml新鮮己烷,且攪拌混合物5分鐘。再 28 201035690 藉由真空過濾移除溶劑。在4(TC真空烘箱中,將三元共聚 物乾燥至恆重。產量:14.0 g ( 69%回收率)。經由GPC測 得三元共聚物之Mw為16,850 g/mol,且D為2.1。 實施例5 使用三元共聚物II製備底部抗反射塗層 在此程序中,使用三元共聚物Π (EM,來自上述實施 例4 )、PAG及淬滅劑來製備底部抗反射塗層。將〇.5353 g 來自實施例27之交聯劑、1.8215 g三元共聚物Π、1171579 〇 g PGME、29.5210 g PGMEA、0_9456 g 1 %淬滅劑(υκ 羥基哌啶)之PGME溶液及0.0316 g TPS-C1裝入25 0 ml 耐爾基瓶中。翻轉混合物隔夜且接著經由〇.丨μηι終點過滤 器過濾。 接著如上文在實施例2中所述測定三元共聚物η底部 抗反射塗層之薄膜及光學特性。結果展示於表2中。 亦如上文在實施例2中所述測定三元共聚物π底部抗 反射塗層之對比曲線,且展示於圖2中。接著,如上文在 〇 實施例2中所述進行193 nm微影。對於各曝光時間,SEM 照片(使用Carl Zeiss SMT公司之LEO 1560製得)展示於 圖3中。如圖3中所示,在52 nm-5 6 nm之薄膜厚度下,由 1.2 秒曝光獲得 150 nm L/S ( 1:1 )。 實施例6 使用三元共聚物II製備含較少PAG之底部抗反射塗層 在此程序中,在無PAG或淬滅劑之情況下,使用三元 共聚物II ( EM,來自實施例4)製備底部抗反射塗層。將 29 201035690 0.359 g 來自實施例 27 之交聯劑 ' 78.715 g PGME、19.676 g PGMEA、1.214 g三元共聚物II裝入250 ml琥珀色耐爾基 瓶中。在室溫下翻轉混合物3 · 5小時以上。接著經由〇. 1私爪 終點過濾器過濾塗料。 如上文所述,測定此抗反射塗層之薄膜及光學特性, 繼而進行193 nm微影。此底部抗反射塗層之薄膜及光學特 性展示於表2中。SEM照片展示於圖5中。 實施例7 三元共聚物III合成及其沈澱Next, 0.654 g (3.98 mm 〇l) AIBN and 66.88 g PGME were placed in a 125 ml Nerji bottle. The mixture was inverted at room temperature for 6 hours to prepare a solution. The hydrazine solution was then transferred to a dropping funnel. The reaction system was purged with nitrogen for 15 minutes, and then the flask was immersed in an oil bath at 1 Torr. At 98 C-104. . The mixture was stirred under nitrogen for 24 hours. Yield: 294.4 g solution (99% recovery); 1 〇 18% polymer solids 'measured by GPC, the core of the copolymer in the solution was 15,25 〇 _〇1, and the enthalpy was 2.5. To precipitate the terpolymer from the solution, 2.00 liters of hexane was charged, 4 liters: in a cup. 199.8 g of the terpolymer π solution was placed in a dropping funnel and then added dropwise thereto at a temperature of 13.2 minutes until it was stirred, and the mixture was mixed and heated for 6 minutes. The solvent was removed by vacuuming. About 200 ml of fresh broth was added to the terpolymer as a washing solution, and the mixture was further stirred for 6 minutes. Then, the mixture was removed by vacuum filtration, and then 200 ml of fresh hexane was added thereto, and the mixture was stirred for 5 minutes. Then 28 201035690 Remove the solvent by vacuum filtration. The terpolymer was dried to constant weight in a 4 (TC vacuum oven. Yield: 14.0 g (69% recovery). The Mw of the terpolymer was measured by GPC to be 16,850 g/mol, and D was 2.1. Example 5 Preparation of Bottom Anti-Reflection Coating Using Terpolymer II In this procedure, a terpolymer anti-reflective coating was prepared using a terpolymer Π (EM, from Example 4 above), PAG, and a quencher. 535.5353 g PGME solution from the crosslinking agent of Example 27, 1.8215 g terpolymer Π, 1171579 〇g PGME, 29.5210 g PGMEA, 0_9456 g 1 % quencher (υκ hydroxypiperidine) and 0.0316 g TPS -C1 was charged into a 25 0 ml Nerki bottle. The mixture was inverted overnight and then filtered through a 〇.丨ηηι end filter. The ternary anti-reflective coating of the terpolymer η was then determined as described above in Example 2. Film and optical properties. The results are shown in Table 2. The comparative curves of the terpolymer π bottom anti-reflective coating were also determined as described above in Example 2 and are shown in Figure 2. Next, as above 193 nm lithography was performed as described in Example 2. For each exposure time, SEM photo (Prepared using Carl Zeiss SMT's LEO 1560) is shown in Figure 3. As shown in Figure 3, 150 nm L/S was obtained from a 1.2 second exposure at a film thickness of 52 nm - 5 6 nm (1: 1) Example 6 Preparation of a bottom anti-reflective coating containing less PAG using terpolymer II In this procedure, in the absence of PAG or quencher, terpolymer II (EM, from implementation) Example 4) Preparation of a bottom anti-reflective coating. 29 201035690 0.359 g of crosslinker from Example 27 '78.715 g PGME, 19.676 g PGMEA, 1.214 g terpolymer II were placed in a 250 ml amber Nergi bottle The mixture was inverted at room temperature for 3 · 5 hours or more. The coating was then filtered through a 私 1 private claw end filter. The film and optical properties of the anti-reflective coating were determined as described above, followed by 193 nm lithography. The film and optical properties of this bottom anti-reflective coating are shown in Table 2. SEM photographs are shown in Figure 5. Example 7 Terpolymer III Synthesis and Precipitation

在此程序中,使用12.9 mol〇/〇 AM、曱基丙烯酸及笨乙 烯合成三元共聚物。將9.07 g ( 105.4 mmol)甲基丙稀酸、 12.37 g ( 118.8 mmol )苯乙烯、8.32 g ( 33.2 mmol )In this procedure, a terpolymer of 12.9 mol 〇/〇 AM, methacrylic acid and stupid ethylene was used. 9.07 g (105.4 mmol) of methacrylic acid, 12.37 g (118.8 mmol) of styrene, 8.32 g (33.2 mmol)

Adamantate® M-101( AM; Idemitsu K〇San 有限公司,T〇ky〇,Adamantate® M-101 ( AM; Idemitsu K〇San Co., Ltd., T〇ky〇,

Japan )及200.94 g PGME裝入裝備有磁力攪拌棒及溫度計 之500 ml三頸燒瓶中。在室溫下攪拌混合物約3分鐘。將 連接有氮氣出口配接器之冷凝器及連接有氮氣入口配接器 之滴液漏斗連接至三頸燒瓶上。Japan ) and 200.94 g PGME were placed in a 500 ml three-necked flask equipped with a magnetic stir bar and a thermometer. The mixture was stirred at room temperature for about 3 minutes. A condenser connected to a nitrogen outlet adapter and a dropping funnel connected to a nitrogen inlet adapter were connected to a three-necked flask.

接著,將 0.68 g ( 4.14 mm〇l) AIBN 及 54 595 g pGME 裝入60 ml耐爾基瓶中。在室溫下,翻轉混合物2小時以製 30 201035690Next, 0.68 g ( 4.14 mm 〇l) AIBN and 54 595 g pGME were placed in a 60 ml Nerji bottle. The mixture was inverted for 2 hours at room temperature to make 30 201035690

成溶液,且接著將溶液添加至滴液漏斗中。將約1 2丨丨g PGME添加至6〇 ml《爾基弃瓦中以充分沖洗該瓶内部,且亦 將此沖洗液添加至滴液漏斗中。在室溫下用氮氣吹拂反應 系統15分鐘’且接著在99·5。。下將燒瓶浸於油浴槽中。在 氮氣氛圍下攪拌反應混合物。在反應溶液溫度達到1〇2 $ π 下,將ΑΙΒΝ溶液經約3分鐘逐滴添加至燒瓶中。在約 97°C-105°C下’在氮氣下搜拌反應混合物24小時。產量: 295.4 g溶液(99%回收率);1〇 17就%固體。經由Gpc測 得溶液中三元共聚物III之Mw為18’65〇 g/m〇l,且D為2 &amp; 為使三元共聚物自溶液中沈澱,將2〇公升己烷裝入4 公升燒杯中。將約199.9 g三元共聚物m溶液經〇5小時 逐滴添加至經攪拌己烷中。接著在室溫下攪拌混合物5分 鐘。使用真空過濾自三元共聚物固體移除溶劑。在室溫下, 撥拌二元共聚物固體連同新鮮2〇〇 mi己烧5分鐘且再由真 空過渡移除溶劑。再添加200 ml己烷,且繼而搜拌5分鐘。 藉由真空過濾移除溶劑沖洗液。在4〇°c真空烘箱中乾燥三 元共聚物,使用研钵及研杵將其研磨成粉末,且接著在4〇。〇 真空供相中乾燥至恆重。產量:15.4 g ( 76%回收率)。經由 GPC測得三元共聚物in之河评為19,15〇 g/m〇1,且D為2 3。 實施例8 使用三元共聚物ΙΠ製備底部抗反射塗層 在此程序中,使用來自實施例7之三元共聚物Πϊ (AM )、PAG及淬滅劑製備底部抗反射塗層。將ο.? 1 5 g來 自實施例27之交聯劑、2.421 g三元共聚物ΠΙ、丨57.06〇 g 31 201035690 PGME及39.54 g pGMEA裴入250 ml琥珀色耐爾基瓶中。 接著’添加約1 256 g 1%淬滅劑(^〇(&gt;4_羥基哌唆) 之PGME溶液,繼而添加0.0427 g TPS-C1。在室溫下翻轉 混合物隔夜,且接著經〇 .丨μπ1終點過濾器過濾。 接著如上文在實施例2中所述測定三元共聚物in底部 抗反射塗層之薄膜及光學特性,且提供於下表2結果中。 如先前所述測定三元共聚物ΙΠ底部抗反射塗層之對比 曲線,且展示於圖2中。 接著’如上文在實施例2中所述進行1 93 nm微影。自 圖3中之SEM照片,可見在1.2秒及1.4秒曝光後呈現150 nm L/S ( 1:1 )。 實施例9 三元共聚物IV之合成及其沈澱The solution was formed and the solution was then added to the dropping funnel. About 12 丨丨g of PGME was added to 6 〇 ml of the ergo-abandoned tile to thoroughly rinse the inside of the bottle, and this rinsing liquid was also added to the dropping funnel. The reaction system was purged with nitrogen for 15 minutes at room temperature and then at 99.5. . The flask was immersed in an oil bath. The reaction mixture was stirred under a nitrogen atmosphere. The rhodium solution was added dropwise to the flask over a period of about 3 minutes at a temperature of the reaction solution of 1 〇 2 $ π. The reaction mixture was thundered under nitrogen at about 97 ° C - 105 ° C for 24 hours. Yield: 295.4 g solution (99% recovery); 1 〇 17% solids. The Mw of the terpolymer III in the solution was determined by Gpc to be 18'65 〇g/m〇l, and D was 2 & To precipitate the terpolymer from the solution, 2 liters of hexane was charged into 4 Lit in the beaker. Approximately 199.9 g of the terpolymer m solution was added dropwise to the stirred hexane over 5 hours. The mixture was then stirred at room temperature for 5 minutes. The solvent was removed from the terpolymer solid using vacuum filtration. At room temperature, the binary copolymer solids were mixed with fresh 2 〇〇 mi for 5 minutes and the solvent was removed by a vacuum transition. An additional 200 ml of hexane was added and then mixed for 5 minutes. The solvent rinse was removed by vacuum filtration. The terpolymer was dried in a 4 ° C vacuum oven, ground to a powder using a mortar and pestle, and then at 4 Torr.真空 Vacuum to dry the phase to constant weight. Yield: 15.4 g (76% recovery). The ternary copolymer in the river was evaluated as 19,15 〇 g/m〇1 and D was 23 by GPC. Example 8 Preparation of a bottom anti-reflective coating using a terpolymer ruthenium In this procedure, a bottom anti-reflective coating was prepared using the terpolymer AM (AM), PAG and quencher from Example 7. ο.? 1 5 g of the crosslinker of Example 27, 2.421 g of terpolymer ΠΙ, 丨57.06〇 g 31 201035690 PGME and 39.54 g of pGMEA were weighed into a 250 ml amber Nerki bottle. Then 'add about 1 256 g of 1% quencher (&gt; 4_hydroxypiperidin) to the PGME solution, followed by 0.0427 g of TPS-C1. The mixture was inverted overnight at room temperature and then passed through. The μπ1 endpoint filter was filtered. The film and optical properties of the terpolymer in the bottom anti-reflective coating were then determined as described above in Example 2 and provided in the results in Table 2 below. The ternary copolymer was determined as previously described. A contrast curve of the anti-reflective coating on the bottom of the material, and is shown in Figure 2. Next '1 93 nm lithography was performed as described above in Example 2. The SEM photograph from Figure 3 can be seen at 1.2 seconds and 1.4 After exposure to a second, it exhibits 150 nm L/S (1:1). Example 9 Synthesis and precipitation of terpolymer IV

在此程序中,使用12.9 mol% CAM、甲基丙稀酸及苯 乙烯合成三元共聚物。將8.59 g ( 33.1 mmol ) Adamantate® M-102 ( CAM ; Idemitsu Kosan 有限公司,Tokyo,Japan )、 9· 10 g ( 105.7 mmol)曱基丙烯酸、12.38g( 118.9 mmol) 苯乙烯及202.70 g PGME裝入裝備有磁力攪拌棒及溫度計 之500 ml三頸燒瓶中。攪拌混合物2分鐘以製成溶液。將 連接有氮氣出口配接器之冷凝器及連接有氮氣入口配接器 32 201035690 之滴液漏斗連接至三頸燒瓶上。 接著,將 0.65 g ( 3.96 mmol) AIBN 及 54.91 g PGME 裝入60 ml耐爾基瓶中。在室溫下翻轉混合物〇·5小時,得 到溶液。將該溶液添加至滴液漏斗中。使用約12丨9 g PGME 沖洗耐爾基瓶,且將PGME沖洗液添加至滴液漏斗中。用 氮氣吹拂反應系統15分鐘,且接著在1 〇3.5。〇下將燒瓶浸於 油浴槽中。在氮氣氛圍下攪拌反應混合物。在反應溶液溫 度達到101 ·5°C下’將AIBN溶液經約3分鐘逐滴添加至燒 〇 瓶中。在97。〇106。(:下’在氮氣下攪拌反應溶液24小時。 產量:295.9 g溶液(98.5%回收率);10.19 wt.%聚合物固 體。經由GPC測得溶液中三元共聚物iv之Mw為14,850 g/mol ’ 且 d 為 2.6。 為使三元共聚物自溶液中沈澱,將2公升己烷裝入裝 備有懸臂式攪拌器之4公升燒杯中。將200.0 g三元共聚物 IV ;谷液裝入滴液漏斗中。將三元共聚物溶液經1 7分鐘逐滴 q 添加至經攪拌己烷中。接著在室溫下再攪拌混合物7分鐘, 繼而經真空過濾移除溶劑。藉由將2〇〇 ml新鮮己烷添加至 燒瓶中,繼而攪拌7·5分鐘,且經真空過濾移除溶劑來重複 該製程。為最終沖洗,將200 ml己烷添加至三元共聚物固 體中,且攪拌混合物8分鐘,繼而藉由真空過濾移除溶劑。 在4(TC真空烘箱中乾燥三元共聚物,使用研缽及研杵將其 研磨成粉末,且接著在4CTC下在真空中乾燥至恆重。產量: 14.0 g (69%回收率經由GPC測得三元共聚物^之Μ* 為 14,950 g/mol,且 D 為 2.2。 33 201035690 實施例1 ο 使用三元共聚物IV製備底部抗反射塗層 在此程序中’使用三元共聚物IV ( CAM,來自上述實 施例9 )、PAG及淬滅劑來製備底部抗反射塗層。將〇·3585 g 來自實施例27之交聯劑、0.6335 g 1.001%淬滅劑(i-Boc-4-赵基B底。定)之PGME溶液、1 _2 1 3 g三元共聚物IV、78.0985 g PGME及9.687 g PGMEA裝入125 ml琥珀色耐爾基瓶 中。在室溫下翻轉混合物33分鐘,接著添加20.9 mg tps-ci。在室溫下翻轉混合物約72小時,且接著經〇丨μηι 終點過濾器過濾。 接著如上文在實施例2中所述測定所得底部抗反射塗 層之薄膜及光學特性,且提供於下表2結果中。 接著,如上文在實施例2中所述以1 95 nm厚度使用抗 餘劑ARX3 001JN進行193 nm微影。SEM照片展示於圖6 中。 實施例11 三元共聚物V合成及其沈澱 〇In this procedure, a terpolymer was synthesized using 12.9 mol% CAM, methyl acrylate, and styrene. 8.59 g (33.1 mmol) Adamantate® M-102 (CAM; Idemitsu Kosan Co., Ltd., Tokyo, Japan), 9·10 g (105.7 mmol) of methacrylic acid, 12.38 g (118.9 mmol) of styrene and 202.70 g of PGME Into a 500 ml three-necked flask equipped with a magnetic stir bar and a thermometer. The mixture was stirred for 2 minutes to make a solution. A condenser connected to a nitrogen outlet adapter and a dropping funnel connected with a nitrogen inlet adapter 32 201035690 were attached to a three-necked flask. Next, 0.65 g (3.96 mmol) of AIBN and 54.91 g of PGME were placed in a 60 ml Nerji bottle. The mixture was inverted at room temperature for 5 hours to obtain a solution. This solution was added to a dropping funnel. The Nergi bottle was rinsed with approximately 12 丨 9 g PGME and the PGME rinse was added to the dropping funnel. The reaction system was blown with nitrogen for 15 minutes and then at 1 〇 3.5. The flask was immersed in an oil bath under the armpit. The reaction mixture was stirred under a nitrogen atmosphere. The AIBN solution was added dropwise to the calcined bottle over about 3 minutes while the temperature of the reaction solution reached 101 · 5 °C. At 97. 〇106. (: Next 'The reaction solution was stirred under nitrogen for 24 hours. Yield: 295.9 g solution (98.5% recovery); 10.19 wt.% polymer solid. The Mw of the terpolymer iv in the solution was determined by GPC to be 14,850 g/ Mol ' and d is 2.6. To precipitate the terpolymer from the solution, 2 liters of hexane was charged into a 4 liter beaker equipped with a cantilever stirrer. 200.0 g of terpolymer IV; In a dropping funnel, the terpolymer solution was added dropwise to the stirred hexane over 17 minutes, and then the mixture was stirred at room temperature for another 7 minutes, and then the solvent was removed by vacuum filtration. 〇ml fresh hexane was added to the flask, followed by stirring for 7.5 minutes, and the solvent was removed by vacuum filtration to repeat the process. For the final rinse, 200 ml of hexane was added to the terpolymer solid, and the mixture was stirred. The solvent was removed by vacuum filtration for 8 minutes. The terpolymer was dried in a 4 (TC vacuum oven), ground to a powder using a mortar and pestle, and then dried in vacuo at 4 CTC to constant weight. Yield: 14.0 g (69% recovery rate measured by GPC The copolymer Μ* is 14,950 g/mol and D is 2.2. 33 201035690 Example 1 ο Preparation of a bottom anti-reflective coating using terpolymer IV In this procedure 'Use terpolymer IV (CAM, from The above Example 9), PAG and quencher were used to prepare the bottom anti-reflective coating. 〇·3585 g of the cross-linking agent from Example 27, 0.6335 g of 1.001% quencher (i-Boc-4-Zhaoji B) The PGME solution, 1 _2 1 3 g terpolymer IV, 78.0985 g PGME and 9.687 g PGMEA were charged into a 125 ml amber Nerki bottle. The mixture was inverted at room temperature for 33 minutes, followed by 20.9. Mg tps-ci. The mixture was inverted at room temperature for about 72 hours and then filtered through a 过滤μηι end filter. The film and optical properties of the resulting bottom anti-reflective coating were then determined as described above in Example 2, and It is provided in the results in Table 2. Next, 193 nm lithography was performed using the anti-reagent ARX3 001JN at a thickness of 1 95 nm as described above in Example 2. The SEM photograph is shown in Figure 6. Example 11 Ternary copolymerization Synthesis of V and its precipitation

在此程序中,使用12.9 mol% MACM、曱基丙烯酸及苯 乙烯合成三元共聚物。將9.09 g( 1 05.6 mmol )甲基丙烯酸、 9.70 g ( 33.2 mmol) Adamantate® M-103 ( MACM ; Idemitsu 34 201035690In this procedure, a terpolymer of 12.9 mol% MACM, methacrylic acid and styrene was used. 9.09 g (1 05.6 mmol) methacrylic acid, 9.70 g (33.2 mmol) Adamantate® M-103 (MACM; Idemitsu 34 201035690

Kosan 有限公司,Tokyo, Japan)、12.365 g ( 118.7 mmol)笨 乙烯及209.93 g PGME裝入裝備有溫度計及磁力攪拌棒之 500 ml三頸燒瓶中。在室溫下攪拌混合物3分鐘。將具有 氮氣入口配接器之滴液漏斗及具有氮氣出口配接器之冷凝 器連接至燒瓶上。Kosan Co., Ltd., Tokyo, Japan), 12.365 g (118.7 mmol) of stupid ethylene and 209.93 g of PGME were placed in a 500 ml three-necked flask equipped with a thermometer and a magnetic stir bar. The mixture was stirred at room temperature for 3 minutes. A dropping funnel with a nitrogen inlet adapter and a condenser with a nitrogen outlet adapter were attached to the flask.

接著,將 0.650 g ( 3.96 mmol) AIBN 及 69.65 g PGME 裝入125 ml耐爾基瓶中。翻轉混合物〇·65小時以製成溶 液,且接著將其裝入滴液漏斗中。在大約室溫下用氮氣吹 洗反應系統0.4小時,且接著在99.5。(:下將燒瓶浸於油浴槽 中。在氮氣下攪拌反應混合物。在經攪拌溶液溫度達到 i〇2°c及氮氣流動下,將AIBN溶液經2 75 反應溶液中。接著在約,啊下,在氮氣環^ 液24小時。產量:308·5 g溶液(99%回收率);10.18 wt % 聚合物固體。經由GPC測得溶液中三元共聚物Μ*為 17,900 g/mo卜且 d 為 2.6。 〇 $使三元共聚物自溶液中沈澱,將92.0 g三元共聚物v 溶液裝入滴液漏斗中,且將奶ml己院震入裝備有懸臂式 挽摔器之1公升燒杯中。將三元共聚物溶液經8.5分鐘逐滴 添加至經料己院中。接著再婦晶合物G.2小時,繼而藉 由士空過濾移除溶劑。藉由將約85ml己院添加至燒杯中且 在:溫下攪拌5分鐘來沖洗三元共聚物。藉由真空過濾移 除溶劑。使用87 ml新鮮己γ 3 / p、w &quot; 複該製程。藉由真二::在下12小時重 多除溶劑。纟鐵真空烘箱中乾 m物’使料μ料將其研磨成粉末,且在机 35 201035690 真空烘箱中乾燥至恆重。產量:7·28 g ( 78%回收率)。經由 GPC測得三元共聚物v之]^為17,900 g/mol,且D為2 2〇 實施例12Next, 0.650 g (3.96 mmol) of AIBN and 69.65 g of PGME were placed in a 125 ml Nerki bottle. The mixture was inverted for 65 hours to prepare a solution, which was then charged into a dropping funnel. The reaction system was purged with nitrogen at about room temperature for 0.4 hours, and then at 99.5. (The flask was immersed in an oil bath. The reaction mixture was stirred under nitrogen. The AIBN solution was passed through 2 75 reaction solution under the flow of the stirred solution to a temperature of 2 ° C and nitrogen flow. , in a nitrogen atmosphere for 24 hours. Yield: 308·5 g solution (99% recovery); 10.18 wt % polymer solids. The terpolymer Μ* in the solution was determined by GPC to be 17,900 g/mo b and d 2.6. 使$ The precipitate was precipitated from the solution, and 92.0 g of the terpolymer v solution was placed in the dropping funnel, and the milk ml was shaken into a 1 liter beaker equipped with a cantilevered whip. The terpolymer solution was added dropwise to the broth in 8.5 minutes, followed by G. 2 hours, and then the solvent was removed by Shikong filtration. By adding about 85 ml of home. The ternary copolymer was rinsed into a beaker and stirred at a temperature of 5 minutes. The solvent was removed by vacuum filtration. The process was repeated using 87 ml of fresh γ γ 3 / p, w &quot; by 真二::下下12 The solvent is removed in an hour. The dry m in the vacuum oven is used to grind the powder into a powder, and 35201035690 vacuum oven dried to constant weight Yield:. 7 · 28 g (78% recovery) as measured by GPC of the terpolymer v] ^ was 17,900 g / mol, and D is 2 2〇 Example 12.

使用三元共聚物V製備底部抗反射塗層 在此程序中’使用三元共聚物V ( MACM,來自實施例 Π )、PAG及淬滅劑製備底部抗反射塗層。將〇·535 g來自 實施例27之交聯劑、丨.812 g三元共聚物v、117131 g p(jME 及29.517 g PGMEA裝入250 ml琥珀色耐爾基瓶中。在室 溫下翻轉混合物2.1小時,接著添加〇·943 g 1〇〇1 wt%淬 滅劑(1 -Boc-4-羥基哌啶)之pGME溶液。在室溫下翻轉混 0物0.5小時’接著添加32 〇 mg Tps ci。在室溫下翻轉產 物約72小時且經由〇·丨μηι終點過濾器過濾。 接者如上文在實施例2中所述測定所得底部抗反射塗 層之薄膜及光學特性,且提供於下表2結果中。 實施例13 二兀共聚物VI之合成及其沈澱Preparation of a bottom anti-reflective coating using terpolymer V In this procedure, a bottom anti-reflective coating was prepared using a terpolymer V (MACM, from Example Π), PAG, and a quencher. 〇·535 g of the crosslinker from Example 27, 丨.812 g terpolymer v, 117131 gp (jME and 29.517 g PGMEA were placed in a 250 ml amber Nel base bottle. The mixture was turned over at room temperature. 2.1 hours, followed by the addition of 〇·943 g 1〇〇1 wt% quencher (1-Boc-4-hydroxypiperidine) to the pGME solution. The mixture was inverted at room temperature for 0.5 hours. Then 32 〇mg Tps was added. Ci. The product was inverted at room temperature for about 72 hours and filtered through a 〇·丨μηι endpoint filter. The film and optical properties of the resulting bottom anti-reflective coating were determined as described above in Example 2 and provided below. In the results of Table 2. Example 13 Synthesis and precipitation of diterpene copolymer VI

瓶中。 12· 9 mol%甲基丙烯酸第三丁酯、甲 成傳統酸不穩定性三元共聚物以供 8 mmol)甲基丙烯酸、135g(94.9 7 g ( 339.6 mmol)苯乙 力攪拌棒、溫度計、具 出口之冷凝器的三頸燒In the bottle. 12·9 mol% butyl methacrylate, a traditional acid unstable terpolymer for 8 mmol) methacrylic acid, 135 g (94.9 7 g (339.6 mmol) styrene gel stir bar, thermometer, Three neck burning with outlet condenser

在單獨容器令, 36 201035690 A麵於168,3 g PGME中之混合物以形成溶液。將a邮 溶液添加至滴液漏斗中。在環境條件下用氮氣吹洗反應系 統15分鐘,且接著在氮氣下,在授拌下,在約如。〔下將 燒瓶浸於油浴槽t。當反應溶液溫度達到縦㈢,自滴液 漏斗以快速滴速添加ΑΙΒΝ料。在減τ,將經㈣混人 物維持於約1(^下24小時。經由GPC測得溶液中三元: 聚物 VI 之 Mw 為 17,900 g/mol,且 d 為 2 5。 -為使三元共聚物自溶液中沈澱,將一部分(約叫 三元共聚物VI溶液添加至裝備有攪拌棒且含有約wo如 己烧之4公升燒瓶中。三元共聚物黏附於燒瓶底部,因此 倒出己烧,且將三元共聚物收集於另一燒槪中。使用剩餘 聚合溶液重複沈澱。使剩餘三元共聚物自溶液中沈殿後, 用己烧沖洗該物質2次。在室溫下在i公升燒杯中使三元 共聚物乾燥1小時,且接著將其置放於5(rc真空烘箱中且 乾燥。經由GPC測得三元共聚物¥1之“财為19,6〇〇g/m〇i, 且D為2.1。 實施例14 使用二元共聚物VI製備底部抗反射塗層 在此程序中,使用來自實施例13之三元共聚物VI、PAG 及淬滅劑製備底部抗反射塗層。將丨_2136 g三元共聚物 VI、0.3588 g來自實施例27之交聯劑、78 72〇 g P(3ME、 19.680 gPGMEA、0.0063 g 淬滅劑(i_B〇c_4_羥基哌啶)及 0.0213 g TPS-C1裝入容器中。在室溫下攪拌混合物以製成 溶液,接著將其經0.1 終點過濾器過據。 37 201035690 接著如上文在實施例2中所述測定所得底部抗反射塗 層之薄膜及光學特性,且提供於下表2結果十。 如先前所述測定三元共聚物III底部抗反射塗層之對比 曲線,且展示於圖2中。 接著’如上文在實施例2中所述進行193 nm微影,且 結果展示於圖3中。 實施例15 三元共聚物VII合成及其沈澱 在此程序中,使用2 1.9 mol% EM、甲基丙浠酸及苯乙 稀合成二元共聚物。將 17.72 g (71.3 mmol) Adam ant ate® EM、15.84 g ( 15 2.1 mmol)苯乙烯、8.80 g ( 102.2 mmol) 甲基丙烯酸及267.66 g PGME裝入裝備有磁力攪拌棒及溫 度計之500 ml三頸燒瓶中。將具有氮氣出口配接器之冷凝 器及具有氮氣入口配接器之滴液漏斗連接至燒瓶上。In a separate container, 36 201035690 A was mixed with 168,3 g PGME to form a solution. Add a solution to the dropping funnel. The reaction system was purged with nitrogen under ambient conditions for 15 minutes and then under nitrogen, under mixing, at about. [The flask was immersed in an oil bath t. When the temperature of the reaction solution reached 縦 (3), the mash was added from the dropping funnel at a rapid drip rate. In the reduction of τ, the (four) mixed character is maintained at about 1 (24 hours). The ternary in the solution is measured by GPC: the Mw of the polymer VI is 17,900 g/mol, and d is 2 5 - for the ternary The copolymer precipitated from the solution, and a portion (referred to as a terpolymer VI solution was added to a 4 liter flask equipped with a stir bar and containing about wo as a hexane. The ternary copolymer adhered to the bottom of the flask, thus pouring out The mixture was burned and the terpolymer was collected in another crucible. The precipitation was repeated using the remaining polymerization solution. After the remaining terpolymer was immersed in the solution, the material was washed twice with hexane. At room temperature in i The terpolymer was dried in a liter beaker for 1 hour, and then placed in a 5 (rc vacuum oven and dried. The ternary copolymer ¥1 was measured by GPC to be 19,6 〇〇g/m. 〇i, and D is 2.1. Example 14 Preparation of a bottom anti-reflective coating using a binary copolymer VI In this procedure, a bottom anti-reflective coating was prepared using the terpolymer VI, PAG and quencher from Example 13. 。_2136 g terpolymer VI, 0.3588 g of crosslinker from Example 27, 78 72 〇g P (3ME 19.680 gPGMEA, 0.0063 g quencher (i_B〇c_4_hydroxypiperidine) and 0.0213 g TPS-C1 were charged to the vessel. The mixture was stirred at room temperature to make a solution which was then passed through a 0.1 endpoint filter. 37 201035690 The film and optical properties of the resulting bottom anti-reflective coating were then determined as described above in Example 2 and provided in the results of Table 2 below. The terpolymer III bottom anti-reflective coating was determined as previously described. The curves are compared and are shown in Figure 2. Next '193 nm lithography was performed as described above in Example 2 and the results are shown in Figure 3. Example 15 Terpolymer VII Synthesis and Precipitation in this Procedure A binary copolymer was synthesized using 2 1.9 mol% EM, methylpropionic acid and styrene. 17.72 g (71.3 mmol) Adam antate® EM, 15.84 g (15 2.1 mmol) styrene, 8.80 g ( 102.2 mmol) methacrylic acid and 267.66 g PGME were placed in a 500 ml three-necked flask equipped with a magnetic stir bar and a thermometer. A condenser with a nitrogen outlet adapter and a dropping funnel with a nitrogen inlet adapter were connected to On the flask.

接著,將 0.837 g( 5.10 mmol ) AIBN 及 89.196 g PGME 裝入1 2 5 ml耐爾基瓶中。在室溫下混合物質直至溶解且接 著將其添加至滴液漏斗中。在105T:下將反應燒瓶浸於油浴 槽中。當反應溶液溫度達到約1 〇 〇 C時,以快速滴速添加 AIBN溶液。將反應混合物保持於該溫度下24小時,且接 著使其冷卻。經由GPC測得溶液中三元共聚物VII之Mw 為 1 3,300 g/mol,且 D 為 2.3。 使一部分(約380 g)三元共聚物VII溶液在1,900 ml 己烷中沈澱。用約50 ml己烷等分試樣沖洗三元共聚物3 次,且接著在40°C真空烘箱中乾燥。產量:16.65 g (約44% 38 201035690 回收率)。經由GPC測得三元共聚物VII之Mw為15,700 g/mol,且 D 為 1.7。 實施例16 使用三元共聚物VII製備底部抗反射塗層 在此程序中,使用三元共聚物VII ( 21.9 mol% EM,來 自實施例1 5 )、PAG及淬滅劑來製備底部抗反射塗層。將 1.2129 g三元共聚物VII、0.360 g來自實施例27之交聯劑、 78.08 g PGME、19.67 g PGMEA、0.639 g 1% 淬滅劑 (l-B〇c-4-羥基哌啶)之 PGME 溶液,及 0.0220 g TPS-Cl 裝入容器中。在室溫下攪拌混合物以製成溶液,且接著將 其經0.1 μπι終點過濾器過濾。 接著如上文在實施例2中所述測定三元共聚物νπ底部 抗反射塗層之薄膜及光學特性》結果展示於表2中。 亦如上文在實施例2中所述測定三元共聚物VII底部抗 反射塗層之對比曲線,且展示於圖2中。 實施例17Next, 0.837 g (5.10 mmol) of AIBN and 89.196 g of PGME were placed in a 1 2 5 ml Nerki bottle. The mixture was allowed to dissolve at room temperature until it was added to the dropping funnel. The reaction flask was immersed in an oil bath at 105T:. When the temperature of the reaction solution reached about 1 〇 〇 C, the AIBN solution was added at a rapid dropping rate. The reaction mixture was maintained at this temperature for 24 hours and then allowed to cool. The Mw of the terpolymer VII in the solution was determined by GPC to be 1, 3,300 g/mol, and D was 2.3. A portion (about 380 g) of the terpolymer VII solution was precipitated in 1,900 ml of hexane. The terpolymer was rinsed 3 times with about 50 ml hexane aliquots and then dried in a 40 ° C vacuum oven. Yield: 16.65 g (approximately 44% 38 201035690 recovery). The Mw of the terpolymer VII was measured by GPC to be 15,700 g/mol, and D was 1.7. Example 16 Preparation of a bottom anti-reflective coating using terpolymer VII In this procedure, a terpolymer VII (21.9 mol% EM from Example 15), PAG and a quencher were used to prepare a bottom anti-reflective coating. Floor. 1.2129 g terpolymer VII, 0.360 g of the crosslinker from Example 27, 78.08 g PGME, 19.67 g PGMEA, 0.639 g 1% quencher (lB〇c-4-hydroxypiperidine) in PGME solution, And 0.0220 g of TPS-Cl was placed in the container. The mixture was stirred at room temperature to make a solution, and then it was filtered through a 0.1 μm end filter. The results of the measurement of the film and optical properties of the terpolymer νπ bottom anti-reflective coating as described above in Example 2 are shown in Table 2. A comparative curve of the terpolymer VII bottom anti-reflective coating was also determined as described above in Example 2 and is shown in Figure 2. Example 17

G 使用三元共聚物VII製備含較少PAG之底部抗反射塗 層 在此程序中’在無PAG或淬滅劑之情況下,使用來自 實施例15之三元共聚物VII製備底部抗反射塗層。將12133 g二元共聚物VII、0.1 83 g來自實施例27之交聯劑、68.679 g PGME及i7_169 g PGMEA裝入125⑹琥珀色耐爾基瓶 中。在室温下翻轉混合物4.1小時且接著經〇.丨μηι終點過 濾器過渡。 39 201035690 以1 500 rpm將所得底部抗反射塗料旋塗至矽晶圓上, 歷時30秒或60秒,且在160°C下烘烤60秒,產生53.3 nm 之薄膜厚度。對於此底部抗反射塗層,使用抗姓劑 ARX3001JN 且在 110°C 下進行 PAB/PEB 60 秒獲得之 193 nm 微影係展示於圖7中。 實施例1-17結果 在實施例1-12及15-17中,為高活化能基團之傳統酸 不穩定性單體,諸如第三丁酯(參見實施例1 3及14 ),係 替換為曱基丙烯酸金剛烷酯單體,後者需要較低活化能。 若酸不穩定性單體僅佔總聚合物之較少百分比,則此較小 改變將產生以下方面之顯著且出人意料之變化:1 )所獲得 之底部抗反射塗層之對比曲線及清除劑量(dose-to-clear, E〇 );及2 )底部抗反射塗層在1 93 nm微影中之效能。 表1.對三元共聚物之描述 三元共 聚物之 身分 酸不穩 定性單 體 酸不穩定單體 之莫耳百分比 (裝入時) AIBN之莫 耳百分比 (裝入時) 所裝入之聚 合溶液之回 收百分比 自己烷中 沈澱之產 率百分比 三元共聚物 分子量 (Mw/D) I IPM 12.9 1.6 99 62 15,150/2.8 (溶液) 16,050/2.1(沈澱物) II EM 12.9 1.5 99 69 15,250/2.5 (溶液) 16,850/2.1(沈澱物) III AM 12.9 1.6 99 75 18,650/2.6 (溶液) 19,150/2.3(沈澱物) IV CAM 12.8 1.5 98 69 14,850/2.6 (溶液) 14,950/2·2(沈澱物) V MACM 12.9 1.5 99 78 17,900/2.6 (溶液) 17,900/2.2(沈澱物) VI 烧酯 12.9 1.5 - 〜68 17,900/2.5 (溶液) 19,600/2.1 (沈澱物) EM 21.9 1.5 - 〜44 13,300/2.3 (溶液) 15,700/1.7(沈澱物) 40 201035690 表2.底部抗反射塗層薄膜及光學特性 底部抗反射 塗層 三元共 聚物 薄膜厚度,run EL剝離 下__ --- 顯影,未曝光區域 (暗損失) 193 nm n/k 實施例2 I 53.0 +0.5% +0.0% 1.69/0.54 實施例3 I 54.0 +0 47% +0.21% 1.69/0.54 實施例5 Π 53.9 +1.3% +0.2% 1 .70/0.54 實施例6 Π 47, 8 +0.96% +0.58% 1.68/0.54 實施例8 卜m 52.8 ^ +0.4% +0.0% 1.68/0.51 實施例10 IV 54.4 +0.4% +0.3% 1.67/0.51 實施例12 V 52.6 +0.8% +18% 1.72/0.48 實施例14 VI 56.4 +0.9% -0.8% 1 68/0.56 實施例16 νπ 53.5 +0.4% -1.5% 1.69/0.49 〇 實施例1 8 使用三元共聚物I及聚合胺添加劑製備含較少pAG之 底部抗反射塗層 在此程序_,使用來自上述實施例1之三元共聚物I (IPM )以及聚合胺添加劑(淬滅劑)製備含較少之底 Q 部抗反射塗層。將〇.536 g來自實施例27之交聯劑、4-乙烯 °比咬與t-Boc-氧基苯乙烯(i:i m〇i)之〇 52 mg共聚物(内 部合成)、116.710 g PGME、29.186 g PGMEA 及 1.817 g 三 元共聚物I裝入250 ml琥珀色耐爾基瓶中。在室溫下翻轉 /¾合物17小時,產生溶液,且接著經〇· 1 終點過渡器過 滤2次。 以1,500 rpm將所得底部抗反射塗料旋塗至矽晶圓上, 歷時60秒,且接著在16(rc下烘烤6〇秒。所得底部抗反射 塗層的光學及薄膜特性以及根據上述實施例3中之程序(無 41 201035690 胺添加劑)製備之含較少PAG之三元共聚物〗底部抗反射 塗層的特性提供於下表3中。 對於193 nm微影,以L50Q rpm將抗反射塗料旋塗至 矽晶圓上,歷時30秒,繼而在!6〇。〇下烘烤6〇秒。所得薄 膜具有約52 nm之厚度。塗覆光阻(arx3001jn,以19〇 nm),繼而在iurc下進行pAB,歷時6〇秒。使用asml 1100-ArF掃描器進行曝光,繼而在i〇6&lt;t下進行pEB,歷時 60秒。曝光條件展示如下。 環狀 0.75 照明模式-NA- 0.85/0.57 目標CD-顯影-aTMAH ' 130 nm L/260 nm P (明視場) OPD262A,歷時 45 秒 ARCH半導體化學物質 如圖8(a)及8(b)中所示,130 nm密集(1:1)及孤立線 展不幾乎無底切。在27 mJ/cm2之曝光劑量下用於密集線及 孤立線兩者之焦距深度(Depth_〇f_f〇cus,d〇f )為約0.3 μηι。間隙得以清除’除了在密集圖案中觀察到一些抗蝕劑 微橋接以外。此底部抗反射塗層展示用於甚至更小之CD的 潛能。 實施例1 9 包括ΝΑΜΑ之金剛烷基聚合物的合成 在此程序中’藉由將第四單體2-萘曱酸-3 -曱基丙烯酸 酷(ΝΑΜΑ)以單體混合物之14 wt.%併入三元共聚物I黏 合劑中來製備新型聚合物溶液。將9.〇9 g ( 1〇5 6 mm〇l)曱 42 201035690 基丙稀酸'10.56 g( 101.4 mmol)苯乙烯、8.72 g( 33.2 mmol ) Adamantate® M-105( IPM; Idemitsu Kosan 有限公司,Tokyo, Japan)、4.56 g ( 17.8 mmol) ΝΑΜΑ 及 221.5 5 g PGME 裝入 裝備有磁力攪拌棒及溫度計之500 ml三頸燒瓶中。將具有 氮氣出口配接器之冷凝器及具有氮氣入口配接器之滴液漏 斗連接至燒瓶上。在室溫下攪拌混合物約4.5分鐘,產生粉 塵狀懸浮液/溶液。G Preparation of a bottom anti-reflective coating containing less PAG using terpolymer VII In this procedure, a bottom anti-reflective coating was prepared using the terpolymer VII from Example 15 without PAG or quencher. Floor. 12133 g of the binary copolymer VII, 0.183 g of the crosslinker from Example 27, 68.679 g of PGME and i7_169 g of PGMEA were charged into a 125 (6) amber Nerki bottle. The mixture was inverted at room temperature for 4.1 hours and then passed through a 〇.丨ηηι end filter transition. 39 201035690 The resulting bottom anti-reflective coating was spin coated onto a crucible wafer at 1 500 rpm for 30 seconds or 60 seconds and baked at 160 ° C for 60 seconds resulting in a film thickness of 53.3 nm. For this bottom anti-reflective coating, the 193 nm lithography obtained using the anti-surrogant ARX3001JN and PAB/PEB 60 seconds at 110 °C is shown in Figure 7. Examples 1-17 Results In Examples 1-12 and 15-17, conventional acid labile monomers, such as the third butyl ester (see Examples 13 and 14), which are high activation energy groups, are replaced. It is an adamantyl methacrylate monomer which requires a lower activation energy. If the acid labile monomer accounts for only a small percentage of the total polymer, this minor change will result in significant and unexpected changes in the following aspects: 1) Comparison curve and scavenging dose of the bottom anti-reflective coating obtained ( Dose-to-clear, E〇); and 2) The effectiveness of the bottom anti-reflective coating in the 193 nm lithography. Table 1. Description of terpolymers Identity of terpolymers Acid instability Monomer percentage of monomeric acid labile monomers (when loaded) Percentage of moles of AIBN (when loaded) Percentage of recovery of polymerization solution Percentage of yield of precipitated in alkane. Molecular weight of terpolymer (Mw/D) I IPM 12.9 1.6 99 62 15,150/2.8 (solution) 16,050/2.1 (precipitate) II EM 12.9 1.5 99 69 15,250/ 2.5 (solution) 16,850/2.1 (precipitate) III AM 12.9 1.6 99 75 18,650/2.6 (solution) 19,150/2.3 (precipitate) IV CAM 12.8 1.5 98 69 14,850/2.6 (solution) 14,950/2·2 (precipitate ) V MACM 12.9 1.5 99 78 17,900/2.6 (solution) 17,900/2.2 (precipitate) VI Burnt ester 12.9 1.5 - ~68 17,900/2.5 (solution) 19,600/2.1 (precipitate) EM 21.9 1.5 - ~44 13,300/2.3 (Solution) 15,700/1.7 (precipitate) 40 201035690 Table 2. Bottom anti-reflective coating film and optical properties Bottom anti-reflective coating terpolymer film thickness, run EL peeling __ --- development, unexposed areas (dark loss) 193 nm n/k Example 2 I 53.0 +0.5% +0.0% 1. 69/0.54 Example 3 I 54.0 +0 47% +0.21% 1.69/0.54 Example 5 Π 53.9 +1.3% +0.2% 1.70/0.54 Example 6 Π 47, 8 +0.96% +0.58% 1.68/0.54 Example 8 卜m 52.8 ^ +0.4% +0.0% 1.68/0.51 Example 10 IV 54.4 +0.4% +0.3% 1.67/0.51 Example 12 V 52.6 +0.8% +18% 1.72/0.48 Example 14 VI 56.4 + 0.9% -0.8% 1 68/0.56 Example 16 νπ 53.5 +0.4% -1.5% 1.69/0.49 〇Example 1 8 Using a terpolymer I and a polymeric amine additive to prepare a bottom anti-reflective coating containing less pAG This procedure, using a terpolymer I (IPM) from the above Example 1 and a polymeric amine additive (quencher) to prepare a lower Q antireflective coating. 〇.536 g of the crosslinker from Example 27, 4-ethylene ratio bite to t-Boc-oxystyrene (i:im〇i) 〇52 mg copolymer (internal synthesis), 116.710 g PGME 29.186 g PGMEA and 1.871 g terpolymer I were placed in a 250 ml amber Nerki bottle. The /3⁄4 compound was inverted at room temperature for 17 hours to give a solution, which was then filtered twice with a 〇·1 end-pointer. The resulting bottom anti-reflective coating was spin coated onto a tantalum wafer at 1,500 rpm for 60 seconds and then baked at 16 (rc for 6 seconds). The optical and film properties of the resulting bottom anti-reflective coating were as described above. The procedure of Example 3 (no 41 201035690 amine additive) prepared with less PAG terpolymer The properties of the bottom anti-reflective coating are provided in Table 3. For 193 nm lithography, the resistance is at L50Q rpm. The reflective coating was spin-coated onto the crucible wafer for 30 seconds, then at 6 〇 and baked for 6 seconds. The resulting film had a thickness of about 52 nm. The coated photoresist (arx3001jn, at 19 〇 nm), The pAB was then carried out under iurc for 6 sec. Exposure was performed using an asml 1100-ArF scanner, followed by pEB at i 〇 6 &lt; t for 60 seconds. The exposure conditions are shown below. Ring 0.75 Illumination Mode - NA- 0.85/0.57 Target CD-Development-aTMAH '130 nm L/260 nm P (bright field) OPD262A, 45 seconds ARCH semiconductor chemistry as shown in Figures 8(a) and 8(b), 130 nm dense ( 1:1) and isolated line exhibitions are not almost undercut. For dense lines and orphans at an exposure dose of 27 mJ/cm2 The focal depth of both lines (Depth_〇f_f〇cus, d〇f ) is about 0.3 μη. The gap is removed 'except for some resist microbridges observed in dense patterns. This bottom anti-reflective coating is used for display. The potential for even smaller CDs. Example 1 9 Synthesis of adamantyl polymer including ruthenium in this procedure 'by the fourth monomer 2-naphthoic acid-3-mercapto acrylate cool (ΝΑΜΑ) A novel polymer solution was prepared by incorporating 14 wt.% of the monomer mixture into the terpolymer I binder. 9.99 g (1〇5 6 mm〇l)曱42 201035690-based acrylic acid '10.56 g (101.4 mmol) styrene, 8.72 g (33.2 mmol) Adamantate® M-105 (IPM; Idemitsu Kosan Co., Ltd., Tokyo, Japan), 4.56 g ( 17.8 mmol) ΝΑΜΑ and 221.5 5 g PGME are equipped with magnetic stirring A 500 ml three-necked flask with a rod and a thermometer. A condenser with a nitrogen outlet adapter and a dropping funnel with a nitrogen inlet adapter were attached to the flask. The mixture was stirred at room temperature for about 4.5 minutes to produce a dusty state. Suspension / solution.

接著製備 0.680 g ( 4.14 mmol) AIBN 於 73.60 g PGME 中之溶液且將其裝入滴液漏斗中。在室溫下用氮氣吹洗反 應混合物約15分鐘,且接著在106°C下將燒瓶浸於油浴槽 中❶當經攪拌反應溶液達到^料亡時,經2分鐘逐滴添加 AIBN溶液。在約96°C-104°C下攪拌反應混合物24小時, 且接著使其冷卻。產量:3 2 1.1 g溶液(9 8 ◦/〇回收率);1 〇. i 9 wt〇/〇聚.合物固體。經由GPC測得溶液中nama_金剛烷基聚 合物之 Mw 為 13,200 g/mol,且 d 為 2.34。Next, a solution of 0.680 g (4.14 mmol) of AIBN in 73.60 g of PGME was prepared and charged into a dropping funnel. The reaction mixture was purged with nitrogen at room temperature for about 15 minutes, and then the flask was immersed in an oil bath at 106 ° C. When the reaction solution was stirred, the AIBN solution was added dropwise over 2 minutes. The reaction mixture was stirred at about 96 ° C to 104 ° C for 24 hours and then allowed to cool. Yield: 3 2 1.1 g solution (9 8 ◦ / 〇 recovery); 1 〇. i 9 wt 〇 / 〇 poly. The Mw of the nama-adamantyl polymer in the solution was determined by GPC to be 13,200 g/mol, and d was 2.34.

為使聚合物自溶液中沈澱,將丨公升己烷裝入裝備有 懸臂式攪拌器及攪拌棒之4公升燒杯中。將1〇〇5g聚合物 溶液裝入滴液漏斗中,且接著將其經9分鐘逐滴添加至經To precipitate the polymer from the solution, the aliquots of hexane were placed in a 4 liter beaker equipped with a cantilever stirrer and a stir bar. 1 〇〇 5 g of the polymer solution was charged into the dropping funnel, and then it was added dropwise to the lapser over 9 minutes.

攪拌己烷中’繼而在室溫下再攪拌1〇分鐘。藉由真空過濾 移除溶劑。將具有聚合物之燒杯於5〇它真空烘箱中置放〇.5 小時’繼而在室溫下乾燥3 *。接著將燒杯再在耽真空 烘箱中置放21,5小時。接著用研绰及研杵將聚合物研磨成 粉末,繼而在50。(:真空烘箱中乾燥至恆重。產量:6 %名 (62%回收率)。㈣GPC測得沈殿聚合物之^里為i3,J 43 201035690 g/mol,且 D 為 2.16。 實施例20 由ΝΑΜΑ-金剛烷基聚合物製備底部抗反射塗層Stir in hexane' and then stir for an additional 1 minute at room temperature. The solvent was removed by vacuum filtration. The beaker with the polymer was placed in a vacuum oven at 5% for 5 hours' and then dried at room temperature for 3*. The beaker was then placed in a vacuum oven for 21, 5 hours. The polymer was then ground into a powder using a mortar and pestle, followed by 50. (: Drying to constant weight in a vacuum oven. Yield: 6% (62% recovery). (iv) GPC measured the concentration of the polymer in the chamber as i3, J 43 201035690 g/mol, and D was 2.16. Example 20 Preparation of bottom anti-reflective coating by ruthenium-adamantyl polymer

以l,50〇rpm將所得底部抗反射塗料旋塗至矽晶圓上, 二時30心,且接著在160 C下烘烤60秒。所得含ΝΑΜΑ 之金剛烷基底部抗反射塗層的薄膜及光學特性以及根據上 述實施例3令之程序製備之含較少pAG之三元共聚物1底 部抗反射塗層的特性提供於下表3中。 接著,使用ASML ΠΟΟ-ArF掃描器進行193 nm微影。 以1,500 rpm將抗反射塗料旋塗至矽晶圓上,歷時3〇秒, 繼而在16(TC下烘烤60秒。所得薄膜具有約4911111之厚度。 塗覆光阻(ARX3001JN,以190 nm),且在ii〇°c下進行ρΑβ 及PEB,歷時60秒。發現對於密集L/s及孤立線兩者,在 l〇6t下進行PEB 60秒會產生較不乾淨之間隙。曝光條件展 照明模式 NA- 目標CD- 顯影- 環狀 0.75 0.85/0.567 130 nm 17260 nm P (明視場) ◦PD262 ’歷時45秒 44 201035690 如圖9(a)及9(b)中之SEM橫截面照片中所示,對於密 集及孤立線兩者’在25 mJ/cm2之曝光劑量下,以約〇.2 μπι DOF獲得精細130 nin L/S ( 1:1及孤立線)。 實施例18-20之薄膜及光學結果 所不之薄膜厚度僅得自用於EL剝離之晶圓。對於暗損 失估算,在搗塗溶劑之前,在1 10下對塗層進行PEB,歷 時60秒。 表3.底部抗反射塗層薄膜及光學特性 底部抗反射塗層 聚合物黏合劑 薄膜厚度 EL剝離,% 暗損失,% 193 nm n/k 實施例3 三元共聚物I 52 nm +0.8 +0.6 1.66/0.54 實施例18 三元共聚物I 52.5 nm +0.8 +0.6 1.65/0.54 實施例20 三元共聚物I+NAMA 49 nm -0.4 +0.8 1.67/0.50 實施例21 含較少PAG之三元共聚物j抗反射塗層及額外1 93 Q 光阻之微影比較 在此程序中,使用2種市售光阻及根據上述實施例3 中之程序製備之含較少PAG之底部抗反射塗層(IMp三元 共聚物I)進行所選微影。料各測試,以i,則—將底 部抗反射塗料旋塗至石夕晶圓上,歷時%秒,繼而在16代 下供烤60秒。薄膜具有55 nm之厚度。接著將市售光阻(來 自丁OK 之 TArF-;Pi6-001,·或來自 Shin_Etsu&lt;SAIL_x i8i) 塗覆至薄臈上。用於各光阻之條件及曝光參數提供如下。 45 201035690 TArF-Pi6-001 SAIL-X-181 PAB 110°C,歷時60秒 l〇5°C,歷時60秒 抗钮劑厚度 130 nm 155 nm 照明模式 習知 偶極35Y NA 0.75 0.75 σ 0.89 0.89:0.65 目標CD 130 nm L/260 nm P (明視場) 80mnL/160nmP (明視場) PEB 114°C,歷時60秒 110°C,歷時60秒 顯影 OPD262 (45 秒) OPD262 (45 秒) 使用ASML 1100-ArF掃描器進行曝光。SEM橫截面展 示於圖10中。對於抗蝕劑TArF-Pi6-001 ( a),隨解析度所 選微影結果係在 0.0 /xm焦距下展示。對於抗蝕劑 SAIL-X-181 ( b),在 1:1 及 1:1.5 L/S 下,在 0·0 μιη 及+0.1 μιη焦距下,解析度為1 3 0 nm。此抗#劑顯示較低之光酸擴 散性/活性。 總而言之,此實施例表明本發明之含較少PAG之三元 共聚物I底部抗反射塗層展現驚人之與多種抗蝕劑之相容 性。 實施例22 含較少PAG之三元共聚物I抗反射塗層之昇華 在此程序中,將根據實施例3之程序製備之含較少PAG 之抗反射塗層在烘烤步驟期間的昇華與2種市售1 93 nm乾 燥底部抗反射塗層之昇華物進行比較。將石英晶體微量天 平(QCM)安裝於連接至玻璃鐘罩上之喷嘴上方。施加真 空以使昇華物上升至供收集用之晶體上,其中基於晶體頻 率變化記錄冷凝之昇華物。不量測不冷凝之溶劑。接著連 46 201035690 接至晶體之電極將資料傳送至電腦,《電腦綠製即時頻率 變化曲線冑此#算成昇華物隨力^熱時間(以秒計)之奈 克數標| 193 nm乾式顯影之底部抗反射塗層對照組為 ARC®29A-8 A ARC®162-304-2( ,¾ ^ | Brewer Science 公司,Rolla’MO)。對於含較少PAG之三元共聚物了底部抗 反射塗層(厚度為49.5 nm),烘烤參數為16(rc,歷時12〇 秒,且對於乾燥底部抗反射塗層(厚度分別為75.3 nm及 39·1 nm),烘烤參數為2〇5t:,歷時12〇秒。將熱板於指定 溫度下維持約1分鐘’之後將剛塗覆之晶圓置放於玻璃鐘 罩下以用於昇華收集。結果及比較展示於圖H U中。含較 少PAG之三元共聚物ϊ底部抗反射塗層之昇華比乾燥底部 抗反射塗層ARC®29A-8少得多,而與乾燥底部抗反射塗層 ARC®162-304-2之昇華量大致相同。 實施例23 矽晶圓上顯影後殘餘物的比較 在此程序中,將根據實施例3製備之含較少pAG之三 元共聚物I纟部抗反射塗層在石夕基板上的顯影I殘餘物之 量與市售可濕式顯影之抗反射塗層的殘餘物進行比較。使 用光阻(ARX3001JN)以及含較少PAG之三元共聚物j底 部抗反射塗層及arc®DS-A520(來自Brewer Science公司 製備經塗覆之晶圓’且使用ACT8Tel塗佈顯影系統(track) 及用於曝光之ASML PAS5S00™/1100掃描器進行加工。2 種抗反射塗層之薄膜厚度皆相同(55 nm)e在1〇fC下對含 較少PAG之底部抗反射塗層進行PEB,歷時6〇秒,而對於 47 201035690 ARC®DS-A520使用1 l(TC之PEB,歷時60秒。光阻薄膜厚 度之範圍在190 nm至200 nm之間,且在11〇。〇下進行pAB, 歷時60秒。用習知照明,使用開放框架式曝光產生曲折劑 量矩陣。在用OPD262顯影45秒後,使用Woollam M2000 橢圓偏光計量測殘留之有機殘餘物。 結果屐示於圖13中,且表明與較早代aRC®DS-A520 相比,含較少PA G之底部抗反射塗層在石夕基板上之顯影後 殘餘物與相始薄膜厚度之間的相關性低得多。對於含較少 PAG之三元共聚物I抗反射塗層,可觀察到展示殘餘物隨薄 膜厚度增加而減少的微小趨勢。可自隨曝光劑量量測觀察 到類似趨勢。基板上形貌、厚度變化結果指示本發明之含 車乂少P A G之底部抗反射塗層的殘餘物問題應比傳統可濕式 顯影之塗層小。處於15 mj/cm2至61 mj/cm2範圍内之輻射 能變化引起ARC®DS-A520之顯影後殘餘物的差異。然而, 使用劑ARX300 1JN及含較少PAG之底部抗反射塗層, 在15 mJ/cm2至61 mJ/cm2曝光劑量範圍内存在極小顯影後 殘餘物變化。 實施例24 比較氮化矽基板上之顯影後殘餘物 在此程序中,使用2種可濕式顯影之抗反射塗層來比 較氮化矽沈積之基板上殘餘物的量。將根據實施例3製備 之含4父少PAG之三元共聚物!底部抗反射塗料及 ARC®DS-A520 (來自Brewer Science公司)旋塗至相同氮 化矽基板上,且接著在i 6〇。(3下烘烤6〇秒,對於各組成物, 48 201035690 得到55 nm之薄膜厚度,接著將光阻(ARx3〇〇ijn)旋重 至2個經塗覆晶圓上,在U(rc下進行pAB 6〇秒後,產生 190nm抗蝕劑薄膜。用Nik〇nNSR_S3〇7E工具進行開放框 架式ArF輻射,繼而在1〇6艺下對本發明之含較少之 底部抗反射塗層進行PEB,歷時6〇秒,而在114它下對 ARC®DS-A520 進行 PEB,歷時 6〇 秒。接著用 nmd_3 ( 2·38% TMAH ; Ohka America 公司,MiIpiUs,ca )使經曝光堆疊顯 影60秒。圖14中以殘餘物厚度相對於曝光劑量形式纷出2 種底部抗反射塗層之殘餘物資料之曲線圖。在各資料點量 測時或接近各資料點量測時量測氮化物厚度。含較少PAG 之二兀共聚物I底部抗反射塗層之殘餘物隨劑量顯著減少 2至漸近線達到接近18 mJ/cm2為止,且接著殘餘物量隨劑 量保持穩定。因此,對於14 mJ/cm2至25 mJ/cm2之A&quot;暴 路劑里,與傳統可濕式顯影抗反射塗層相比,含較少PAG 之二兀共聚物I底部抗反射塗層預期將在氮化石夕基板上產 〇生較少殘餘物。亦觀察到尤其在清除劑量(E〇)下,本發明 之含較少PAG之底部抗反射塗層產生最少殘餘物。 實施例25 用a較y PAG之二元共聚物〗底部抗反射塗層之其他 微影 在此程序中,研究在使用光阻ARX3001JN ( 190 nm厚 度)下根據實施例3製備之含較少pAG之金剛烧基底部抗 反射塗層之解析度效能。使用ASML n〇〇_ArF掃描器進行 曝光,在1UTC下進行PAB,歷時6〇秒且在各種咖溫 49 201035690 110°c、114°c )下進行PEB,各歷時60 度(102°C、106t ' ll〇t 秒。曝光條件展示如下。 習知 0.75 0.89 照明模式 NA- 目標CD- 顯影- 130 nm L/260 nm P (明視場) OPD262,歷時45秒The resulting bottom anti-reflective coating was spin coated onto a tantalum wafer at 1,50 rpm for 2:30 cores and then baked at 160 C for 60 seconds. The film and optical properties of the resulting ruthenium-containing adamantyl bottom anti-reflective coating and the properties of the bottom anti-reflective coating of the terpolymer containing less pAG prepared according to the procedure of Example 3 above are provided in Table 3 below. in. Next, 193 nm lithography was performed using an ASML ΠΟΟ-ArF scanner. The antireflective coating was spin coated onto the tantalum wafer at 1,500 rpm for 3 seconds, followed by baking at 16 (TC for 60 seconds. The resulting film had a thickness of about 4911111. Coating photoresist (ARX3001JN, with 190 Nm), and ρΑβ and PEB were performed at ii〇°c for 60 seconds. It was found that for dense L/s and isolated lines, PEB for 60 seconds at l〇6t would result in a less clean gap. Display illumination mode NA- target CD-developing - ring 0.75 0.85/0.567 130 nm 17260 nm P (bright field) ◦PD262 'duration 45 seconds 44 201035690 SEM cross section as shown in Figures 9(a) and 9(b) As shown in the photograph, for both dense and isolated lines', at a exposure dose of 25 mJ/cm2, a fine 130 nin L/S (1:1 and isolated line) was obtained at about 2.2 μπι DOF. Example 18- Film and optical results of 20 film thicknesses were only obtained from wafers used for EL stripping. For dark loss estimation, the coating was PEB at 10 10 for 60 seconds before the solvent was applied. Table 3. Bottom Anti-reflective coating film and optical properties bottom anti-reflective coating polymer adhesive film thickness EL peeling, % dark loss, % 19 3 nm n/k Example 3 Terpolymer I 52 nm +0.8 +0.6 1.66/0.54 Example 18 Terpolymer I 52.5 nm +0.8 +0.6 1.65/0.54 Example 20 Terpolymer I+NAMA 49 Nm -0.4 +0.8 1.67/0.50 Example 21 Terpolymer with less PAG j anti-reflective coating and additional 193 Q photoresist lithography comparison In this procedure, two commercially available photoresists are used and The bottom anti-reflective coating (IMp terpolymer I) containing less PAG prepared by the procedure in Example 3 above was subjected to the selected lithography. Each test was performed, i, then the bottom anti-reflective coating was spin-coated to On the Shixi wafer, it lasted for 1 second, and then baked for 60 seconds in the 16th generation. The film has a thickness of 55 nm. Then there will be a commercially available photoresist (TArF-; Pi6-001 from Ding OK, or from Shin_Etsu) SAIL_x i8i) is applied to the thin crucible. The conditions and exposure parameters for each photoresist are as follows: 45 201035690 TArF-Pi6-001 SAIL-X-181 PAB 110°C, lasts 60 seconds l〇5°C, duration 60 seconds anti-button agent thickness 130 nm 155 nm illumination mode custom dipole 35Y NA 0.75 0.75 σ 0.89 0.89:0.65 target CD 130 nm L/260 nm P (bright field) 80 mnL/160nmP (bright field) PEB 114°C for 60 seconds 110°C for 60 seconds Development OPD262 (45 seconds) OPD262 (45 seconds) Exposure using the ASML 1100-ArF scanner. The SEM cross section is shown in Figure 10. For the resist TArF-Pi6-001 (a), the lithographic results with resolution were shown at 0.0 / xm focal length. For resist SAIL-X-181 (b), at 1:1 and 1:1.5 L/S, at a focal length of 0·0 μηη and +0.1 μιη, the resolution is 130 nm. This anti-agent showed a lower photoacid diffusion/activity. In summary, this example demonstrates that the lower PAG-containing terpolymer I bottom anti-reflective coating of the present invention exhibits surprising compatibility with a variety of resists. Example 22 Sublimation of Terpolymer I Antireflective Coating Containing Less PAG In this procedure, the sublimation of the antireflective coating containing less PAG prepared according to the procedure of Example 3 during the baking step was Two commercially available sublimate materials of a 93 nm dry bottom anti-reflective coating were compared. A quartz crystal microbalance (QCM) was mounted over the nozzle attached to the glass bell jar. A vacuum is applied to raise the sublimate to the crystal for collection, wherein the condensed sublimate is recorded based on the change in crystal frequency. Do not measure the solvent that does not condense. Then connect 46 201035690 to the electrode of the crystal to transfer the data to the computer. "The real-time frequency curve of the computer green system" is calculated as the sublimation of the sublimate object. The hot time (in seconds) of the Nike number mark | 193 nm dry development The bottom anti-reflective coating control group was ARC® 29A-8 A ARC® 162-304-2 ( , 3⁄4 ^ | Brewer Science, Rolla'MO). For a terpolymer containing less PAG, a bottom anti-reflective coating (thickness 49.5 nm) with a baking parameter of 16 (rc for 12 sec seconds and for a dry bottom anti-reflective coating (thickness 75.3 nm, respectively) And 39·1 nm), the baking parameter is 2〇5t:, lasting 12 〇 seconds. The hot plate is maintained at the specified temperature for about 1 minute', then the newly coated wafer is placed under the glass bell jar for use. The results are summarized in Figure HU. The sublimation of the terpolymer with less PAG ϊ bottom anti-reflective coating is much less than the dry bottom anti-reflective coating ARC®29A-8, and the dry bottom The amount of sublimation of the anti-reflective coating ARC® 162-304-2 was approximately the same. Example 23 Comparison of Residues After Development on a Wafer Wafer In this procedure, a ternary copolymer containing less pAG prepared according to Example 3 was used. The amount of development I residue on the shi shi anti-reflective coating is compared to the residue of a commercially available wet-developable anti-reflective coating. The use of photoresist (ARX3001JN) and less PAG Terpolymer j bottom anti-reflective coating and arc® DS-A520 (from Brewer Science) The wafer is covered and processed using the ACT8Tel coating development system and the ASML PAS5S00TM/1100 scanner for exposure. The film thickness of both anti-reflective coatings is the same (55 nm) e at 1〇fC The bottom anti-reflective coating with less PAG is PEB for 6 sec seconds, while for 47 201035690 ARC®DS-A520, 1 l (PEB for TC lasts 60 seconds. The thickness of the photoresist film is 190 nm). Between 200 nm and at 11 〇. pAB was performed for 60 seconds. Using a conventional illumination, a tortuous dose matrix was generated using open frame exposure. After 45 seconds of development with OPD262, Woollam M2000 ellipsometry was used. The residual organic residue was measured. The results are shown in Figure 13 and show the post-development residue of the bottom anti-reflective coating with less PA G on the Shih-Chan substrate compared to the earlier generation aRC® DS-A520. The correlation between the thickness of the film and the film thickness is much lower. For the terpolymer I anti-reflective coating containing less PAG, a slight tendency to show the decrease of the residue as the film thickness increases is observed. Similar trends were observed in dose measurements. Topography on the substrate, The degree of change indicates that the residue of the bottom anti-reflective coating containing ruthenium-free PAG of the present invention should be smaller than that of the conventional wet-developable coating. The radiant energy variation in the range of 15 mj/cm2 to 61 mj/cm2 Causes the difference in residue after development of ARC®DS-A520. However, the ARX300 1JN and the bottom anti-reflective coating with less PAG have a minimal development after exposure range of 15 mJ/cm2 to 61 mJ/cm2. The residue changes. Example 24 Comparison of Post-Development Residues on a Tantalum Nitride Substrate In this procedure, two wet developable anti-reflective coatings were used to compare the amount of residue on a substrate deposited by tantalum nitride. A terpolymer containing 4 parent less PAGs prepared according to Example 3! The bottom anti-reflective coating and ARC® DS-A520 (from Brewer Science) were spin coated onto the same tantalum nitride substrate and then at i 6 〇. (3 bakes for 6 sec., for each composition, 48 201035690 to obtain a film thickness of 55 nm, then spin the photoresist (ARx3 〇〇 ijn) to 2 coated wafers under U (rc) After pAB 6 sec., a 190 nm resist film was produced. Open frame ArF radiation was performed using a Nik〇n NSR_S3 〇 7E tool, and then the PEB containing the lower bottom anti-reflective coating of the present invention was subjected to 1 〇 6 art. The ARC® DS-A520 was PEB for a period of 6 seconds at 114. The exposure stack was then developed for 60 seconds with nmd_3 (2.38% TMAH; Ohka America, MiIpiUs, ca). Figure 14 is a graph showing the residue data of the two kinds of bottom anti-reflective coatings in terms of the thickness of the residue relative to the exposure dose. The thickness of the nitride is measured at or near the measurement of each data point. The residue of the bottom anti-reflective coating of the diterpene copolymer I containing less PAG was significantly reduced with dose 2 to an asymptote of approximately 18 mJ/cm 2 , and then the amount of residue remained stable with the dose. Therefore, for 14 mJ/cm 2 Up to 25 mJ/cm2 of A&quot; typhoon agent, with traditional wet development resistance Compared to the shot coating, the bottom anti-reflective coating of the diterpene copolymer I containing less PAG is expected to produce less residue on the nitride substrate. It is also observed, especially at the scavenging dose (E〇), The bottom anti-reflective coating of the present invention containing less PAG produces the least residue. Example 25 Using a binary copolymer of a compared to y PAG, other lithography of the bottom anti-reflective coating In this procedure, the study uses light. Resistivity performance of an anthracite base anti-reflective coating containing less pAG prepared according to Example 3 under ARX3001JN (190 nm thickness). Exposure using an ASML n〇〇_ArF scanner, PAB at 1 UTC, PEB was carried out for 6 〇 seconds and at various coffee temperatures 49 201035690 110 ° c, 114 ° c ), each lasting 60 degrees (102 ° C, 106 t ' ll 〇 t seconds. The exposure conditions are shown below. Conventional 0.75 0.89 lighting mode NA- target CD-developing - 130 nm L/260 nm P (bright field) OPD262, lasts 45 seconds

在25 mJ/cm2之SEM橫截面識別最佳曝光劑量及〇.〇 μιη焦距下’製備SEM橫截面照片。在l〇6°C之PEB下,110 nm至160 nm之解析度的照片展示於圖i5(a)中。在I% nm、140 nm、150 nm及160 nm解析度下達成可接受之L/S (1 · 1、1:3及孤立線)。在120 nm解析度下,密集(1:1) l/S 為可接受的,但孤立線丟失。在開口區域中觀察到最少殘 餘物。 在130 nm解析度下,使用KLA 8100XP之CD-SEM所 引起之疏密偏差(iso/densebias)為約10nm,其處於i:3L/S 及孤立線之目標CD之1 〇%範圍内。在所示之所有SEM照 片中,在120 nm至160 nm之解析度下,線在其底部皆展 現底切或狹縮(pinch)。對於i〇2°C及106°CPEB,DOF為 〇_30 μηι,而ll〇°CPEB產生0.40 μηι之稍高DOF。由於底切 隨ΡΕΒ增加而增加,所以U4°CPEB產生0.10 μιη DOF。圖 15(b)含有隨PEB測試之微影結果。此等資料表明随peb增 加’底部抗反射塗層底切變得愈加嚴重,指示;j:目當多酸擴 散至未曝光區域中。此抗反射塗層及光阻組合視作具有約 50 201035690 8°C PEB窗。如圖i5(a)-(b)中之輪廓所示,此光阻產生一致 之進入底部抗反射塗層之酸擴散。 實施例26 用含較少PAG之三元共聚物I底部抗反射塗層之其他 微影 在此程序中,研究在以230 nm厚度使用光阻ARX3 340 J (JSR Micro )下根據實施例3製備之含較少pag之三元共 聚物I底部抗反射塗層之解析度效能。使用ASML 1250-ArF 掃描器進行曝光,在ll〇°C下進行pAB,歷時秒且在各 種 PEB 溫度(106。〇、110t、114。〇及 U8(t)下進行 pEB, 各歷如60秒。曝光條件展示如下。 照明模式- 習知 NA- 0.85 σ- 0.5 目標CD- 150 nm S/375 nm ρ (暗視場) 顯影- OPD262 ’歷時45秒 所得SEM橫截面照片展示於圖16中。對於所有 低ΡΕΒ溫度,在使用 21 mJ/cm2、20 mJ/cm2 及 20 (分別)之曝光劑量下 ’此等圖案之DOF為約0.25 對於最高ΡΕΒ溫度, 在20 mj/cm2之曝光劑量下, 〇 --------------------窗。抗蝕劑線頂部 於出現凹痕’且在線底部出現—些輕微底切'然士 似乎比在用光阻ARX3G()UN時少得多。如圖I5(b)中所 即使隨PEB溫度增加,在與用光阻arx3〇〇ijn時之^ 51 201035690 比,抗反射塗層之底切較一致。總而言之,光阻arx334〇j 展示與此底部抗反射塗層之良好相容性,提供足以使薄膜 解交聯且脫除其保護基之酸同時保持限制底切進人未曝光 區域内。因此,雖然PEB窗類似於光阻arx3〇〇un,但底 部抗反射塗層輪廓特性得以改良。 實施例27 乙烯醚交聯劑調配物The SEM cross-section photograph was prepared by identifying the optimum exposure dose at 25 mJ/cm2 SEM cross section and 〇.〇ιη focal length. A photograph of the resolution from 110 nm to 160 nm at PEB at 10 °C is shown in Figure i5(a). Acceptable L/S (1 · 1, 1:3, and isolated lines) at I% nm, 140 nm, 150 nm, and 160 nm resolution. At 120 nm resolution, dense (1:1) l/S is acceptable, but isolated lines are lost. The least residue was observed in the open area. At 130 nm resolution, the CD/SEM using KLA 8100XP caused an iso/dense bias of about 10 nm, which is within 1% of the target CD of i:3L/S and isolated lines. In all of the SEM photographs shown, at 120 nm to 160 nm resolution, the line exhibits undercut or pinch at its bottom. For i〇2°C and 106°CPEB, DOF is 〇_30 μηι, while ll〇°CPEB produces a slightly higher DOF of 0.40 μη. Since the undercut increases with increasing enthalpy, the U4°CPEB produces a 0.10 μηη DOF. Figure 15(b) contains the lithography results as a function of the PEB test. These data indicate that as the peb increases, the bottom anti-reflective coating undercut becomes more severe, indicating; j: the polyacid is diffused into the unexposed areas. This combination of anti-reflective coating and photoresist is considered to have a PEB window of approximately 50 201035690 8 °C. As shown by the outline in Figures i5(a)-(b), this photoresist produces a consistent acid diffusion into the bottom anti-reflective coating. Example 26 Other lithography of a terpolymer anti-reflective coating containing a less PAG terpolymer. In this procedure, the study was prepared according to Example 3 using a photoresist ARX3 340 J (JSR Micro) at a thickness of 230 nm. The resolution of the bottom anti-reflective coating of the terpolymer I containing less pag. Exposure was performed using an ASML 1250-ArF scanner, pAB was performed at ll 〇 ° C, and pEB was performed at various PEB temperatures (106 〇, 110 t, 114 〇 and U8 (t) for 60 seconds each. The exposure conditions are shown below. Illumination Mode - Conventional NA-0.85 σ-0.5 Target CD-150 nm S/375 nm ρ (Dark Field of View) Development - OPD262 'The resulting SEM cross-section photograph taken over 45 seconds is shown in Figure 16. For all low enthalpy temperatures, the DOF of these patterns is about 0.25 for exposure doses of 21 mJ/cm2, 20 mJ/cm2 and 20 (respectively). For the highest enthalpy temperature, at an exposure dose of 20 mj/cm2, 〇-------------------- Window. The top of the resist line appears in the dent 'and the bottom of the line - some slight undercuts' There is much less resistance to ARX3G() UN. As shown in Fig. I5(b), even with the increase of PEB temperature, the undercut of the anti-reflective coating is more consistent than that of ^ 51 201035690 when using photoresist arx3〇〇ijn. In summary, the photoresist arx334〇j exhibits good compatibility with the bottom anti-reflective coating, providing an acid sufficient to decrosslink the film and remove its protective groups. At the same time, the undercut is kept in the unexposed area. Therefore, although the PEB window is similar to the photoresist arx3〇〇un, the bottom anti-reflective coating profile characteristics are improved. Example 27 Vinyl ether crosslinker formulation

在此耘序中,藉由將25.15g 丁二醇單乙烯醚(Aldrich,In this order, by using 25.15 g of butanediol monovinyl ether (Aldrich,

St Louis, MO)、22.91 g 三乙胺(Aldrich, St L〇uis, mo)及 250 ml四氫呋喃(THF ; Aldrich,以l⑽is,)添加至$㈧ ml 2頸燒瓶中來製備實施例2、3 ' 5、6、8、1〇、12、14、 1 6 1 8及20中所用之内部乙烯醚交聯劑(苯三甲酸參[4-(乙 烯氧基)丁基]酯)。該燒瓶裝備有攪拌棒、加料漏斗、冷凝 為及氮氣入口及出口。將燒瓶浸於冰水浴槽中且在氮氣流 下攪拌溶液。 接著’將20.00 g ι,3,5-苯三羰基三氣(Aldrich,st L〇U1S,M〇)溶解於 250 ml 錐形瓶(Erlenmeyer flask)中之 52 201035690 50 ml THF中。將此溶液轉 M , 轉移至500 ml 2頸燒瓶上之加料漏 斗中且逐滴添加至攪採 a 之丁—醇早乙烯醚/三乙胺/THF溶 液t,歷時約15分鐘,直 、 且主兀成添加。接觸時形成白色沈 搬物。接著自冰浴槽銘+ 、 ^^移出燒瓶且使漿料在燒瓶中達到室 溫’耗費約16小時。接荽眩將止.丨上&amp; 换者將漿枓加熱至回流,歷時4小時。 自熱移除燒瓶且使其冷卻至室溫。接著使用抽吸過濾配置 過滤漿料,且使用旋轉式蒸發器濃縮,得到黏性黃色液體。Preparation of Examples 2 and 3 by St Louis, MO), 22.91 g of triethylamine (Aldrich, St L〇uis, mo) and 250 ml of tetrahydrofuran (THF; Aldrich, 1 (10) is) were added to a $(8) ml 2-neck flask. Internal vinyl ether crosslinker (benzenetricarboxylic acid gin[4-(vinyloxy)butyl] ester) used in '5, 6, 8, 1, 1 , 12, 14, 1 6 1 8 and 20. The flask was equipped with a stir bar, an addition funnel, a condensate and a nitrogen inlet and outlet. The flask was immersed in an ice water bath and the solution was stirred under a nitrogen stream. Next, 20.00 g of ι,3,5-benzenetricarbonyltrioxane (Aldrich, st L〇U1S, M〇) was dissolved in 52 2010 35690 50 ml THF in a 250 ml Erlenmeyer flask. The solution was transferred to M, transferred to an addition funnel on a 500 ml 2-necked flask and added dropwise to a stirred a-alcohol early vinyl ether/triethylamine/THF solution t for about 15 minutes, straight, and The main body is added. A white sinking is formed upon contact. The flask was then removed from the ice bath +, ^^ and the slurry was allowed to reach room temperature in the flask for about 16 hours. The glare will stop. The upper and lower will heat the pulp to reflux for 4 hours. The flask was removed from the heat and allowed to cool to room temperature. The slurry was then filtered using a suction filtration configuration and concentrated using a rotary evaporator to give a viscous yellow liquid.

將此液體溶解於100ml乙醚(Aldrich,st L〇uis,M〇) 中且用25〇1份之12,5%頂心水溶液(八1(1咖11,以乂〇仏, MO )洗滌2次。使用分液漏斗萃取乙醚層且接著用5〇 w 份之去離子水洗滌2次。讓乙醚層沈降且收集。藉由將乙 醚層與5.0 g活性鹼性氧化鋁混合來使乙醚層脫水。攪拌混 合物1小時且重力過濾。在旋轉蒸發器中濃縮透明黃色液 體’得到黃色黏性油狀物。總產量為約29.28 g (產率: 77%) 〇 實施例28 其他乙烯醚交聯劑調配物This liquid was dissolved in 100 ml of diethyl ether (Aldrich, st L〇uis, M〇) and washed with 25 〇 1 part of 12,5% aqueous solution of apex (8 1 (1 coffee, 11 乂〇仏, MO) 2 The ether layer was extracted with a separatory funnel and then washed twice with 5 〇w portions of deionized water. The ether layer was allowed to settle and collected. The ether layer was dehydrated by mixing the ether layer with 5.0 g of activated basic alumina. The mixture was stirred for 1 hour and gravity filtered. Concentrated clear yellow liquid in a rotary evaporator gave a yellow viscous oil. The total yield was about 29.28 g (yield: 77%). Example 28 Other vinyl ether crosslinker Formulation

在此實施例中,描述另一交聯劑之製備。可藉由將24 7〇 g 2-(乙烯氧基)乙醇、27.44 g三乙胺及3 00 ml THF添加至 53 201035690 2k瓶中來製備該交聯劑。可將溶液浸於冰水浴槽 中且在氮氣流下攪拌。 y ,著可將24·01 g l3,5·苯三羰基三氯溶解於250 ml ,隹形瓶中之10〇mlTHF中。接著將此溶液逐滴添加至2_(乙 烯氧基)乙醇/三乙胺/THF溶液中直至完成添加。接著可使 雇料達到室溫且接著加熱至回流,歷時約4小時。可使漿 料冷卻至室溫且接著佶 者使用抽吸過濾配置過濾。接著使用旋 轉式蒸發器濃縮溶液,得到黏性黃色液體。 接著,將液體溶解於100 乙喊中且肖50 ml份之 ™AH水溶液洗務2次。接著可萃取乙蜮層且使用50 ml ^之去離子水m次。接著經無水麵鎂使乙㈣脫水。 表終’可在壓力下移除溶劑。 圖 比例) 圖式簡單說明】 1為描繪由本發明纽成物 的示意圖; 及方法形成之結構 (不按 圖2(a)展示在不存在光阻 下,對於工作實施例之實施例 種底部抗反射塗層的對比曲線 圖2(b)展示在不存在光阻 下,對於工作實施例之實施例 種底部抗反射塗層的對比曲、線In this embodiment, the preparation of another crosslinker is described. The crosslinker can be prepared by adding 24 7 g of 2-(vinyloxy)ethanol, 27.44 g of triethylamine, and 300 ml of THF to a 53 2010 35690 2k bottle. The solution can be immersed in an ice water bath and stirred under a stream of nitrogen. y, 24·01 g l3,5·benzenetricarbonyltrichloro can be dissolved in 250 ml of 10 〇 ml of THF in a flask. This solution was then added dropwise to the 2-(Ethyloxy)ethanol/triethylamine/THF solution until the addition was completed. The material can then be allowed to reach room temperature and then heated to reflux for about 4 hours. The slurry can be allowed to cool to room temperature and then filtered using a suction filtration configuration. The solution was then concentrated using a rotary evaporator to give a viscous yellow liquid. Next, the liquid was dissolved in 100 liters and washed in 50 ml portions of TMAH aqueous solution twice. The acetazone layer can then be extracted and 50 ml ^ deionized water used for m times. The B (tetra) is then dehydrated by anhydrous magnesium. At the end of the table, the solvent can be removed under pressure. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a schematic diagram depicting a novel article formed by the present invention; and a structure formed by the method (not shown in Fig. 2(a) showing the bottom anti-embossing of the working example embodiment in the absence of photoresist Comparative Curve of Reflective Coating Figure 2(b) shows the contrast curve and line of the bottom anti-reflective coating of the working example of the working example in the absence of photoresist.

T曝光且使用110。(:之PEB 2、5、8 ' 14及16製備之各 圖; Τ曝光且使用120。(:之ΡΕΒ 、5、8、14及16製備之各 圖; 下 圖2 (c )展示在覆蓋光阻存在 對於工作實施例之實施例2 T曝光且使用110。(:之PEB 、5、§、U及ΐό製備之各 54 201035690 種底部抗反射塗層的對比曲線圖; 圖3為來自在實施例2、 使用各種底部抗反射塗層進行 微鏡(SEM)橫截面照片; &gt;、8及14中以所示曝光時間 之193 nm微影的掃描電子顯 進行之193 nm微影的 間下’ 38 nm底部抗反 54 nm-55 nm底部抗反 圖4描緣來自在實施例3中所 SEM橫截面照片:a )在各種曝光時 射塗層’及b )在各種曝光時間下, 射塗層;T is exposed and 110 is used. (: PEB 2, 5, 8 '14 and 16 prepared drawings; Τ Exposure and use 120. (:: ΡΕΒ, 5, 8, 14 and 16 prepared drawings; Figure 2 (c) below shows coverage The photoresist is present for Example 2 T exposure of the working example and uses 110. (: PEB, 5, §, U, and ΐό prepared for each of the 54 201035690 bottom anti-reflective coatings; Figure 3 is from Example 2: Micromirror (SEM) cross-sectional photographs using various bottom anti-reflective coatings; &gt;, 8 and 14 of 193 nm lithography with 193 nm lithography of the exposure time shown The lower '38 nm bottom anti-reverse 54 nm-55 nm bottom anti-reflection 4 trace from the SEM cross-section photograph in Example 3: a) shot coating 'and b' at various exposure times, at various exposure times, Shot coating

圖5展示來自以各種曝光時間使用實施例6中製備之 底部抗反射塗層進行2193nm微影的SEM橫截面照片; 圖6描繪來自以各種曝光時間使用實施例1〇中製備之 底部抗反射塗層進行之i 93 nm微影的SEM橫截面照片; 圖7展不來自以各種曝光時間使用實施例π中製備之 底部抗反射塗層進行之i 93 nm微影的SEM橫截面照片; 圖8⑷描I會來自以各種曝光時間使用實施例i 8中製備 之底部抗反射塗層進行之193 nm微影的密集及孤立線之 SEM橫截面照片; 圖8(b)描緣圖8(a)之密集及孤立線之最佳SEM橫戴面 之放大視圖; 圖9(a)展不來自以各種曝光時間使用實施例20中製備 之底部抗反射塗層進行之193 ηιη微影的密集及孤立線之 SEM橫戴面照片; 圖9(b)描緣圖9(a)之密集及孤立線之最佳SEM橫戴面 之放大視圖; 55 201035690Figure 5 shows SEM cross-sectional photographs from 2193 nm lithography using the bottom anti-reflective coating prepared in Example 6 at various exposure times; Figure 6 depicts the use of a bottom anti-reflective coating prepared in Example 1 using various exposure times. SEM cross-sectional photograph of the i 93 nm lithography performed by the layer; Figure 7 shows no SEM cross-sectional photograph of the i 93 nm lithography using the bottom anti-reflective coating prepared in Example π at various exposure times; Figure 8(4) I will be from a SEM cross-sectional photograph of dense and isolated lines of 193 nm lithography using the bottom anti-reflective coating prepared in Example i 8 at various exposure times; Figure 8(b) characterization of Figure 8(a) Magnified view of the best SEM cross-face of dense and isolated lines; Figure 9(a) does not come from the dense and isolated 193 ηιη lithography using the bottom anti-reflective coating prepared in Example 20 at various exposure times SEM cross-face photo of the line; Figure 9(b) is an enlarged view of the best SEM cross-section of the dense and isolated lines of Figure 9(a); 55 201035690

圖1 〇展示來自使用實施例21中製備之底部抗反射塗 層及2種不同市售光阻:a) TarF-Pi6-001 (來自ΤΟΚ);及 b)SAIL-X-181(來自 Shin-Etsu)進行之 193 nm 微影的 SEM 橫截面照片; 圖11為比較實施例22令製備之底部抗反射塗層與2 種市售1 93 nm乾燥底部抗反射塗層隨時間之昇華物的曲線 圖, 圖12為在實施例22中對於各抗反射塗層所收集之總 昇華物之直方圖; 圖13展不2個比較在不同曝光劑量下來自實施例23 之本發明底部抗反射塗層在矽晶圓上之顯影後殘餘物與市 售可濕式顯影抗反射塗層之殘餘物的曲線圖; 圖14為比較來自實施例24之本發明底部抗反射塗層 在II化矽上之顯影後殘餘物與市售可濕式顯影抗反射塗層 之殘餘物的曲線圖; 圖15(a)展tf來自實施例25中在1〇6〇c之pEB下用於 110 nm 至 160 nm 解析;f 夕!_ 復之193 nm微影的密集、半密集及 孤立線之SEM橫截面照片; 圖15(b)展示來自實祐w 1 貝她例25中在不同PEB溫度下進;j 之1 93 nm 4政影的SEM橫載面照片·,及 圖16展示來自實施例% 士 .士 】26中在不同peb溫度下使用; 發明抗反射塗料組成物 片。 進行之193 nm微影的SEM橫截面照 56 201035690 【主要組件符號說明】Figure 1 shows the bottom anti-reflective coating prepared using Example 21 and two different commercially available photoresists: a) TarF-Pi6-001 (from ΤΟΚ); and b) SAIL-X-181 (from Shin- Etsu) SEM cross-section photograph of 193 nm lithography; Figure 11 is a plot of the bottom anti-reflective coating prepared in Comparative Example 22 and two commercially available 1 93 nm dry bottom anti-reflective coatings over time. Figure 12 is a histogram of the total sublimate collected for each anti-reflective coating in Example 22; Figure 13 shows a comparison of the bottom anti-reflective coating of the present invention from Example 23 at different exposure doses. A graph of the post-development residue on the tantalum wafer and the residue of a commercially available wet developable anti-reflective coating; FIG. 14 is a comparison of the bottom anti-reflective coating of the present invention from Example 24 on the tantalum A graph of the residue after development and the residue of a commercially available wet developable anti-reflective coating; Figure 15 (a) shows tf from Example 25 in pEB at 1〇6〇c for 110 nm to 160 nm Analysis; f eve! _ SEM cross-section photograph of dense, semi-dense and isolated lines of 193 nm lithography; Figure 15(b) shows from the real b 1 shell in her case 25 at different PEB temperatures; j of 1 93 nm 4 Photograph of the SEM cross-section of the political image, and Figure 16 shows the use of the anti-reflective coating composition sheet from the example of the %. SEM cross-section of 193 nm lithography 56 201035690 [Main component symbol description]

Claims (1)

201035690 七、申請專利範圍: 種形成微電子結構的方法,該方法包含: (a)提供具有表面之基板; 八)在β亥表面上形成抗反射層,該抗反射層係由包 解於或刀散於溶劑系統中之聚合物的抗反射組成物 ^ 聚13物包含具有金剛烷基之循環單體單元;及 )將光阻塗覆至該抗反射層上以形成成像層。 2·如申請專利範圍第1項之方法,其中該等金剛烷基具 有酸不穩定性。 申明專利範圍第1項之方法,該抗反射組成物進一 步包含乙烯醚交聯劑。 …4.如申請專利範圍第1項之方法,該方法進一步包含使 該抗反射層在該形成(b )後交聯。 申明專利範圍第4項之方法,其中該交聯產生實質 上不可溶於光阻溶劑中之抗反射層。 士申吻專利範圍第4項之方法,其中該等金剛烷基不 參與該交聯。 7.如申請專利範圍第4項之方法,該方法進一步包含: (d ) 4吏》亥成像層纟該抗反射層冑露於帛#以產生該 成像層及該抗反射層之經曝光部分。 8·如申請專利範圍第7項之方法,該方法進一步包含: ()使及成像層及该抗反射層與顯影劑接觸以自該基 板表面移除該等經曝光部分。 申明專利範圍第7項之方法,其中該曝露使得該抗 58 201035690 反射層解交聯。 ιο·如申請專利範圍第9 甘由兮站 $ &lt;方法,其中该解交聯之拮 反射層包含自由金剛烷基。 11. 如申請專利範圍第7 、之方法,該抗反射層在驗性 顯影劑中具有初始溶解度,装击+ β 月牛度其中在該曝露(d)後,該抗反 射層之該經曝光部分在鹼枓翻^ + — 仕酶性顯影劑中具有最終溶解度,該 最終溶解度大於該初始溶解度。 12. 如申請專利範圍第7項之太、土 ^ _ 〇 , 峭之方法,其中該抗反射組成 物實質上不含酸產生劑,且I 其中该成像層在該曝露(d)期 間產生使該抗反射層之該等經曝光部分解交聯之酸。, .13.如中請專利範圍第1項之方法,該聚合物係藉由使 選自由丙烯酸金剛烷酯及甲其 土丙稀酸金剛烧g旨組成之群的 第一化合物與選自由苯乙橋备 本乙烯糸化合物、丙烯酸系化合物、 甲基丙稀酸糸化合物、乙株备几人k 乙烯糸化合物、乙烯醚、其衍生物 及其組合組成之群的第二化合物聚合而形成。 〇 14.一種微電子結構,其包含: 具有表面之基板; 與:基板表面相鄰之固化抗反射層,該抗反射層係 由包含溶解於或分散於溶㈣統中之聚合物的抗反射組 成物形成,該聚合物包含具有金剛烧基之循環單體單 元;及 與該抗反射層相鄰之光阻層。 —15.如巾請專利範圍第14項之結構,該抗反射組成物進 —步包含乙烯醚交聯劑。 59 201035690 16.如申請專利範圍第14項之結構,該抗反射組成物實 質上不含酸產生劑。 17·如申請專利範圍第16項之結構,該抗反射層可濕式 顯影。 18·如申請專利範圍第14項之結構,該等金剛烷基具有 酸不穩定性。 19·如申請專利範圍第14項之結構,該基板係選自由以 石下組,之群:矽' SiGe、⑽、·、鋁鎢、矽化鎢、 砷化鎵、鍺、钽、氮化钽、珊瑚、黑鑽石、摻磷或摻硼玻 子植入層、氮化鈦、氧化铪、氮氧化矽及上述各者 之混合物。 〇'種抗反射組成物,其包含溶解於或分散於溶劑系 、,t交聯聚合物及交聯劑,該聚合物包含具有金剛烷 土之循%單體單元及具有酸基之循環單體單元。 21 如申請專利範圍第2 0項之組成物,該等金剛烷基具 有酸不穩定性。 2.如申請專利範圍第20項之組成物,其中該等具有酸 基之彳盾環單體單元係選自由以下組成之群:201035690 VII. Patent application scope: A method for forming a microelectronic structure, the method comprising: (a) providing a substrate having a surface; VIII) forming an anti-reflection layer on the surface of the β-ray, the anti-reflection layer being coated or The antireflective composition of the polymer dispersed in the solvent system comprises: a recycled monomer unit having an adamantyl group; and) a photoresist is applied to the antireflective layer to form an image forming layer. 2. The method of claim 1, wherein the adamantyl group is acid labile. A method of claim 1, wherein the antireflective composition further comprises a vinyl ether crosslinker. 4. The method of claim 1, wherein the method further comprises crosslinking the antireflective layer after the forming (b). The method of claim 4, wherein the crosslinking produces an antireflective layer that is substantially insoluble in the photoresist. The method of claim 4, wherein the adamantyl group does not participate in the crosslinking. 7. The method of claim 4, the method further comprising: (d) 4"" imaging layer, the anti-reflective layer is exposed to 帛# to produce the imaged layer and the exposed portion of the anti-reflective layer . 8. The method of claim 7, wherein the method further comprises: () contacting the imaging layer and the anti-reflective layer with a developer to remove the exposed portions from the surface of the substrate. The method of claim 7, wherein the exposure causes the anti-58 201035690 reflective layer to be decrosslinked. Ιο· 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 11. The method of claim 7, wherein the antireflection layer has an initial solubility in an inspective developer, and the exposure is + beta month horn, wherein the exposure of the antireflection layer after the exposure (d) Partly has a final solubility in the alkali hydrazine developer, the final solubility being greater than the initial solubility. 12. The method of claim 7, wherein the antireflective composition is substantially free of an acid generator, and wherein the imaging layer is produced during the exposure (d) The exposed portions of the antireflective layer de-crosslink the acid. The method of claim 1, wherein the polymer is selected from the group consisting of a first compound selected from the group consisting of adamantyl acrylate and carbitol acetonate. The second compound of the group consisting of the ethylene sulfonium compound, the acrylic compound, the methacrylic acid hydrazine compound, the hexamethyl quinone compound, the vinyl ether, the derivative thereof, and the combination thereof is formed by polymerization. 〇 14. A microelectronic structure comprising: a substrate having a surface; a cured anti-reflective layer adjacent to a surface of the substrate, the anti-reflective layer being anti-reflective comprising a polymer dissolved or dispersed in the system A composition is formed, the polymer comprising a cyclic monomer unit having an adamantyl group; and a photoresist layer adjacent to the antireflection layer. - 15. The structure of claim 14, wherein the antireflective composition further comprises a vinyl ether crosslinker. 59 201035690 16. The structure of the anti-reflective composition of claim 14 is substantially free of an acid generator. 17. The antireflection layer can be wet developed as in the structure of claim 16 of the patent application. 18. The adamantyl group is acid labile as claimed in claim 14. 19. According to the structure of claim 14, the substrate is selected from the group consisting of 石's SiGe, (10), ·, aluminum tungsten, tungsten telluride, gallium arsenide, germanium, antimony, tantalum nitride. , coral, black diamond, phosphorus-doped or boron-doped glass implant layer, titanium nitride, cerium oxide, cerium oxynitride, and mixtures of the foregoing. An anti-reflective composition comprising dissolved or dispersed in a solvent system, a t-crosslinked polymer, and a crosslinking agent, the polymer comprising a monomer unit having an adamantane and a cyclic group having an acid group Body unit. 21 Such as the composition of claim 20, the adamantyl group has acid instability. 2. The composition of claim 20, wherein the sulfonate ring monomer units having an acid group are selected from the group consisting of: 其中: 各Ri係選自由-Η、分支及未分支烷基、及環狀基團 60 201035690 組成之群;且 各R2係個別地選自由 Λ T ^-OH -L-OH'-C〇〇H'L-COOH 及-l_c(cf3)2oh組成之群, f具中L可為任何適合連接基 團。 23.如申請專利範圍第 aI A ^ , 別項之組成物,其中該等具有金 剛烧基之循環單體單元係選自由以下組成之群: / R1'(II) ❹Wherein: each Ri is selected from the group consisting of -Η, branched and unbranched alkyl, and cyclic group 60 201035690; and each R 2 is individually selected from Λ T ^-OH -L-OH'-C〇〇 A group consisting of H'L-COOH and -l_c(cf3)2oh, and f with medium L may be any suitable linking group. 23. The composition of the invention, wherein the cyclic monomer unit having a diamond base is selected from the group consisting of: / R1 '(II) ❹ OR3 其中: 各R1係選自由-Η 組成之群;且 各R3係個別地選 分支及未分支烷基、及環狀基團 ❹OR3 wherein: each R1 is selected from the group consisting of -Η; and each R3 is individually selected as a branched and unbranched alkyl group, and a cyclic group. and 其中各R4係個別地選自由分支及未分支烷基及氱基 院基組成之群。 •如申清專利範圍第20項之組成物,其中該聚合物包 3以下楯環單體: 61 201035690Each of the R4 groups is individually selected from the group consisting of branched and unbranched alkyl groups and sulfhydryl groups. • The composition of claim 20 of the patent scope, wherein the polymer package has 3 ring-ring monomers: 61 201035690 其中: 分支及未分支烷基、及環狀基團 各R1係選自由、 組成之群; 各R2係個別地選白山 其中L可為任何適合連接基 9 由-〇H、-L-OH、-COOH、-L-COOH 及-l-c(cf3)2〇h組成之群, 團;且 以下組成之群:Wherein: the branched and unbranched alkyl groups, and each of the cyclic groups R1 are selected from the group consisting of: and each R2 is individually selected from the white mountain, wherein L can be any suitable linking group 9 from -〇H, -L-OH, a group consisting of -COOH, -L-COOH and -lc(cf3)2〇h, group; and the following group: 各R3係個別地選自由 R4Each R3 line is individually selected from R4 其中各R4係個別地選自由分支及未分支烷基及氰基 貌基組成之群。 25 ·如申睛專利範圍第2〇項之組成物,該組成物進一步 包含染料。 26. 如申凊專利範圍第25項之組成物,其中該染料鍵結 至δ亥聚合物。 27. 如申§青專利範圍第20項之組成物,其中該交聯劑為 62Each of the R4 groups is individually selected from the group consisting of branched and unbranched alkyl groups and cyanomorphic groups. 25. The composition of claim 2, wherein the composition further comprises a dye. 26. The composition of claim 25, wherein the dye is bonded to the alpha polymer. 27. The composition of claim 20, wherein the cross-linking agent is 62
TW099104952A 2009-02-19 2010-02-22 Acid-sensitive, developer-soluble bottom anti-reflective coatings TWI524151B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15390909P 2009-02-19 2009-02-19
US12/708,630 US8383318B2 (en) 2009-02-19 2010-02-19 Acid-sensitive, developer-soluble bottom anti-reflective coatings

Publications (2)

Publication Number Publication Date
TW201035690A true TW201035690A (en) 2010-10-01
TWI524151B TWI524151B (en) 2016-03-01

Family

ID=42630241

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099104952A TWI524151B (en) 2009-02-19 2010-02-22 Acid-sensitive, developer-soluble bottom anti-reflective coatings

Country Status (8)

Country Link
US (1) US8383318B2 (en)
EP (1) EP2399169B1 (en)
JP (1) JP5840954B2 (en)
KR (1) KR101668505B1 (en)
CN (1) CN102395925B (en)
SG (1) SG173730A1 (en)
TW (1) TWI524151B (en)
WO (1) WO2010096615A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI748986B (en) * 2016-02-15 2021-12-11 日商Jsr股份有限公司 Composition for forming resist underlayer film, method for manufacturing resist underlayer film and patterned substrate

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2805347B1 (en) 2012-01-19 2018-03-07 Brewer Science, Inc. Nonpolymeric antireflection compositions containing adamantyl groups
US9261786B2 (en) 2012-04-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of photolithography
JP6449145B2 (en) 2012-04-23 2019-01-09 ブルーワー サイエンス アイ エヌ シー. Photosensitive, developer-soluble bottom antireflection film material
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9159559B2 (en) * 2013-03-11 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography layer with quenchers to prevent pattern collapse
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9378974B2 (en) 2013-11-08 2016-06-28 Tokyo Electron Limited Method for chemical polishing and planarization
JP6758575B2 (en) 2014-02-24 2020-09-23 東京エレクトロン株式会社 Photosensitive Chemical Amplification Resist Methods and Techniques Using Chemicals and Processes
JP6895600B2 (en) * 2014-02-25 2021-06-30 東京エレクトロン株式会社 Chemical Amplification Methods and Techniques for Developable Bottom Anti-Reflective Coatings and Colored Implant Resists
JP6509496B2 (en) * 2014-04-08 2019-05-08 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ Composition for lower layer film formation
US9754791B2 (en) * 2015-02-07 2017-09-05 Applied Materials, Inc. Selective deposition utilizing masks and directional plasma treatment
KR102374049B1 (en) * 2015-06-02 2022-03-14 삼성전자주식회사 Methods of forming patterns using photoresist
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
TWI662360B (en) 2016-05-13 2019-06-11 東京威力科創股份有限公司 Critical dimension control by use of a photo agent
TWI657314B (en) 2016-05-13 2019-04-21 東京威力科創股份有限公司 Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
US11768435B2 (en) * 2018-11-02 2023-09-26 Brewer Science, Inc. Bottom-up conformal coating and photopatterning on PAG-immobilized surfaces
KR20200114438A (en) 2019-03-28 2020-10-07 삼성전자주식회사 Polymer and composition for forming anti-reflective film, and method of manufacturing integrated circuit device using anti-reflective film
US11782345B2 (en) 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials
DE102019134535B4 (en) 2019-08-05 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. MATERIALS FOR LOWER ANTI-REFLECTIVE PLATING
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0053621B1 (en) 1980-06-11 1984-07-25 Battelle Memorial Institute Unsaturated esters of adamantane containing diols and thermo-resistant cross-linked polymers therefrom
US6054254A (en) 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JPH1172925A (en) * 1997-07-03 1999-03-16 Toshiba Corp Undercoat layer composition and pattern forming method using the same
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
JP4344212B2 (en) 2003-10-16 2009-10-14 丸善石油化学株式会社 Copolymer, production method of copolymer and novel thiol compound
JP2005234168A (en) * 2004-02-19 2005-09-02 Daicel Chem Ind Ltd Resin composition for forming antireflection film, method for forming antireflection film and method for manufacturing semiconductor
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
JP2006089412A (en) 2004-09-24 2006-04-06 Idemitsu Kosan Co Ltd Adamantane derivative, method for producing the same and photosensitive material for photoresist
JP4575214B2 (en) * 2005-04-04 2010-11-04 信越化学工業株式会社 Resist underlayer film material and pattern forming method
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US20070105040A1 (en) * 2005-11-10 2007-05-10 Toukhy Medhat A Developable undercoating composition for thick photoresist layers
US7919222B2 (en) * 2006-01-29 2011-04-05 Rohm And Haas Electronics Materials Llc Coating compositions for use with an overcoated photoresist
JP5112733B2 (en) * 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Coating composition for photolithography
US8455178B2 (en) * 2006-09-26 2013-06-04 Rohm And Haas Electronic Materials Llp Coating compositions for photolithography
EP2095189B1 (en) * 2006-12-13 2013-07-10 Nissan Chemical Industries, Ltd. Composition for forming resist foundation film containing low molecular weight dissolution accelerator
KR101612285B1 (en) * 2007-02-28 2016-04-14 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 Novel polymers and photoresist compositions
JP5106911B2 (en) * 2007-04-13 2012-12-26 株式会社ダイセル Polymer and antireflection film-forming composition using the same
US20090098490A1 (en) * 2007-10-16 2009-04-16 Victor Pham Radiation-Sensitive, Wet Developable Bottom Antireflective Coating Compositions and Their Applications in Semiconductor Manufacturing
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
US9638999B2 (en) 2008-02-22 2017-05-02 Brewer Science Inc. Dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography
JP2010113035A (en) * 2008-11-04 2010-05-20 Daicel Chem Ind Ltd Polymer for underlayer film, composition for underlayer film, and method for manufacturing semiconductor
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI748986B (en) * 2016-02-15 2021-12-11 日商Jsr股份有限公司 Composition for forming resist underlayer film, method for manufacturing resist underlayer film and patterned substrate
US11320739B2 (en) 2016-02-15 2022-05-03 Jsr Corporation Composition for resist underlayer film formation, resist underlayer film and method for producing patterned substrate

Also Published As

Publication number Publication date
WO2010096615A3 (en) 2010-12-09
US8383318B2 (en) 2013-02-26
KR101668505B1 (en) 2016-10-28
CN102395925B (en) 2015-06-03
EP2399169A4 (en) 2012-10-10
WO2010096615A2 (en) 2010-08-26
JP2012518812A (en) 2012-08-16
EP2399169B1 (en) 2019-04-17
SG173730A1 (en) 2011-09-29
CN102395925A (en) 2012-03-28
EP2399169A2 (en) 2011-12-28
US20100213580A1 (en) 2010-08-26
KR20110137316A (en) 2011-12-22
JP5840954B2 (en) 2016-01-06
TWI524151B (en) 2016-03-01

Similar Documents

Publication Publication Date Title
TW201035690A (en) Acid-sensitive, developer-soluble bottom anti-reflective coatings
CN102066509B (en) Aqueous composition for coating over photoresist pattern
JP5046236B2 (en) Immersion topcoat material with improved performance
TWI345138B (en) Coating compositions for use with an overcoated photoresist
TWI387612B (en) Anti-reflective coatings using vinyl ether crosslinkers
TWI317854B (en) Antireflective hardmask composition and methods for using same
JP5357186B2 (en) On-track process for hard mask pattern formation by multiple dark field exposure
TWI453792B (en) Anti-reflective imaging layer for multiple patterning process
US7544750B2 (en) Top antireflective coating composition with low refractive index at 193nm radiation wavelength
TW200819919A (en) Antireflective coating compositions comprising siloxane polymer
TWI305211B (en) Top anti-reflective coating polymer, its preparation method and top anti-reflective coating composition comprising the same
JP4918162B2 (en) Double layer photosensitive and developer-soluble bottom antireflective coating for 193nm lithography
JP2010520516A (en) Antireflection film using vinyl ether crosslinking agent
JP5739408B2 (en) Self-forming top anti-reflective coating composition, photoresist mixture containing the same, and method of imaging using the same
JP4299670B2 (en) Negative deep UV photoresist
TW201137525A (en) Antireflective compositions and methods of using same
TW201616224A (en) Negative-tone resist compositions and multifunctional polymers therein
TWI814915B (en) Resist base film forming composition and resist pattern forming method using the composition
JP2023138989A (en) Photoresist pattern trimming compositions and pattern formation methods
JP2008003592A (en) FLUORINATED HALF ESTER OF MALEIC ANHYDRIDE POLYMER FOR APPLICATION OF TOP SURFACE ANTIREFLECTION DRY COATING FOR LIGHT OF 193 nm WAVELENGTH
TW200809413A (en) Antireflective hardmask composition
KR20080057927A (en) High etch resistant hardmask composition having antireflective property, method of manufacturing of patterning materials and semiconductor ic device produced by the method