TW200811916A - Cluster tool for advanced front-end processing - Google Patents

Cluster tool for advanced front-end processing Download PDF

Info

Publication number
TW200811916A
TW200811916A TW096124192A TW96124192A TW200811916A TW 200811916 A TW200811916 A TW 200811916A TW 096124192 A TW096124192 A TW 096124192A TW 96124192 A TW96124192 A TW 96124192A TW 200811916 A TW200811916 A TW 200811916A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
processing
support
radiation
Prior art date
Application number
TW096124192A
Other languages
Chinese (zh)
Inventor
Randhir Thakur
Arkadii Samoilov
Per-Ove Hansson
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/460,864 external-priority patent/US20070134821A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200811916A publication Critical patent/TW200811916A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Aspects of the invention generally provide an apparatus and method for processing substrates using a multi-chamber processing system that is adapted to process substrates and analyze the results of the processes performed on the substrate. In one aspect of the invention, one or more analysis steps and/or precleaning steps are utilized to reduce the effect of queue time on device yield. In one aspect of the invention, a system controller and the one or more analysis chambers are utilized to monitor and control a process chamber recipe and/or a process sequence to reduce substrate scrap due to defects in the formed device and device performance variability issues. Embodiments of the present invention also generally provide methods and a system for repeatably and reliably forming semiconductor devices used in a variety of applications.

Description

200811916 九、發明說明: 【發明所屬之技術領域】 本發明之實施例大體來說係有關於一種配置用來執行 製程程序之整合製程系統,其包含基材處理模組、基材製 備腔室及/或製程確認及分析腔室兩者。 【先前技術】200811916 IX. Description of the Invention: [Technical Field] The present invention generally relates to an integrated process system configured to execute a process program, comprising a substrate processing module, a substrate preparation chamber, and / or process validation and analysis chambers. [Prior Art]

形成半導體元件之製程通常是在多腔室製程系統,例 如一群集設備(cluster tool)内完成,其擁有在受控制的製 程環境下處理基材(例如,半導體晶圓)的能力。典型的受 控制製程環境包含一系統,該系統具有一主架構用以容納 一基材傳輸機械手臂(substrate transfer robot),該機械手 臂在與該主架構連接的負載鎖定室和多個真空處理腔室之 間傳送基材。受控制之製程環境有許多益處,包含能使傳 輸期間和完成多種基材製程步驟期間基材表面之污染減至 最少。因此在受控制環境下執行製程會減少所產生之缺陷 數量並改善元件良率。 通常由兩個相關且重要的因素來評量基材生產製程之. 效率’即元件良率和持有成本(c 〇 〇)。這些因素是重要的, 因為其直接影響製造電子元件的成本,從而影響元件製造 商在市場上的競爭力。雖然會受許多因素影響,但C〇〇主 要受到元件製程程序期間所形成元件的良率,以及基材產 率,或簡單地說每小時所處理之基材數量所影響。製程程 序通常定義為在群集設備中一或多個處理腔室内完成的元 件製造步驟或製程配方步驟的程序。一製程程序通常含有 5 200811916 許多基材(或晶圓)生產製程步驟。 業界持續朝向縮小半導體元件尺寸以改善元件處理 度並降低元件發熱情形的方向推進,導致業界所能接受 製程變異幅度減小。肇因於半導體元件曰益縮小的尺寸 及對於元件效能要求始終不斷增加,對於元件生產製程 致性和再現性可容忍的變異量大幅縮減。影響元件效能 異〖生和再現性的其一因素是「等候時間time)」。 候時間通常定義為已在基材上完成第一製程之後,並且 在該基材上完成第二製程以避免某些不利因素影響所製 之元件效能之前,基材可暴露在環境或其他污染物下之 間。右基材暴露在環境或其他污染源下的時間接近或超 可容許的等待時間,元件效能可能會因為該第一和第二 ;I面間的污染物而受影響。因此,對於包含將基材暴露 ^境或其他污染源中的製程程序而言,必須控制基材暴 在k些污染源下的時間或將時間最小化,以避免元件效 變化。因此’有用的電子元件生產製程必須呈現一致且 再現夂製程結果、使污染的影響降至最小,益且也符合 /產率要求’方能考慮用在基材製程程序中。 半導體7〇件製造商花費許多時間嘗試降低因為不當 基材、元件缺陷或所形成基材效能不穩定造成基材報 引發的Co〇問題。通常,不當地處理基材、元件有缺 及/或件效能不穩定是由於一製程程 或多個處 至中發生製程偏差、系統或處理腔室内有污染物、或 或基材中之膜層的初始條件不同所造成。用來確保製 速 的 以 變 等 須 造 時 過 層 在 露 能 可 預 處 廢 陷 理 基 程 6 200811916The process of forming a semiconductor component is typically accomplished in a multi-chamber process system, such as a cluster tool, which has the ability to process substrates (e.g., semiconductor wafers) under a controlled process environment. A typical controlled process environment includes a system having a main structure for housing a substrate transfer robot in a load lock chamber and a plurality of vacuum processing chambers coupled to the main structure The substrate is transferred between the chambers. The controlled process environment has a number of benefits, including minimizing contamination of the substrate surface during transport and during various substrate processing steps. Therefore, performing a process in a controlled environment reduces the number of defects generated and improves component yield. The substrate production process is usually evaluated by two related and important factors. Efficiency' is the component yield and cost of ownership (c 〇 〇). These factors are important because they directly affect the cost of manufacturing electronic components and thus the component manufacturers' competitiveness in the market. Although affected by many factors, C〇〇 is primarily affected by the yield of the components formed during the component process, as well as the substrate yield, or simply the number of substrates processed per hour. A process program is typically defined as a program of component fabrication steps or recipe recipe steps that are completed within one or more processing chambers in a cluster device. A process program typically contains 5 200811916 many substrate (or wafer) manufacturing process steps. The industry continues to move toward shrinking the size of semiconductor components to improve component processing and reduce component heating, leading to a reduction in process variation in the industry.尺寸 Due to the shrinking size of semiconductor components and the ever-increasing requirements for component performance, the amount of variation that can be tolerated for component manufacturing process reproducibility and reproducibility is greatly reduced. A factor affecting component performance is one of the factors of "waiting time". The waiting time is generally defined as the substrate may be exposed to the environment or other contaminants after the first process has been completed on the substrate and the second process is completed on the substrate to avoid certain adverse effects affecting the performance of the fabricated device. Between the next. The time that the right substrate is exposed to the environment or other sources of contamination is close to or exceeds the allowable waiting time, and component performance may be affected by contaminants between the first and second; I faces. Therefore, for process procedures involving exposure of the substrate to other sources of contamination, it is necessary to control the time at which the substrate is exposed to some of the sources of contamination or to minimize the time to avoid component variations. Therefore, the useful electronic component manufacturing process must be consistent and reproduce the process results, minimize the effects of contamination, and also meet the /productivity requirements for consideration in substrate processing procedures. Semiconductor 7-piece manufacturers spend a lot of time trying to reduce the Co〇 problem caused by improper substrate, component defects, or unstable substrate properties. Often, improper handling of substrates, lack of components, and/or unstable component performance are due to process variations in one process or multiple processes, contamination in the system or processing chamber, or film layers in the substrate. The initial conditions are different. The layer used to ensure the speed of the production is required to pass through the layer. The foundation of the energy can be pre-empted. 6 200811916

結果落在預期製程範圍内的習知方法通常運用一種或多種 離線分析技術(off-line analysis techniques)。離線測試和 分析技術需要週期性或時常地將一或多個基材從製程程序 和製程環境中移出’然後送至測試環境内。因此,生產流 程實際上在基材的傳送和檢視期間中斷了。結果,習知的 測量檢視方法會大幅增加製造晶片的額外耗費時間 (overhead time),此外,由於會對於產率造成負面衝擊, 此種檢視方法僅是週期性採樣,許多受到污染的基材會在 未經檢視下進亍處理而製造出缺陷元件。如果因為^ 一特定 批次的基材被重新分配出去而難以追溯其污染源,會讓問 題變得更加複雜❶因此,需要一種整合的測量和製程檢視 系統,其能夠檢查所選擇的基材重要元件特性,包括薄膜 應力、薄膜成份、微粒、製程缺陷等,然後在作業中調整 製程條件以修正問題’從而避免發生在緊接其後進行處理 的基材上。較佳地’此種檢視可在基材製程之前、期間或 之後執行,因此可即時地決定基材的預處理和後處理條件。 因此,目前需要一種能夠處理基材而使基材符合所要 求之元件效能目標,並增加系統產率,從而降低製程程序 持有成本的系統、方法及設備。 【發明内容】 本發明大體而言提供一種基材處理設備,該設備包含 一或多個側壁、一第一支持腔室以及一基材處理腔室,其 中該等侧壁形成一傳輸區域,且該傳輸區域中設置有一機 械手臂配置;該第一支持腔室配置在該傳輸區域内並適於 7Conventional methods that fall within the expected range of processes typically employ one or more off-line analysis techniques. Off-line testing and analysis techniques require periodic or frequent removal of one or more substrates from the process and process environment and then sent to the test environment. Therefore, the production process is actually interrupted during the transfer and inspection of the substrate. As a result, conventional measurement viewing methods can greatly increase the overhead time for manufacturing wafers. In addition, because of the negative impact on yield, such inspection methods are only periodic sampling, and many contaminated substrates will Defective components are manufactured without further inspection. If it is difficult to trace the source of contamination because a particular batch of substrate is redistributed, the problem becomes more complicated. Therefore, an integrated measurement and process inspection system is needed that can examine important components of the selected substrate. Characteristics, including film stress, film composition, particulates, process defects, etc., are then adjusted during processing to correct the problem' to avoid occurring on the substrate that is subsequently processed. Preferably, such inspection can be performed before, during or after the substrate processing, so that the pre- and post-treatment conditions of the substrate can be determined on the fly. Accordingly, there is a need for a system, method, and apparatus that can process a substrate to meet the desired component performance goals and increase system yield, thereby reducing process cost of ownership. SUMMARY OF THE INVENTION The present invention generally provides a substrate processing apparatus including one or more side walls, a first support chamber, and a substrate processing chamber, wherein the side walls form a transfer region, and A mechanical arm configuration is disposed in the transmission area; the first support chamber is disposed in the transmission area and is adapted to be 7

200811916 測量該基材表面的特性,以及該基材處理腔室能與該 區域連通。 本發明的數個實施例更提供一種基材處理設備, 備包含一或多個側壁、一或多個基材處理腔室、一支 室、一基材處理腔室以及一預清潔腔室·,其中該一或 側壁形成一傳輸區域’該傳輸區域中設置有一機械手 該一或多個基材處理腔室可與該傳輸區域連通;該支 室與該機械手臂交流,並且該支持腔室適用以測量該 之一區域的特性;該基材處理腔室與該傳輸區域連通 且該預清潔腔室係用以在該基材處理腔室内執行一製 驟之前,先準備一基材的表面。 本發明實施例更提供一種在一群集設備内形成半 元件之方法,該方法包含利用一元件形成製程在一基 理腔室内得一基材表面上形成一元件特徵,將一基材 一支持腔室内並測量該基材表面上一區域的特性,比 測得之特性和儲存在一系統控制器内的數值,以及基 測抑之特性和儲存在該系統控制器内的數值之比較於 形成製程期間調整一製程參數。 本發明實施例更提供一種在一群集設備内形成半 元件之方法,該方法包含利用一元件形成製程在一基 理腔室内的一基材表面上形成一元件特徵,利用配置 群集設備一傳輸區域内的機械手臂將一基材置於該傳 域内’測量位在該傳輸區域内的基材表面之特性,比 測得之特性和儲存在一系統控制器内的數值,以及基 傳輸 該設 持腔 多個 臂; 持腔 基材 ,並 程步 導體 材處 置於 較所 於所 元件 導體 材處 在該 輪區 較所 於所 8 200811916 、、J知特丨生和儲存在該系統控制器内的數值之比較而於元 件形成製程期間調整一製程參數。 【實施方式】200811916 measures the characteristics of the surface of the substrate and the substrate processing chamber is in communication with the region. Several embodiments of the present invention further provide a substrate processing apparatus including one or more side walls, one or more substrate processing chambers, a chamber, a substrate processing chamber, and a pre-cleaning chamber. Wherein the one or sidewall forms a transport region, wherein the transport region is provided with a robot, the one or more substrate processing chambers are communicable with the transport region; the branch communicates with the robot arm, and the support chamber Suitable for measuring characteristics of one of the regions; the substrate processing chamber is in communication with the transfer region and the pre-cleaning chamber is configured to prepare a surface of the substrate prior to performing a process in the substrate processing chamber . Embodiments of the present invention further provide a method for forming a half element in a cluster device, the method comprising: forming a component feature on a surface of a substrate in a substrate chamber by using a component forming process, and supporting a substrate to a cavity Indoor and measuring the characteristics of a region on the surface of the substrate, compared to the measured characteristics and values stored in a system controller, and the characteristics of the base test and the values stored in the controller of the system are compared to the forming process Adjust a process parameter during the period. Embodiments of the present invention further provide a method of forming a half component in a cluster device, the method comprising forming a component feature on a substrate surface in a nucleation chamber by using a component forming process, using a configuration cluster device to transmit a region The inner robot arm places a substrate in the domain to 'measure the characteristics of the surface of the substrate in the transmission area, the measured characteristics and the values stored in a system controller, and the base transmission a plurality of arms of the cavity; holding the cavity substrate, and the step-conducting material is disposed in the wheel controller of the component in the wheel zone, and is stored in the controller of the system. A comparison of the values is used to adjust a process parameter during the component formation process. [Embodiment]

本發明大體來說提供一種使用多腔室製程系統(例如, 群集設備)來處理基材的設備及方法,其適於處理基材並分 析在基材上執行製程的結果。在本發明一態樣中,使用一或 多個分析步驟及/或預清潔步驟來降低等候時間對元件良率 的影響。在本發明一態樣中,使用一系統控制器及一或多個 分析腔室來監視及控制處理腔室配方及/或製程程序,以減 少因為所形成元件上的缺陷造成基材報廢以及元件效能變 化問題。本發明之多個實施例大體上也提供數種可重複且可 靠形成用於各種應用中之半導體元件的方法和系統。以下係 參考可從美國加州聖克拉拉市之美商應用材料FEP分部所 獲得的Centura設備來示範說明本發明。 本發明實施例可有利地使用在能夠於多個單基材處理 腔室及/或多個批次型處理腔室内處理基材的群集設備配 置中。群集設備是一種模組化糸統(modular system),其包 含可執行用以形成電子元件之各種製程步驟的多個腔室。 如第1圖所示,該群集設備 1〇〇包含複數個處理位置 114A-114F,在該等處理位置中,可將多個處理腔室(未示 出)可設置連接至一中央傳輸腔室丨1〇,該中央傳輸腔室 110容納一適於在該等處理腔室之間往來傳送基材的機械 手臂113。該傳輸腔室110的内部區域(例如,第8圖的傳 輸區域110C)通常保持在真空狀態並提供一中間區域,在 9 200811916 該中間區域中將基材從一腔室往來傳送至另一腔室及/或 至設置在該群集設備前端的負載鎖定室。該真空狀態通常 係利用一或多個真空幫浦(未示出)來達,例如習知粗抽幫 浦、鼓風機(Roots Bl〇wer)、習知渦輪幫浦、習知冷凍幫浦 (〇卩〇-011111?)或其組合。或者,該傳輸腔室11()的内部區域 可以是一惰性環境,其係藉由連續輸送惰性氣體至該内部 區域而維持在大氣壓或接近大氣壓。第1圖係可用於電子 元件製程的典型群集設備1〇〇之平面圖,其可使用本‘發明 而受益。二種此類平台係Centura、Endura和Producer系 統’全部皆可從加州聖克拉拉之應用材料公司取得。此類 分段式真空基材製程系统之細節揭示於1993年2月16號 核准給Tepman等人且標題為「分段式真空基材製程系統 和方法」之美國專利第5,186,718號中,並將此文獻以引 用方式納入本文中供參考。腔室之實際配置和組合方式可 根據所欲執行之生產製程的特定步驟而改變。 第2圖示出群集設備的一實施例,在其中基材處理腔 室201、202、203和2 04分別裝設在該傳輸腔室11〇的位 置114A、114B、114C、和114D中。根據本發明之態樣, 該群集設備1〇〇通常包含複數個腔室及機械手臂,並且較 佳設置有系統控制器102,系統控制器102係經程式化以 在該群集設備100内控制並執行各種製程方法和程序。可 在傳輸腔室110上添加複數個狹缝閥(未示出),以選擇性 隔離每一個安裝在位置114A-F上的處理腔室,因此每一 個腔室可以獨立地排空以在製程程序期間執行真空製程。 10 200811916 在本發明之某些實施例中,並非所有的位置i〗4a — F皆設 置處理腔室,以降低該系統的成本或複雜度。 在本發明之一態樣中,一或多個基材處理腔室 201-204可以是習知磊晶(EPI)i積腔室,可用來在基材處 理程序的一或多個步驟期間於基材上形成含有一或多種材 料的磊晶層,例如矽(Si)、鍺化矽(SiGe)、碳化矽(sic)。 可使用從加州聖克拉拉之應用材料公司取得的AppHd Centure EPI腔室來執行EPI製程。在本發明一態樣中,一 或多個基材處理腔室201_204可以是RTp腔室,用來在該 基材處理程序的一或多個步驟期間退火該基材。RTp製程 可使用從加州聖塔克拉拉市應用材料公司購得之RTp腔室 (例如,Vantage RadOx RTP、Vantage RadiancePlus RTP) 和相關製程硬體來執行之。 在本發明另一態樣中,一或多個基材處理腔室 201-2 04可以是習知化學氣相沉積(CVD)腔室,其適於沉積 金屬(例如,鈦、鋼、钽)、半導體(例如,矽、鍺化矽、碳 化矽、鍺)或介電層(例如,Bl〇kTM、二氧化矽、氮化石夕、氧 化給(HfOx)、氮碳化石夕)。此類CVD處理腔室之範例包含 可從加州聖克拉拉市應用材料公司購得的DXZTM腔室、The present invention generally provides an apparatus and method for treating a substrate using a multi-chamber processing system (e.g., a clustering apparatus) that is suitable for processing a substrate and analyzing the results of performing the process on the substrate. In one aspect of the invention, one or more analysis steps and/or pre-cleaning steps are used to reduce the effect of waiting time on component yield. In one aspect of the invention, a system controller and one or more analysis chambers are used to monitor and control processing chamber recipes and/or process procedures to reduce substrate scrap and components due to defects in formed components. Performance change issues. Embodiments of the present invention also generally provide several methods and systems that are reproducible and can form semiconductor components for use in a variety of applications. The invention is exemplified by reference to a Centura apparatus available from the FEP Division of Applied Materials, Santa Clara, California, USA. Embodiments of the invention may be advantageously utilized in a cluster device configuration capable of processing substrates in a plurality of single substrate processing chambers and/or multiple batch type processing chambers. A cluster device is a modular system that includes multiple chambers that can perform various processing steps for forming electronic components. As shown in FIG. 1, the cluster device 1A includes a plurality of processing locations 114A-114F in which a plurality of processing chambers (not shown) can be configurably coupled to a central transfer chamber. The central transfer chamber 110 houses a robotic arm 113 adapted to transport substrates between the processing chambers. The inner region of the transfer chamber 110 (e.g., transfer region 110C of Fig. 8) is typically maintained in a vacuum and provides an intermediate region in which the substrate is transferred from one chamber to another in the intermediate region of 9200811916. a chamber and/or a load lock chamber disposed at the front end of the cluster device. This vacuum condition is typically achieved using one or more vacuum pumps (not shown), such as conventional rough pumps, blowers, conventional turbo pumps, conventional frozen pumps (〇卩〇-011111?) or a combination thereof. Alternatively, the interior region of the transfer chamber 11() may be an inert environment maintained at or near atmospheric pressure by continuously delivering an inert gas to the interior region. Figure 1 is a plan view of a typical cluster device that can be used in electronic component processing, which can benefit from the present invention. Two such platforms, the Centura, Endura, and Producer systems, are all available from Applied Materials, Inc., Santa Clara, California. The details of such a segmented vacuum substrate processing system are disclosed in U.S. Patent No. 5,186,718, issued to the U.S. Pat. This document is incorporated herein by reference. The actual configuration and combination of chambers can vary depending on the particular steps of the production process being performed. Figure 2 shows an embodiment of a clustering apparatus in which substrate processing chambers 201, 202, 203 and 204 are respectively disposed in positions 114A, 114B, 114C, and 114D of the transfer chamber 11''. In accordance with aspects of the present invention, the cluster device 1A typically includes a plurality of chambers and robotic arms, and is preferably provided with a system controller 102 that is programmed to be controlled within the cluster device 100 and Perform various process methods and procedures. A plurality of slit valves (not shown) may be added to the transfer chamber 110 to selectively isolate each of the processing chambers mounted at locations 114A-F so that each chamber may be independently evacuated for processing The vacuum process is performed during the program. 10 200811916 In some embodiments of the invention, not all of the locations i4a-F are provided with processing chambers to reduce the cost or complexity of the system. In one aspect of the invention, one or more substrate processing chambers 201-204 may be conventional epitaxial (EPI) i-product chambers that may be used to substrate during one or more steps of the substrate processing procedure An epitaxial layer containing one or more materials, such as germanium (Si), germanium telluride (SiGe), and sic. The EPH process can be performed using the AppHd Centure EPI chamber from Applied Materials, Inc. of Santa Clara, California. In one aspect of the invention, the one or more substrate processing chambers 201-204 can be RTp chambers for annealing the substrate during one or more steps of the substrate processing procedure. The RTp process can be performed using an RTp chamber (eg, Vantage RadOx RTP, Vantage Radiance Plus RTP) purchased from Applied Materials, Inc., Santa Clara, Calif., and related process hardware. In another aspect of the invention, the one or more substrate processing chambers 201-2 04 may be conventional chemical vapor deposition (CVD) chambers suitable for depositing metals (eg, titanium, steel, tantalum). , a semiconductor (for example, germanium, germanium, germanium, germanium) or a dielectric layer (for example, Bl〇kTM, cerium oxide, cerium nitride, oxidized (HfOx), nitrogen carbide fossil). Examples of such CVD processing chambers include DXZTM chambers available from Applied Materials, Inc. of Santa Clara, California.

Ultima HDP-CVD™腔室和 PRECISION 5000®腔室。在本發 明另一態樣中,一或多個基材處理腔室201— 204可以是習 知物理氣相沉積(PVD)腔室。此類pvd處理腔室之範例包 含可從加州聖克拉拉市應用材料公司購得的Endura™ PVD 處理腔室◊在本發明另一態樣中,一或多個基材處理腔室Ultima HDP-CVDTM chamber and PRECISION 5000® chamber. In another aspect of the invention, the one or more substrate processing chambers 201-204 can be conventional physical vapor deposition (PVD) chambers. Examples of such pvd processing chambers include EnduraTM PVD processing chambers available from Applied Materials, Inc. of Santa Clara, Calif. In another aspect of the invention, one or more substrate processing chambers

200811916 201— 204可以是分耦式電漿氮化(DpN)腔室。200811916 201-204 may be a split-coupled plasma nitriding (DpN) chamber.

’么此類DPN 理腔室之範例包含可從加州聖克拉拉市應用#料公司講 之Centura™ DPN腔室。可用來執行分耦式電槳^化製 之處理腔室範例係描述於共同讓渡之2〇〇4年 1乃6 5虎提 申請’並且公開號為US 20040242021號之美國專利申 案第10/8 19,392號中,在此藉由引用方式將該文獻全文 入本文中以供參考。在本發明另一態樣中,一或多個基 處理腔室201-204可以是金屬蝕刻或介電蝕刻腔室。此 金屬和介電蝕刻腔室的範例包含可從加州聖克拉拉市應 材料公司購得之Centura™ AdvantEdge金屬餘刻腔室 Centura™ eMAX 腔室。 參見第2圖並且如上所述,安裝在位置il4A_D其 一者上的處理腔室201-204可執行各種製程,例如Pvd CVD(例如,介電 CVD、MCVD、MOCVD、EPI)、AlD、 耦式電漿氮化(DPN)、快速熱退火(RTP)或乾蝕刻製程, 在該基材表面上形成各種元件特徵。各種元件特徵可 括,但不限於,層間介電層、閘極介電層、多晶矽閘極 形成介層洞和溝槽、平坦化步驟以及沉積接觸墊或介層 内連線。在一實施例中,位置 1 14E-114F含有公設腔 (service chamber)116A-B,適合執行除氣、定位、冷卻 動作。在一實施例中,該處理製程適於形成高介電常數 容結構,其中處理腔室201_2 04可以是DPN腔室、能沉 多晶石夕之C V D腔室及/或能夠沉積鈥、鎢、钽、銘或在了 MCVD腔室。在另一實施例中,該處理製程適於形成閘 處 得 程 出 請 併 材 類 用 和 中 分 以 包 洞 室 等 電 積 之 極 12 200811916 堆疊,其中處理腔室201-204可以是DPN腔室、能夠沉積 介電材料之CVD腔室、能夠沉積多晶矽之CVD腔室、RTP 腔室及/或MCVD腔室。Examples of such DPN chambers include the CenturaTM DPN chamber available from the company of Santa Clara, California. An example of a processing chamber that can be used to perform a split-coupled electric paddle system is described in the U.S. Patent Application Serial No. US Patent No. US 20040242021, the entire disclosure of which is incorporated herein by reference. This document is incorporated herein by reference in its entirety by reference. In another aspect of the invention, the one or more of the base processing chambers 201-204 can be metal etched or dielectric etched chambers. Examples of such metal and dielectric etch chambers include the CenturaTM eMAX chamber from the CenturaTM AdvantEdge metal residual chamber available from Materials Materials, Inc. of Santa Clara, California. Referring to FIG. 2 and as described above, the processing chambers 201-204 mounted on one of the positions il4A_D can perform various processes such as Pvd CVD (eg, dielectric CVD, MCVD, MOCVD, EPI), AlD, coupled Plasma nitridation (DPN), rapid thermal annealing (RTP) or dry etch processes form various component features on the surface of the substrate. Various component features can include, but are not limited to, an interlayer dielectric layer, a gate dielectric layer, a polysilicon gate forming vias and trenches, a planarization step, and a deposition contact pad or via interconnect. In one embodiment, position 1 14E-114F contains service chambers 116A-B suitable for performing degassing, positioning, and cooling operations. In one embodiment, the processing process is adapted to form a high dielectric constant capacitance structure, wherein the processing chamber 201_2 04 may be a DPN chamber, a polycrystalline CVD chamber, and/or capable of depositing tantalum, tungsten,钽, Ming or in the MCVD chamber. In another embodiment, the processing process is adapted to form a gate, and the stacking and stacking is performed in a stack of electrodes 12 200811916, wherein the processing chambers 201-204 may be DPN chambers. A chamber, a CVD chamber capable of depositing a dielectric material, a CVD chamber capable of depositing polysilicon, an RTP chamber, and/or an MCVD chamber.

參見第2圖,一選用性的前端環境1 04(在此也稱為工 廠介面或FI)設置成選擇性連通一對負載鎖定室1 06。配置 在該前端環境104之傳輸區域104B内的工廠介面機械手 臂108A-B能夠線性、旋轉以及垂直移動,以在該等負载 鎖定室106和裝設在該前端環境104上的複數個晶圓盒 1〇5間傳輸基材。該前端環境104 —般用來將基材從位於 複數個晶圓盒1 05中之晶圓匣(未示出)通過一常壓清潔環 境/封圍(enclosure)傳輸至某些預期位置,例如處理腔室。 通常利用諸如使空氣通過高效率微粒空氣(HEPA)過濾器 等空氣過濾製程來提供該前端環境1〇4之傳輸區域10 4B 中的清潔環境。刖端環境’或稱前端工薇介面,可由加州 聖克拉拉市的應用材料公司講得。 機械手臂113配置在該傳輪腔室110中央,以將基材 從負載鎖定室106A或106B傳輸至設在位置114A— F上的 各個處理腔室其中一者内。該機械手臂113通常含有一葉 片组件113A與手臂組件11 3B,其係與該機械手臂驅動組 件11 3 C連接。該機械手臂11 3適於根據該系統控制器1 02 傳來之指令將基材「W」傳輸至各個處理腔室。可應用本 發明而從中受益的機械手臂組件係描述於共同讓渡之 19 94年8月30號提出申請且標題為「雙軸磁性耦合機械 手臂」之美國專利第5,469,035號;1994年4月11號提出 13 200811916 申請且標題為「機械手臂組件」之美國專利第5,447,409 號;以及2000年4月14號提出申請且標題為「製程半導 體基材之機械手臂」之美國專利第6,379,095號中,並藉 由引用方式將該等文獻全文併入本文中以供參考。 該等負载鎖定室106(例如,負载鎖定室106Α和1〇6Β) 提供該前端環境104和傳輸腔室110之間的第一真空介 面。在一實施例中,提供兩個負载鎖定室106A和106B, 藉由交替連通該傳輸腔室110和該前端環境104的方式來 增加產率。因此,當一個負載鎖定室與該傳輸腔室ι1〇 連通時’第二負載鎖定室106則與該前端環境104連通。 在一實施例中,該負载鎖定室i 〇6是批次型負载鎖定室, 其可從該工薇介面接收兩個或多個基材,並在該腔室密封 時留置該等基材,然後排空至足夠低之真空水準時將該等 基材傳輸至該傳輸腔室110。較佳地,該批次負戴鎖定室 可同時留置25至50個基材。 該系統控制器1 02通常設計成用以促進整個系統的控 制及自動化,並且通常包含中央製程單元(CPlJ)(未示出)、 記憶艟(未不出)以及支援電路(或1/〇)(未示出)。該cpu可 以是在工業没定中用來控制各種系統功能、腔室製程和支 援硬體(例如偵測器、機械手臂、馬達、氣體來源硬體等), 並且監控該系统及腔室製程(例如腔室溫度、製程程序產 率、腔室製程時間、1/〇訊號等)的任何類型電腦製程器。 該記憶體與該CPU連接,並且可以是一或多種可輕易取得 之記憶體’例如隨機存取記憶體(RAM)、唯讀記憶體 14 200811916 (ROM)、軟碟、硬碟或任何其他類型的遠端或原位數位儲 存裝置。軟體指令和資料可以編碼並儲存在記憶體中以指 揮該cpu。該等支援電路也與該cpu連接,以運用習知方 式支持該處理器。該等支援電路可包含快取(cache)、電源 供應器、時脈電路、輸入/輸出電路、子系統及諸如此類者。 可由該系統控制器1 02讀取之程式(或電腦指令)決定欲在 基材上執行何種操作。較佳地,該程式為該系統控制器 1 〇2可項取的軟體,其包含程式碼以執行數種與監視、控 】和執行該等處理製程和各種腔室製程配方步驟相關之操 作。 室的配罾 、在一實施例中’該群集設備1 〇〇包含系統控制器1 02、 =數個基材處理腔室2〇1_2〇4以及一或多個支持腔室 、 般而3 ’支持腔室可以是測量腔室、預處理腔室、 二是後處理腔至。,可為了諸多原因,包括但不限於,例 八為了改善元件良率、改善製程在每個基材上的再現惟、 諸Z製程果、以及減少基材之間等待時間差異的效應等 =夕原因,而在該群集設備1〇〇上添加支持腔室。 第2圖所示出的態樣中,兩個支持腔室211被安 裝在該傳輸腔室内的位置214Α或214Β上。以一或多 個 4q «I 1 口 — 至 u來填補該傳輸腔室110内未被使用空間, 數I由減^因添加至該等支持腔室零組件所需的額外硬體 里減少在該等群集設備處理腔室和該支持腔室211之 15 200811916 間傳輸基材所需的額外時間以及減少該群集設備佔地面積 (footprint),而有助於降低糸統成本和C〇〇。Referring to Fig. 2, an optional front end environment 104 (also referred to herein as a factory interface or FI) is provided to selectively communicate a pair of load lock chambers 106. The factory interface robots 108A-B disposed within the transmission area 104B of the front end environment 104 are capable of linear, rotational, and vertical movement for the load lock chambers 106 and a plurality of wafer cassettes mounted on the front end environment 104. 1 〇 5 transfer substrates. The front end environment 104 is generally used to transfer substrates from a wafer cassette (not shown) located in a plurality of wafer cassettes 105 to a desired location through a constant pressure cleaning environment/enclosure, such as Processing chamber. The clean environment in the transfer area 10 4B of the front end environment 1 4 is typically provided by an air filtration process such as passing air through a high efficiency particulate air (HEPA) filter. The End Environment, or Front End, is available from Applied Materials, Inc. of Santa Clara, California. A robotic arm 113 is disposed in the center of the transfer chamber 110 to transfer substrates from the load lock chamber 106A or 106B to one of the various processing chambers disposed at locations 114A-F. The robotic arm 113 typically includes a blade assembly 113A and an arm assembly 11 3B that is coupled to the robotic arm drive assembly 11 3 C. The robotic arm 113 is adapted to transfer the substrate "W" to the various processing chambers in accordance with instructions from the system controller 102. A robotic arm assembly that would benefit from the application of the present invention is described in U.S. Patent No. 5,469,035, entitled "Two-Axis Magnetic Coupling Manipulator", filed on August 30, 1994, and assigned to U.S. Patent No. 5,447,409, filed on No The documents are hereby incorporated by reference in their entirety by reference. The load lock chambers 106 (e.g., load lock chambers 106A and 〇6Β) provide a first vacuum interface between the front end environment 104 and the transfer chamber 110. In one embodiment, two load lock chambers 106A and 106B are provided to increase throughput by alternately communicating the transfer chamber 110 and the front end environment 104. Thus, when a load lock chamber is in communication with the transfer chamber ι1, the second load lock chamber 106 is in communication with the front end environment 104. In one embodiment, the load lock chamber i 〇 6 is a batch type load lock chamber that can receive two or more substrates from the interface and retain the substrates when the chamber is sealed. The substrates are then transferred to the transfer chamber 110 when evacuated to a sufficiently low vacuum level. Preferably, the batch negative locking chamber can hold between 25 and 50 substrates simultaneously. The system controller 102 is typically designed to facilitate control and automation of the overall system and typically includes a central processing unit (CP1J) (not shown), memory (not shown), and support circuitry (or 1/〇). (not shown). The CPU can be used to control various system functions, chamber processes, and support hardware (such as detectors, robot arms, motors, gas source hardware, etc.) in industrial settings, and to monitor the system and chamber process ( Any type of computer processor such as chamber temperature, process program yield, chamber process time, 1/〇 signal, etc. The memory is coupled to the CPU and can be one or more readily available memories such as random access memory (RAM), read only memory 14 200811916 (ROM), floppy disk, hard drive or any other type. Remote or in situ digital storage device. Software instructions and materials can be encoded and stored in memory to command the cpu. The support circuits are also connected to the CPU to support the processor using conventional methods. Such support circuits may include caches, power supplies, clock circuits, input/output circuits, subsystems, and the like. The program (or computer command) that can be read by the system controller 102 determines what operation is to be performed on the substrate. Preferably, the program is a software that can be accessed by the system controller 1 〇 2, which includes code to perform several operations related to monitoring, controlling, and executing the processing procedures and various chamber recipe recipe steps. The configuration of the chamber, in one embodiment 'the cluster device 1 〇〇 contains the system controller 102, = several substrate processing chambers 2〇1_2〇4 and one or more support chambers, generally 3' The support chamber can be a measurement chamber, a pretreatment chamber, and a post processing chamber. For a number of reasons, including but not limited to, in order to improve component yield, improve process reproducibility on each substrate, Z process results, and reduce the effect of waiting time differences between substrates, etc. The reason is to add a support chamber to the cluster device 1〇〇. In the aspect shown in Fig. 2, two support chambers 211 are mounted at positions 214 or 214 of the transfer chamber. The unused space in the transfer chamber 110 is filled with one or more 4q «I 1 ports - to u, and the number I is reduced by the additional hardware required to be added to the support chamber components. The clustering equipment processes the extra time required to transport the substrate between the chamber and the support chamber 211, 15119119, and reduces the footprint of the cluster device, thereby helping to reduce the cost and stability of the system.

第3圖示出該群集設備的另一種配置方式,其中 該等支持腔室211係没置在該群集設備1〇〇的其他區域 内,例如安裝在位置U4E及/或與前端環境1〇4連結的位 置214C或214D上。應注意到,可預期將該支持腔室2 U 安裝在一或多個位置114Α·114Ρ、位置214A_D,或一或多 個群集設備自動控制元件可存取的任何其他習知位置上。 第4和5圖示出在包含支持腔室211之代表性群集設 備配置内執行的製程程序範例。第4圖示出基材「W」隨 著第5圖所述的製程步驟通過該群集設備的移動情 形。第4圖中梯示A1至A8的每一個箭號示出綦材在該群 集設備1 00内的移動動向或傳輸路徑。在此配置中,從設 置在位置1 0 5 A上的晶圓盒移出基材,並且沿著傳輸路徑 A1傳輸至負载鎖定室1 06A。系統控制器1 02隨後指示該 負載鎖定室1 06A關閉並排空至一預期低壓,因此基材可 以傳輸進入至已處於真空排空狀態下的傳輸腔室110内。 接下來沿著路徑A2傳送基材,在此於基材上執行準備/分 析步驟3 02。該準備/分析步驟3〇2可包含一或多種準備步 驟’包含但不限於,基材檢視/分析及/或微粒移除。在完 成準備/分析步驟3 02之後,接著如第4圖所示,沿著傳輸 路徑A3將基材傳輸至位置Π4Α上的處理腔室,在此處理 室中於基材·上執行基材製程步驟304。在執行該基材製程 步驟304後,沿著傳輸路徑α4_α5相繼將基材傳送至基材 16 200811916 處理腔室202和20 3,在此執行其各自的基材製程步驟306 至308,如第4和5圖所示般。在另一實施例中,基材製 程步驟3 04係預清潔製程步驟(將討論於下)。在一實施例 中’基材製程步驟306和308可選自由下列製程所組成之 族群中:氧化物蝕刻、金屬蝕刻、EPi、RTP、DPN、PVD、 CVD(例如,CVD多晶矽、teos等)或其他適合的基材處理 步驟。然後沿著路徑A6傳輸基材,在此於基材上執行相 關的後處理/分析步驟310。該後處理/分析步驟310可包含 一或多種準備步驟,包含但不限於,基材檢視/分析及/或 微粒移除步驟。在完成後處理/分析步驟31〇以後,接下來 著傳輸路徑A7將基材傳輸至負载鎖定室1 〇6a。接著將 該負载鎖定室破真空,然後沿著傳輸路徑A8將基材從負 载鎖定室移出並置於晶圓盒位置105A内。 製程程序的其他實施例也可包含將支持腔室1置於 製^鞋序的至少一個其他製程步驟之間的方案。在另一實 例中,在該準備/分析步驟3 0 2或該後處理/分析步驟3 1 0 之後’僅有一個製程步騍在基材上完成。 移除支持腔窒 在一實施例中,該支持腔室2 11配置成用以在準備/ 刀析步驟3 02及/或後處理/分析步驟3丨〇期間減少基材表 上的微粒數量或污染量,因此可改善使用所欲處理製程 、成之元件的元件良率和基材報廢率。通常,該微粒/污染 減夕腔室,此下簡稱微粒減少腔室,使一或多個基材表面 17 200811916 暴露在紫外線(uv)輻射 上的微粒和其他污染物,4供μ的能量給基材表面 ’、 而使其藉由例如布朗運動、改蠻 污染物對於暴露出的矣二 』如,明連動改變 、的鍵結特性、或使污染物I & 作用而離開基材表面。妒& + 人κ )卞w…、赞等 面知作時’從該微粒/污染減少腔室内 的輻射來源,以介於 於約5至約25亳瓦/平方公分 (mWatts/cm2)間的能量密 在度以及;丨於約120至約430奈米 (nm)之間的波長將UV輻斛七ΤΤν , 一 ’、 輪射或UV光傳遞至基材表面。來Figure 3 shows another configuration of the cluster device, wherein the support chambers 211 are not placed in other areas of the cluster device 1 , such as at location U4E and/or with the front end environment 1〇4 Linked to position 214C or 214D. It should be noted that the support chamber 2 U can be expected to be mounted at one or more locations 114 Α 114 Ρ, location 214 A _ D, or any other known location accessible by one or more cluster device automation controls. Figures 4 and 5 illustrate an example of a process routine executed within a representative cluster device configuration that includes a support chamber 211. Figure 4 shows the movement of the substrate "W" through the cluster device as the process steps described in Figure 5 are performed. Each of the arrows A1 to A8 in Fig. 4 shows the movement or transmission path of the coffin within the cluster device 100. In this configuration, the substrate is removed from the wafer cassette set at position 1 0 5 A and transferred to load lock chamber 106A along transport path A1. The system controller 102 then instructs the load lock chamber 106A to close and vent to an expected low pressure so that the substrate can be transported into the transfer chamber 110 that is already in a vacuum evacuated state. Next, the substrate is conveyed along path A2 where the preparation/analysis step 312 is performed on the substrate. The preparation/analysis step 3〇2 may comprise one or more preparatory steps' including, but not limited to, substrate inspection/analysis and/or particle removal. After the preparation/analysis step 322 is completed, then as shown in FIG. 4, the substrate is transported along the transport path A3 to the processing chamber on the position ,4Α, in which the substrate process is performed on the substrate. Step 304. After performing the substrate processing step 304, the substrates are successively transferred to the substrate 16 200811916 processing chambers 202 and 203 along the transport path α4_α5, where their respective substrate processing steps 306 to 308 are performed, as in the fourth And as shown in Figure 5. In another embodiment, substrate processing step 307 is a pre-cleaning process step (discussed below). In an embodiment, the substrate processing steps 306 and 308 can be selected from the group consisting of oxide etching, metal etching, EPi, RTP, DPN, PVD, CVD (eg, CVD polysilicon, teos, etc.) or Other suitable substrate processing steps. The substrate is then transported along path A6 where a subsequent post-treatment/analysis step 310 is performed on the substrate. The post-treatment/analysis step 310 can include one or more preparatory steps including, but not limited to, substrate inspection/analysis and/or particle removal steps. After the post-processing/analysis step 31 is completed, the transport path A7 is followed to transport the substrate to the load lock chamber 1 〇 6a. The load lock chamber is then vacuumed and the substrate is removed from the load lock chamber along transport path A8 and placed in wafer cassette position 105A. Other embodiments of the process program may also include a solution for placing the support chamber 1 between at least one other process step of the shoe sequence. In another example, only one process step is completed on the substrate after the preparation/analysis step 320 or the post-treatment/analysis step 3 1 0. Removing the Support Chamber In one embodiment, the support chamber 2 11 is configured to reduce the amount of particles on the substrate sheet during the preparation/knife separation step 302 and/or the post-treatment/analysis step 3丨〇 or The amount of contamination, therefore, can improve the component yield and substrate rejection rate of the components to be processed, the components to be processed. Typically, the particulate/contamination chamber, referred to herein as a particulate reduction chamber, exposes one or more substrate surfaces 17 200811916 to particulates and other contaminants on ultraviolet (uv) radiation, 4 for μ energy. The surface of the substrate is such that it leaves the surface of the substrate by, for example, Brownian motion, modifying the contaminant for the exposed enthalpy, such as a change in bonding, bonding properties, or causing contaminants I &妒& + people κ ) 卞 w..., praise, etc. When the surface is known to reduce the radiation source from the particle/pollution chamber, between about 5 to about 25 watts/cm 2 (mWatts/cm 2 ) The energy density is as well as the wavelength of between about 120 and about 430 nanometers (nm) to transfer the UV radiance, a ', or a UV light to the surface of the substrate. Come

自該輻射來源的輻射可由含有例如氣、氬、氪、氮、氣化 孔、乱化氪、氟化氬等元素的燈來供應…吏用發射紫外光 的輻射來源對於除去或減少基材表面上之有機污染所造成 的有了影響特別有肖。適於放射uv波長的典型輻射來源 可以疋I知UV燈(例如,汞蒸氣燈)或其他類似裝置。也 可使用由放射不同波長㈣光之數種…輻射來源所構成 的組合。 第6圖示出一種支持腔室2丨丨的剖面側視圖,其係微 粒減少腔室700,用以將一或多個基材表面暴露在紫外線 (UV)轄射下。該微粒減少腔室700可安裝在群集設備内任 何可用位置上,例如位置114A_U4F(第2圖)或位置 214A-214E(第3圖)。一般來說,微粒減少腔室700包含封 圍701、輻射來源711和基材支撐件704。該封圍701通常 含有腔室主體702、腔室蓋703以及透明區705。在一態樣 中,該封圍701含有一或多個密封件706,用以密封該處 理區7 1 0,因此封圍7 0 1可在處理製程期間利用真空幫浦 736抽至真空狀態。在一態樣中,該處理區71〇係利用真 18 200811916 空幫浦736和氣體輸送來源735抽真空並維持在約ι〇 $托 ^至約700托耳之間的壓力下。在_實施例中,藉由從該 氣體輸送來源735連續輸送惰性氣體至該處理區710,使 '處區710保持在大氣壓或接近大氣壓。該透明區705, 可由陶瓷、玻璃或從該輻射來源711放射出的輻射線可穿 透的/、他材料製成,因此基材「w」可接收到從該輻射來 :7"放射出的大部分能量。在一態樣中,該微粒減少腔 室700可含有舉升組件72〇,用於相對於基材支撐件7㈣ 將基材「W」升高及降低,因此機械手臂(未示出)可拾起 和放下該舉升組件720上的基材。 在一實施例中,該基材支撐件704適於在微粒移除步 驟期間加熱基材,w進一步提高藉由在微粒減少製程期間 提供能量給該等污染物使其從基材表面離移或蒸發來除去 基材表面上之微粒的效率。在此配置中,可利用嵌入該基 材支撐件704内的加熱元件722和外部電源供應/控制器 (未不出)來加熱該基材支撐件7〇4,因而將基材支撐表面 707加熱至預期溫度。在一實施例中,該基材支撐件 係利用習知紅外線燈加熱1預期溫度。在一態樣中,該基 材支撐件704係加熱至介於約25〇〇c至約85〇<t之間的溫 度,並且更佳介於約35(rc至約65〇<t之間。在一態樣中, 較佳者,可在基材因處理製程的先前製程步驟期間施加至 基材的熱量而使基材仍然處於約25(rc至約55〇〇c之間的 溫度時,將基材傳送至微粒減少腔室700和基材支撐件 704 〇 牙午 19 200811916 在一實施例中,該支持腔室211係一測量腔室,其適 於在執行製程程序内的一製程步騍.之前或之後執行該準備 /分析步驟302及/或該後處理/分析步驟31〇,以分析基材 特性。一般來說,可在測量腔室内測量的基材特性包含,Radiation from the source of radiation may be supplied by a lamp containing elements such as gas, argon, helium, nitrogen, gasification pores, chaotic argon, argon fluoride, etc., using a source of radiation that emits ultraviolet light to remove or reduce the surface of the substrate. The impact of organic pollution on the surface is particularly acute. A typical source of radiation suitable for emitting UV wavelengths may be known as UV lamps (eg, mercury vapor lamps) or other similar devices. Combinations of several sources of radiation from different wavelengths (four) of light can also be used. Figure 6 shows a cross-sectional side view of a support chamber 2丨丨, which is a microparticle reduction chamber 700 for exposing one or more substrate surfaces to ultraviolet (UV) radiation. The particle reduction chamber 700 can be mounted at any available location within the cluster device, such as location 114A_U4F (Fig. 2) or location 214A-214E (Fig. 3). Generally, the particle reduction chamber 700 includes a seal 701, a source of radiation 711, and a substrate support 704. The enclosure 701 typically includes a chamber body 702, a chamber cover 703, and a transparent region 705. In one aspect, the enclosure 701 includes one or more seals 706 for sealing the treatment zone 710, such that the enclosure 706 can be evacuated to a vacuum condition during the processing process using the vacuum pump 736. In one aspect, the processing zone 71 is evacuated using a true 18 200811916 air pump 736 and a gas delivery source 735 and maintained at a pressure of between about ι 〇 $ Torr to about 700 Torr. In an embodiment, by continuously delivering an inert gas from the gas delivery source 735 to the processing zone 710, the zone 710 is maintained at or near atmospheric pressure. The transparent region 705 can be made of ceramic, glass or radiation permeable from the radiation source 711, so that the substrate "w" can receive from the radiation: 7" Most of the energy. In one aspect, the particle reduction chamber 700 can include a lift assembly 72A for raising and lowering the substrate "W" relative to the substrate support 7 (4) so that the robotic arm (not shown) can pick up The substrate on the lift assembly 720 is lifted and lowered. In an embodiment, the substrate support 704 is adapted to heat the substrate during the particle removal step to further enhance the removal of the contaminants from the surface of the substrate by providing energy during the particle reduction process or The efficiency of evaporation to remove particles on the surface of the substrate. In this configuration, the substrate support member 〇4 can be heated by the heating element 722 embedded in the substrate support 704 and an external power supply/controller (not shown), thereby heating the substrate support surface 707. To the expected temperature. In one embodiment, the substrate support is heated to a desired temperature using conventional infrared lamps. In one aspect, the substrate support 704 is heated to a temperature between about 25 〇〇c to about 85 〇 < t, and more preferably between about 35 (rc to about 65 〇 < t In one aspect, preferably, the substrate is still at a temperature of between about 25 (rc and about 55 〇〇c) during application of heat to the substrate during a prior art processing step of the substrate. At the time, the substrate is transferred to the particle reduction chamber 700 and the substrate support 704. 1911911916 In one embodiment, the support chamber 211 is a measurement chamber that is adapted to perform a process within the process Process step. Performing the preparation/analysis step 302 and/or the post-treatment/analysis step 31〇 before or after to analyze the substrate properties. In general, the substrate properties that can be measured in the measurement chamber include,

但不限於,測量沉積在基材表面上一或多個層内本身固有 的應力或來自外在的應力、一或多個沉積層的薄膜成份、 基材表面上的微粒數量以及基材表面上一或多個膜層的厚 度。隨後,該系統控制器102可使用從該測量腔室收集到 的數據,以調整一或多個製程步驟中的一或多個製程變 量,而在經過後續處理的基材上產生所欲結果。適合用來 測量並分析基材表面微粒的測量腔室硬體和控制演算法之 範例揭示於共同讓渡之美國專利申請案第6,63〇,995號、 第 6,654,698 號、第 6,952,491 號以及第 6,693 7〇8 號中, 在此藉由引用方式將該等文獻全文併入本文中以供參考。 i膜分析脉窄 在一實施例中,該支持腔室211係一測量腔室,其係 適於利用習知光學測量技術來測量沉積於基材表面上的薄 膜成分及厚度。典型的成分及厚度測量技術包含習知橢圓 測量(ellipsometry)、反射測量(reflectometry)或 X 射線光 電子光譜(XPS)技術。在基材表面上的預期區域使用這些技 術所測得之成分和厚度結果反饋至該系統控制器i02,可 對製程程序内的一或多個上游或下游處理步驟做出調整。 20 200811916 系統控制器102儲存並分析基材成分和厚度結果,從 而改變一或多個製程變量,以改善後續處理之基材上達到 的製程結果及/或藉由調整在該支持腔室211下游製程的 製程參數來修正已處理基材的缺陷。在一範例中,在Ερι 層已沉積在基材表面上之後,執行成分或厚度分析,以調 整諸如RF功率、製程壓力、氣體流速、薄膜厚度、沉積 速率等製程變量來修正製程,以避免在下一次的Ep][沉積 製程發生非所欲的製程結果。 橢圓測量是一種非侵略性的光學技術,用來測量薄膜 厚度、介面粗糙度以及薄表層和多層結構的成分。該方法 測ϊ從樣品表面反射回來之光線極性狀態的改變以判定習 知橢圓測量參數,例如振幅改變(ψ )、相位移(△)。然後 可將這些光學參數與該系統控制器i 02内的電腦模式或儲 存數據相比,以判定基材表面上該區域的樣品結構和成分。 反射測量(Reflectometry)是一種利用光學輻射的總外 反射效應來研究薄膜層的分析技術。在反射分析技術中, 係在不同角度下測量來自樣品的光學輻射反射,因此可判 定厚度和密度、表面粗糙度。然後可將這些反射、測量、纟士果 與該系統控制器1 02内的電腦模式或儲存數據進行比對, 以判定基材表面上該區域的樣品結構和成分。 x射線光電子光譜(xps)設備可用來測量一材料μ & 元素的元素成分、化學狀態和電子狀態。藉由以X止土加 八元束照 射材料,同時運用習知測量技術來測量從待分析材料中戶斤 脫離出之電子的動能和數量來取得XPS光譜。然後 又J將 21However, it is not limited to measuring the inherent stress or inherent stress in one or more layers deposited on the surface of the substrate, the film composition of one or more deposited layers, the number of particles on the surface of the substrate, and the surface of the substrate. The thickness of one or more film layers. The system controller 102 can then use the data collected from the measurement chamber to adjust one or more process variables in one or more of the process steps to produce the desired result on the subsequently processed substrate. Examples of measuring chamber hardware and control algorithms suitable for measuring and analyzing surface particles of a substrate are disclosed in U.S. Patent Application Serial Nos. 6,63,995, 6,654,698, 6,952,491, and This document is hereby incorporated by reference in its entirety in its entirety by reference. i Film Analysis Pulse Narrow In one embodiment, the support chamber 211 is a measurement chamber that is adapted to measure film composition and thickness deposited on the surface of a substrate using conventional optical measurement techniques. Typical composition and thickness measurement techniques include conventional ellipsometry, reflectometry, or X-ray photoelectron spectroscopy (XPS) techniques. The expected area on the surface of the substrate is fed back to the system controller i02 using the composition and thickness measurements measured by these techniques, and one or more upstream or downstream processing steps within the process sequence can be adjusted. 20 200811916 The system controller 102 stores and analyzes substrate composition and thickness results to change one or more process variables to improve process results achieved on a subsequently processed substrate and/or to be adjusted downstream of the support chamber 211 Process parameters of the process to correct defects in the treated substrate. In one example, after the Ερι layer has been deposited on the surface of the substrate, a composition or thickness analysis is performed to adjust process variables such as RF power, process pressure, gas flow rate, film thickness, deposition rate, etc. to correct the process to avoid One time Ep] [Deposition process results in undesired process. Ellipsometry is a non-aggressive optical technique used to measure film thickness, interface roughness, and composition of thin skin and multilayer structures. The method measures changes in the polarity of the light reflected from the surface of the sample to determine conventional elliptical measurement parameters, such as amplitude changes (ψ), phase shifts (Δ). These optical parameters can then be compared to computer mode or stored data in the system controller i 02 to determine the sample structure and composition of the region on the surface of the substrate. Reflectometry is an analytical technique that uses the total external reflection effect of optical radiation to study thin film layers. In the reflection analysis technique, the optical radiation reflection from the sample is measured at different angles, so thickness and density, and surface roughness can be determined. These reflections, measurements, and gentlemen can then be compared to computer mode or stored data in the system controller 102 to determine the sample structure and composition of the region on the surface of the substrate. X-ray photoelectron spectroscopy (xps) devices can be used to measure the elemental composition, chemical state, and electronic state of a material's μ & elements. The XPS spectrum is obtained by irradiating the material with an X-stop and an octal beam while using conventional measurement techniques to measure the kinetic energy and amount of electrons that are removed from the material to be analyzed. Then J will 21

200811916 些XPS結果與該系統控制器1 02内的電腦模式或儲存數 相比較,以判定基材表面上該區域之樣品結構和成分。 在一實施例中,一圖案辨識系統與在支持腔室2 11 執行的一或多個分析步驟並用,以提供關於基材表面上 選區域之狀態的分析及反饋。一般來說,該圖案辨識系 使用一光學檢測技術來掃描基材表面,並比較從該掃瞄 收到的數據與儲存在控制器内的數據,因此該控制器可 定要在基材表面上何處進行測量。在一實施例中,該圖 辨識系統包含一控制器(例如,控制器〗〇 2 (第2圖))、習 C CD相機和一台座,該台座適於相對於該c cd相機來 動設置在該台座上的基材。在製程期間,儲存在控制器 憶體内的數據與CCD相機通過基材表面時接收到的數 比較,因此可找到基材表面上欲進行測試的區域,然後 用測量腔室内的零組件來分析該區域。 基材彎曲應力測量分析腔宮 在另一實施例中,該支捭脾 至211適於利用習知 彎曲測置技術來測量基材表 上所》儿積薄膜内的 (stress)或張力(strain)。應注意到, , , 通吊可精由測罝一 數(例如,應力或張力)、測量或 刑努/七4 飞伸知測量區内所含材 i及/或一或多種材料特性來計 七知眼a 出基材一區域内含 力和張力。可配置一用以在 5 或彎曲度改變的習知應力或張力::期間測量基材聲 程序内的-或多個製程步驟之力:;::’以在執行 叫置基材内的應力 據 内 所 統 接 決 案 知 移 記 據 利 材 力 參 类貢 應 度 張 22200811916 These XPS results are compared to the computer mode or storage number in the system controller 102 to determine the sample structure and composition of the region on the surface of the substrate. In one embodiment, a pattern recognition system is used in conjunction with one or more analysis steps performed in support chamber 2 11 to provide analysis and feedback regarding the state of the selected regions on the surface of the substrate. In general, the pattern recognition uses an optical detection technique to scan the surface of the substrate and compare the data received from the scan with the data stored in the controller so that the controller can be positioned on the surface of the substrate. Where to take measurements. In one embodiment, the map recognition system includes a controller (eg, controller 〇 2 (FIG. 2)), a C CD camera, and a pedestal adapted to be set relative to the c cd camera. The substrate on the pedestal. During the process, the data stored in the controller's memory is compared with the number received by the CCD camera as it passes through the substrate surface, so the area on the surface of the substrate to be tested can be found and analyzed using components within the measurement chamber. The area. Substrate Bending Stress Measurement Analysis Chamber In another embodiment, the support spleen to 211 is adapted to measure stress or tension in a film on a substrate surface using conventional bending measurement techniques (strain) ). It should be noted that , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , Seven Known Eyes a. Contains the force and tension in a region of the substrate. A force can be configured to measure the - or multiple process steps within the substrate acoustic program during a conventional stress or tension change of 5 or curvature::::' to perform stress within the substrate According to the internal system of the unified case, the record of the transfer of the data according to the strength of the tribute Gongying degree Zhang 22

200811916 力,然後將結果反饋至系統控制器1 02 102可決定需在製程程序内的一或多個 種行動。適於测量基材應力之習知應 KLA-Tencor 公司、Nanometrics 公司或 取得。 在一範例中,較佳可測量先前沉積 之EPI層的應力或張力,並將該數據 1 02,系統控制器1 〇2隨後決定如何改善 達到的製程結果,或甚至對下游製程做 應力或張力測量上注意到的問題。該系 該基材彎曲結果(b 〇 w r e s u 11 s )來調整一 例如RF功率、製程壓力、薄膜厚度、 後續基材表面上的製程結果。 XRD測量腔宮 在一實施例中,整合在該群集設備 應用X光繞射(XRD)技術來測量薄膜厚 膜應力或張力。典型的XRD技術使用;i Law)來辅助分析及解釋將一或多個基柄 光輻射下時所產生的繞射圖案。一般來 有一 X光來源、一或多個輻射偵測器、 一促動器,該促動器可相對於基材來連 對於X光源而接合基材支撐件,因而可 案。在執行一或多個製程程序製程步n ,使得系統控制器 製程步驟中採取何 f力測量設備可從 Therma-Wave 公司 製程步驟中所形成 反饋至系統控制器 在後續處理基材上 調整以解決從基材 統控制器102使用 或多種製程變量, 沉積速率,以改善 1 〇 〇内的測量腔室 度、薄膜成分和薄 F拉格定律(Bragg’s 表面區域暴露在X 說,該XRD腔室含 一基材支撐件以及 接X光來源,或相 產生並分析繞射圖 ‘之前或之後,可使 23 200811916 用從XRD型測量腔室得到的結果來測量基材表面上之薄 膜的各種特性。藉由使用系統控制器1 02 ,可使用從xrd 腔室接收到的結果來調整各種製程步驟的製程變量,以改 σ該製程程序的結果。在一範例中,較佳可測量在先前沉 積製程步驟中所形成之Ερι層的應力。因&,可利用系統 控制器102使用XRD結果來調整一或多種Ερι製程變量,200811916 Force, then feedback the results to system controller 102 102 to determine one or more actions that need to be within the process. The appropriate measure for measuring substrate stress should be obtained by KLA-Tencor, Inc., Nanometrics. In one example, it is preferred to measure the stress or tension of the previously deposited EPI layer, and the data 102, the system controller 1 〇 2 then decide how to improve the process results achieved, or even stress or strain the downstream process. The problem noted on the measurement. The substrate is bent (b 〇 w r e s u 11 s ) to adjust a process such as RF power, process pressure, film thickness, and subsequent substrate surface. XRD Measurement Chamber In one embodiment, X-ray diffraction (XRD) technology is applied to the cluster device to measure film thickness film stress or tension. A typical XRD technique is used; i Law) to aid in the analysis and interpretation of the diffraction pattern produced when one or more pedicles are irradiated. Typically, there is an X-ray source, one or more radiation detectors, an actuator that can be coupled to the substrate to engage the substrate support relative to the substrate, and is therefore economical. Performing one or more process steps n, so that the system controller process steps can be taken from the feedback generated by the Therma-Wave process steps to the system controller to adjust on the subsequent processing substrate to solve From the substrate controller 102 using or a variety of process variables, deposition rate to improve the measurement chamber within 1 、, film composition and thin F Lager's law (Bragg's surface area exposed to X says that the XRD chamber contains 23 200811916 The results obtained from the XRD-type measuring chamber can be used to measure various properties of the film on the surface of the substrate before or after a substrate support and X-ray source, or phase generation and analysis of the diffraction pattern. By using the system controller 102, the results received from the xrd chamber can be used to adjust the process variables of various process steps to modify the results of the process. In one example, the previous deposition process is preferably measurable. The stress of the layer formed in the step. Because &, the system controller 102 can be used to adjust one or more process variables using the XRD result.

例如RF功率、製程壓力、薄膜厚度、沉積逮率,以改善 製程結果。相對於使用獨立分離測量腔室來執行該等分析 的配置而言,使用能夠在製程程序不同階段顯示諸如應 力、薄膜成分、厚度等數種不同薄膜特性的測量腔室(例如 RD腔至),有助於降低系統成本、減少系統機體佔地面 積改。群集5又備的可靠度,並且減少在腔室之間傳輸基 材所需的額外時間。 第7圖示出一種支持腔室211或測量腔室75〇的剖面 側視圖,其可用來在執行製程程序(例如,如下述的製程程 =300和製程程序3〇1A-3〇1B)内之一鳥理步驟之前或之後 T析基材特性。該測量腔室75〇可安裝在群集設備内任何 可用位置上,例如位置114A-114F(第2圖)或位置 214A-214E(第3圖)。一般來說,測量腔室75〇包含一封園 761、一測里組件811和一基材支撐件。該基材支撐件 7 54擁有一基材支撐表面757。該封圍了㈠通常含有一腔室 主體752 腔至蓋753以及一透明區755。在一態樣中, 該封圍751含有一或多個密封件756用以密封該處理區 ”0,因此其可在製程期間利用真空幫浦(未示出)抽至真空 24 200811916 狀態。在一態樣中,該處理區770係抽真空至約1 Ο·6托耳 至約700托耳之間的壓力。該透明區755可由陶瓷、玻璃 或從該測量組件8 11内含來源8〗3放射出之輻射線能穿透 的其他材料所製成。在一實施例中,從該來源8 1 3放射出 的輻射通過該透明區755撞擊基材表面,在此該輻射被反 射並回傳通過該透明區755,而被該測量組件811内含的 感應器8 1 2收集。在一態樣中,該測量腔室7 5 〇含有一舉 升組件720 ’其適於相對於基材支撐件754將基材r w」 升兩及降低’因此機械手臂(未示出)可在該群集設備内的 測量腔室750和其他處理腔室之間傳送基材。 I金的支持腔官 第8圖顯示含有支持腔室組件800之傳輸腔室n〇的 剖面側視圖,該支持腔室組件8〇〇包含在可適於執行測量 製程、預處理製程步驟或後處理製程步驟的支持腔室2 i ^ 内。在一實施例中,如第8圖所示,該支持腔室組件 糸配置用以在準備/分析步驟302及/或後處理/分析步驟 3 10期間減少基材表面的微粒數量。除了封圍零組件 之外,該支持腔室組件800通常含有如上所述之微粒減少 ^至700中提供的所有零組件,例如腔室主體和腔室 蓋703係分別以傳輸腔室基座u〇B和傳輸腔室蓋ii〇a取 代之。 在一實施例中,該基材支撑件704和舉升組件72〇設 置在該傳輸區域11GC内,並安裝㈣輪腔室m的傳輸 25 200811916 腔室基座Π 0 B上,因此眺鄰一或多個處理腔室(例如,第 8圖示出處理腔室201)。在此配置中,該輻射來源γη連 結至安裝在傳輸腔室蓋110A上的支樓件808,因此從該輕 射來源711放射出的輻射通過該透明區705並撞擊到置於 基材支撐件704之基材支撐表面7〇7上的基材w。可使用 該系統控制器102和位在該舉升組件720内的促動器(未示 出)在該機械手臂葉片組件113 A和該基材支撐件704之間 傳輸基材「W」。該支持腔室組件800通常經過配置,以避 免在該機械手臂11 3完成正常傳輸操作期間,機械手臂n 3 和支持腔室組件8 0 0内的任何零組件之間發生碰撞。 第9圖係支持腔室組件8 〇〇 —實施例的刮面側視圖, 其係設置在一部分的傳輸腔室11〇上,因此可在基材W1 於該機械手臂113之機械手臂葉片組件n3A上時執行上 述的微粒減少步驟。在一實施例中,輻射來源7〗丨安裝在 該傳輸腔室蓋11 0A上,並且該基材w係置於輻射來源711 下方’因此在傳輸基材通過該群集設備〗〇 〇的步驟期間, 當基,材通過支持腔室組件8〇〇下方時,從該幅射來源711 放射出的輻射可撞擊至基材表面。在另一實施例中,在傳 輸步驟期間,該系統控制器1 〇2和機械手臂〗丨3適用於將 該機械手臂葉片組件!丨3 A和基材w定位並保持在該輻射 來源7 11下方一段預期時間,因此可在基材上執行微粒移 除製程。 第1 〇圖係含有支持組件80 1之傳輸腔室〗〗〇的剖面側 視圖’該支持組件8 〇丨係容納在支持腔室2丨〗内,用以在 26 200811916 執行製程程序中的一製程步驟之前或之後,執行準備/分析 步驟302及/或後處理/分析步驟31〇以分析基材特性。在 一實施例中,該支持腔室組件801係XRD、XPS、應力測 量設備、反射儀(reflectometer)或橢圓測量儀類型的設備, 其設置用以藉由將基材W暴露在從來源813放射出的輕射 下然後以感應器8 1 2接收一部分的訊號來測量基材特性。 隨後將支持腔室組件80 1接收到的結果傳送至系統控制器 102’使系統控制器1〇2可調整製程程序内一或多個製程變 量,以改善在系統内達到的製程結果。 該支持腔室組件801通常含有設置在傳輸區域u〇c 内並安裝在傳輸腔室Π0之傳輸腔室基座π Ob上的基材 支撐件804和舉升組件820。在一實施例中,該支持腔室 組件8 0 1設置在毗鄰一或多個處理腔室處(例如,第工〇圖 示出處理腔室201) 〇在此配置中,該測量組件811與傳輸 腔室蓋110A連結,並且可透過與該腔室蓋π〇α密封連結 的透明區705來觀察置於基材支撐件804之基材支撐表面 8〇7上的基材W之製程表面W1。可用該系統控制器1〇2 和該舉升組件820内含的促動器(未示出)在該機械手臂葉 片組件113A和該基材支撐件8〇4之間傳輸基材「w」。該 支持腔至組件8 0 1通常設計並配置成可使機械手臂〗1 3和 支持腔室組件801内的任何零組件在由該機械手臂US完 成正常傳輸操作期間不會彼此碰撞。 第11圖係設置在傳輸腔室110上的支持腔室組件8〇1 一實施例的剖面側視圖,因此可在基材w置於機械手臂 27 200811916 113的機械手臂葉片組件113A上時,執行上述準備/分析 步驟302及/或後處理/分析步騍310。在一實施例中,該基 材W係經設置,使得在傳輪基材通過群集設備〗〇〇的製程 期間,當基材通過支持腔室組件801下方時,感應器812 可接收從來源8 1 3放射出的輻射。在另一實施例中,該系 統控制器1 02和機械手臂11 3適用於訂位並保持該機械手 臂葉片組件11 3 A和基材W,因此支持腔室組件8 〇 1可在 基材的一或多個區域上進行分析。 在一未示出的實施例中,支持腔室組件8 〇 〇和支持腔 室組件80 1整合在一個完整組件内,該完整組件安裝在群 集敌備内的任何可用位置上,例如位置j丨4 A _丨1 4 F (第2圖) 或位置214A-214E(第3圖)。在一實施例中,支持腔室組 件800及/或支持腔室組件8〇1整合至該等負載鎖定室 l〇6A-l〇6B至少其中一者内(第2或3圖)。 間題和群集設借配甏 在一實施例中,該群集設備100包含一準備腔室,其 係適於執行一或多個預清潔贲驟,以準備基材表面好進^ 後續元件製程步驟。對於該些製程步驟之間的時間長度Z 等待時間是關鍵因素或者暴露在大氣中或其他污染源=的 時間會影響所生產之元件良率、再現性和整體 主道触 T双月b的 千¥體元件生產階段來說,預清潔步驟通常是重要的 一範例中,該等待時間問題是由基材表面上的污染物量^ 造成,污染物的量通常與暴露在來自該晶圓匣、或 28 200811916 其他基材處理零組件中逸出之有機污染物中的時間有著依 賴性的關係(time-dependent)。在另一範例中,該等待時間 問題係由在形成一或多個接觸級特徵之前會原生氧化層所 造成,因此影響一批次中不同基材上所形成元件的效能。For example, RF power, process pressure, film thickness, and deposition rate are used to improve process results. Using a measurement chamber (eg, RD cavity to) that can display several different film characteristics such as stress, film composition, thickness, etc., at different stages of the process, relative to configurations that use separate separation measurement chambers to perform such analysis, Helps reduce system cost and reduce system footprint. The cluster 5 is also reliable and reduces the extra time required to transport the substrate between the chambers. Figure 7 shows a cross-sectional side view of a support chamber 211 or measurement chamber 75A that can be used to perform process procedures (e.g., as described below for process 300 = process routines 3〇1A-3〇1B) The substrate properties are analyzed before or after one of the bird steps. The measurement chamber 75A can be mounted at any available location within the cluster device, such as location 114A-114F (Fig. 2) or location 214A-214E (Fig. 3). Generally, the measurement chamber 75A includes a garden 761, an in-vibration assembly 811, and a substrate support. The substrate support 7 54 has a substrate support surface 757. The enclosure (1) typically includes a chamber body 752 cavity to cover 753 and a transparent zone 755. In one aspect, the enclosure 751 includes one or more seals 756 for sealing the process zone "0" so that it can be evacuated to a vacuum 24 200811916 state during a process using a vacuum pump (not shown). In one aspect, the processing zone 770 is evacuated to a pressure of between about 1 Ο 6 Torr to about 700 Torr. The transparent zone 755 can be made of ceramic, glass, or from the measuring component 8 11 3 radiant radiation is made of other materials that can be penetrated. In one embodiment, radiation emitted from the source 813 strikes the surface of the substrate through the transparent region 755 where the radiation is reflected and returned Passing through the transparent zone 755, it is collected by the inductor 8 1 2 contained in the measuring component 811. In one aspect, the measuring chamber 75 5 includes a lifting assembly 720 'which is adapted to support relative to the substrate The piece 754 raises and lowers the substrate rw" so that a robotic arm (not shown) can transfer the substrate between the measurement chamber 750 and other processing chambers within the cluster device. Figure 8 of the I gold support cavity shows a cross-sectional side view of the transfer chamber n〇 containing the support chamber assembly 800, which is included in a process that can be adapted to perform a measurement process, a pre-treatment process, or Process the processing steps within the support chamber 2 i ^. In one embodiment, as shown in Figure 8, the support chamber assembly is configured to reduce the amount of particulates on the surface of the substrate during the preparation/analysis step 302 and/or the post-treatment/analysis step 312. In addition to enclosing the components, the support chamber assembly 800 typically contains all of the components provided in the particulate reductions described above to 700, such as the chamber body and the chamber cover 703, respectively, to transfer the chamber base u 〇B and transfer chamber cover ii〇a replaced. In one embodiment, the substrate support 704 and the lift assembly 72 are disposed within the transfer area 11GC and are mounted (4) the transfer of the wheel chamber m 25 200811916 on the chamber base Π 0 B, thus adjacent one Or a plurality of processing chambers (eg, Figure 8 shows the processing chamber 201). In this configuration, the source of radiation γη is coupled to a branch member 808 mounted on the transfer chamber cover 110A such that radiation emitted from the light source 711 passes through the transparent region 705 and impinges upon placement of the substrate support member The substrate 704 supports the substrate w on the surface 7〇7. The substrate controller "102" can be transferred between the robot blade assembly 113A and the substrate support 704 using the system controller 102 and an actuator (not shown) positioned within the lift assembly 720. The support chamber assembly 800 is typically configured to avoid collisions between the robot arm n3 and any components within the support chamber assembly 800 during the normal transfer operation of the robotic arm 113. Figure 9 is a side view of the support chamber assembly 8 - the scraping surface of the embodiment, which is disposed on a portion of the transfer chamber 11 , so that the substrate W1 can be placed on the robot arm blade assembly n3A of the robot arm 113 The above-described particle reduction step is performed while on. In one embodiment, the source of radiation 7 is mounted on the transfer chamber cover 110A, and the substrate w is placed under the source of radiation 711, thus during the step of transporting the substrate through the cluster device. When the substrate passes through the support chamber assembly 8 , the radiation emitted from the radiation source 711 can strike the surface of the substrate. In another embodiment, during the transfer step, the system controller 1 〇 2 and the robot arm 丨 3 are adapted to use the robot arm blade assembly! The crucible 3 A and the substrate w are positioned and held under the radiation source 7 11 for an expected period of time, so that the microparticle removal process can be performed on the substrate. The first drawing is a cross-sectional side view of the transfer chamber containing the support assembly 80 1 'the support assembly 8 is housed in the support chamber 2 , for performing one of the process procedures at 26 200811916 Before or after the process step, a preparation/analysis step 302 and/or a post-treatment/analysis step 31 is performed to analyze the substrate properties. In one embodiment, the support chamber assembly 801 is an XRD, XPS, stress measurement device, reflectometer, or ellipsometer type device configured to expose the substrate W from source 813 by exposing the substrate W Under the light shot, a part of the signal is received by the sensor 8 1 2 to measure the substrate characteristics. The results received by the support chamber assembly 80 1 are then communicated to the system controller 102' to cause the system controller 1 to adjust one or more process variables within the process program to improve the process results achieved within the system. The support chamber assembly 801 typically includes a substrate support 804 and a lift assembly 820 disposed within the transfer region u〇c and mounted on the transfer chamber base π Ob of the transfer chamber Π0. In an embodiment, the support chamber assembly 810 is disposed adjacent one or more processing chambers (eg, the process chamber illustrates the processing chamber 201). In this configuration, the measurement assembly 811 is The transfer chamber cover 110A is coupled, and the process surface W1 of the substrate W placed on the substrate supporting surface 8〇7 of the substrate support 804 can be observed through the transparent region 705 sealingly coupled to the chamber cover π〇α. . The substrate controller "w" can be transferred between the robot blade blade assembly 113A and the substrate support member 8A4 by the system controller 1A2 and an actuator (not shown) included in the lift assembly 820. The support cavity to assembly 801 is typically designed and configured such that the robotic arm 13 and any components within the support chamber assembly 801 do not collide with each other during normal transfer operations by the robotic arm US. Figure 11 is a cross-sectional side view of an embodiment of a support chamber assembly 8.1 disposed on the transfer chamber 110 so that it can be performed while the substrate w is placed on the robot blade assembly 113A of the robot arm 2008 200811916 113 The above preparation/analysis step 302 and/or post-processing/analysis step 310. In one embodiment, the substrate W is configured such that when the substrate passes through the support chamber assembly 801 during the process of passing the transfer substrate through the cluster device, the sensor 812 can receive from the source 8 1 3 Radiation emitted. In another embodiment, the system controller 102 and the robotic arm 113 are adapted to position and hold the robotic arm blade assembly 113 A and the substrate W, thus supporting the chamber assembly 8 可 1 in the substrate Analysis is performed on one or more areas. In an embodiment not shown, the support chamber assembly 8 and the support chamber assembly 80 1 are integrated into one complete assembly that is mounted at any available location within the cluster of foes, such as position j丨4 A _丨1 4 F (Fig. 2) or position 214A-214E (Fig. 3). In one embodiment, support chamber assembly 800 and/or support chamber assembly 8.1 is integrated into at least one of the load lock chambers 〇6A-l〇6B (Fig. 2 or 3). In an embodiment, the cluster device 100 includes a preparation chamber adapted to perform one or more pre-cleaning steps to prepare the substrate surface for subsequent component processing steps. . For the length of time between these process steps, Z waiting time is a key factor or exposure to the atmosphere or other sources of pollution = time will affect the yield of components produced, reproducibility and overall main road touch T double month b thousand ¥ In the production phase of the bulk component, the pre-cleaning step is usually an important example. The latency problem is caused by the amount of contaminant on the surface of the substrate. The amount of contaminant is usually exposed to the wafer from the wafer, or 28 200811916 Time-dependent time in organic pollutants escaping from other substrate processing components. In another example, the latency problem is caused by the native oxide layer prior to forming one or more contact level features, thereby affecting the performance of the components formed on different substrates in a batch.

為了降低在所形成半導ϋ元件上成長原氧化物所造成的有 害影響’需在執行下一個製程步驟的前一刻除去該原生氧 化層,例如在執行金屬氧化半導體(M〇s)元件閘極氧化物 形成步驟之前’除去原生氧化層。因此執行該等準備步驟 可確保在該群集設備中進行處理的每一個基材起始條件均 相同’而使製程結果更具再現性。因此該準備步驟能有效 消除一批次中第一個基材和最後一個基材之間暴露於大氣 污知中的時間差以及一批次基材和另一批次基材間之差異 所帶來的影響。 在一實施例中,該系統控制器1 02適用於監視及控制 在該群集設備100内進行處理之基材的等待時間。使基材 在弟一處理腔室進行處理之像和在下一個處理腔室内進行 處理之前的等待時間減至最小,有助於控制並最小化暴露 在污染源下對元件效能所產生的影響。此實施例與配合第 2至1 1圖所描述的該等檢視/分析和微粒/污染移除步驟及 其他實施例並用時特別有利,因為使用分析及/或微粒/污 染移除步驟,可進一步令使用預清潔步驟和一或多個基材 處理步驟(例如PVD、CVD、EPI、乾餘刻)之製程内的一或 多個基材處理步驟達到最佳化。在一態樣中,該等分析及/ 或微粒/污染移除步驟可用來進一步最佳化該預清潔製程 29 200811916 酉己0 本發明一癌樣中’該系統控制器1 0 2控制何時開 始或結束一匍扣s 表配方步驟的時間點,以增加系統產率並減 少任何等待時間問題。 本文中所述之預清潔步驟可使用濕式化學製程及/或 電漿修飾製, IP 旺sma modification processes)來準備基材 。可用來執行一或多個準備步驟的例示製程和硬體之 兩個範例係插述如下。In order to reduce the detrimental effects of the growth of the primary oxide on the formed semiconducting germanium element, the native oxide layer needs to be removed immediately before the next process step is performed, for example, in the gate of a metal oxide semiconductor (M〇s) device. The native oxide layer is removed prior to the oxide formation step. Therefore, performing these preparatory steps ensures that each substrate processing condition in the cluster device is the same 'and the process results are more reproducible. Therefore, the preparation step can effectively eliminate the time difference between the exposure of the first substrate and the last substrate in the batch to the atmospheric fouling and the difference between one batch of the substrate and another batch of the substrate. Impact. In one embodiment, the system controller 102 is adapted to monitor and control the latency of the substrate being processed within the cluster device 100. Minimizing the waiting time for the substrate to be processed in the processing chamber and prior to processing in the next processing chamber helps to control and minimize the effects of exposure to the source on the performance of the component. This embodiment is particularly advantageous when used in conjunction with the inspection/analysis and particulate/contamination removal steps described in conjunction with Figures 2 through 1 1 and other embodiments, as the analysis and/or particulate/contamination removal steps can be used further. One or more substrate processing steps within the process of using a pre-cleaning step and one or more substrate processing steps (eg, PVD, CVD, EPI, dry residue) are optimized. In one aspect, the analysis and/or particle/contamination removal steps can be used to further optimize the pre-cleaning process. 29 200811916 酉 0 0 In a cancer of the invention, the system controller 1 0 2 controls when to start Or end the time point of the s-table formulation step to increase system yield and reduce any latency issues. The pre-cleaning steps described herein may use a wet chemical process and/or a plasma modification process to prepare the substrate. Two examples of exemplary processes and hardware that can be used to perform one or more preparatory steps are as follows.

職在在;實二中广程序3°1Α内的準備/分析步驟 . 不出,其使用電漿辅助型預清潔處理步騾The preparation/analysis step in the 3°1Α procedure of the second two-wide program. No, it uses the plasma-assisted pre-cleaning process.

污仇物在^步驟前形成在基材表面上的原生氧化層和其他 /可本物。因為基好I 會顯著影響元件"和葡 生氧化層和其他污染物將 執 良率和製程再現性結果,故可在該基材上 執盯或多個預清潔步驟。 第 1 3 1¾] 山 >»«"p »The venomous material forms a native oxide layer and other/objects on the surface of the substrate before the step. Since the base I will significantly affect the component" and the oxidized oxide layer and other contaminants will result in yield and process reproducibility, it is possible to perform a single trace or multiple pre-cleaning steps on the substrate. 1 3 13⁄4] Mountain >»«"p »

製程步驟的例示製程:庠集設備1〇°(第4圖)内執行預清潔 302B之外,第13 3〇1A。除了加入準備/分析步驟 因此可在基材表上執第5圖所不之製程程序30°類似, 例中,該製程程序=7裝辅助預清罐^ 來檢視和分析基材表“準備’分析步驟3〇2A,其係用 著進行下面討論的預」性或執行微粒移除步,,其後接 程序3〇1A之一態樣^型準備/分析步驟3〇2B。在製程 驟306可選自由下製程二材:程T驟304和基材製程步 斤▲成之族群中,包含氧化物蝕 30 200811916 刻、金屬蝕刻、EPI、RTP、DPN、PVD、CVD(例如,CVD 多晶矽、TEOS等)或其他適合的半導體基材處理步驟。 在一實施例中,該準備/分析步驟302B(之後簡稱預處 理步驟)係在預清潔腔室11〇〇(第12圖)内執行,預清潔腔 室11 00適於執行蝕刻步驟和原位退火步驟。適於除去基材 表面上之原生氧化層和其他污染物的預清潔腔室和製程更An exemplary process for the process steps: In addition to the pre-cleaning 302B in the collection device 1 〇 ° (Fig. 4), the 13th 〇 1A. In addition to the preparation/analysis step, it is possible to perform a process sequence of 30° on the substrate table similar to the process shown in Figure 5. In the example, the process program = 7 loaded auxiliary pre-cleaning tank ^ to view and analyze the substrate table "preparation" Analysis step 3〇2A is performed using the pre-determination or performing particle removal steps discussed below, followed by one of the procedures 3〇1A preparation/analysis steps 3〇2B. In process step 306, the process can be selected as follows: process T step 304 and substrate process step ▲ into the group, including oxide etch 30 200811916 engraving, metal etching, EPI, RTP, DPN, PVD, CVD (for example , CVD polysilicon, TEOS, etc.) or other suitable semiconductor substrate processing steps. In an embodiment, the preparation/analysis step 302B (hereinafter simply referred to as the pre-processing step) is performed in a pre-cleaning chamber 11 (FIG. 12), the pre-cleaning chamber 11 00 being adapted to perform an etching step and an in situ Annealing step. Pre-cleaning chambers and processes suitable for removing native oxides and other contaminants on the surface of the substrate

詳細描述在共同讓渡之2005年2月22號提出申請且標題 為「用於前段製程之原位乾式清潔腔室」的美國專利申請 案第60/5 47,8 3 9號中,在此將其整體以不與所主張之本發 明衝突的程度下併入本文中。 在一實施例中,該預清潔腔室1 1 〇〇可執行電漿辅助化 學餘刻製程’該製程在單一個製程環境下使用基材加熱和 冷卻兩者來執行該製程步驟。第12圖示出預清潔腔室11〇〇 的部分剖面圖。該預清潔腔室n 00係一真空腔室,其含有 蓋組件lioi、溫度受到控制的基材支撐構件11〇2、溫度受 到控制的腔室主體1110以及處理區Π2〇。該處理區112〇 是位於該蓋組件1101和該基材支撐構件11〇2之間的區 域。該基材支撐構件1102通常適於在製程期間支撐及控制 基材溫度。該蓋組件1101包含製程氣體供應盤(未示出) 以及第一和第二電極(元件113〇和1131),該等電極定義出 電漿腔以在該處理1112〇外部產生電聚。該製帛氣體供應 其提供一或多種反應氣 H31並進入該處理區 盤(未示出)與氣體來源116〇連接 體至該電漿腔,透過該第二電極 11 20。該第二電極 1131係设置在該基材上方,並適於在電 31In the U.S. Patent Application Serial No. 60/5 47, No. 8 3, filed on Feb. 22, 2005, which is incorporated herein by reference in its entire entire entire entire entire entire entire disclosure The entire disclosure is incorporated herein by reference to the extent that it does not contradict the claimed invention. In one embodiment, the pre-cleaning chamber 1 1 〇〇 can perform a plasma-assisted chemical remnant process. The process performs both the substrate heating and cooling using a substrate heating process in a single process environment. Fig. 12 is a partial cross-sectional view showing the pre-cleaning chamber 11A. The pre-cleaning chamber n 00 is a vacuum chamber containing a lid assembly lioi, a temperature-controlled substrate supporting member 11 2, a chamber body 1110 whose temperature is controlled, and a processing chamber Π2〇. The processing zone 112A is an area between the cap assembly 1101 and the substrate supporting member 11A2. The substrate support member 1102 is generally adapted to support and control substrate temperature during processing. The lid assembly 1101 includes a process gas supply tray (not shown) and first and second electrodes (elements 113A and 1131) that define a plasma chamber to produce electropolymerization outside of the process 1112. The helium gas supply provides one or more reactant gases H31 and enters the processing zone disk (not shown) and the gas source 116 port connector to the plasma cavity through the second electrode 11 20 . The second electrode 1131 is disposed above the substrate and is adapted to be electrically

200811916 漿辅助乾蝕刻製i 成後加熱該基材。 第12圖示出仓_ 一杏 例不預清潔腔室1100的部分剖面圖 K施例中,兮箱主 〜頂^潔腔室U00包含腔室主體111〇 =二m以及支撐組件ιΐ4〇。該蓋組件" 至主體1110上端 直社 ’而該支撐組件11 40至少部分設置 腔室主體1110內 腔室主體1110包含形成在其側 的狹縫閥開口 1 1 7 朴^ 1,以進出該預清潔腔室11 00内部 狹缝閥開口 11〗1 Μ 係1擇性開啟及關閉,以容許基材抓 械手臂(例如,塗 圖的機械手臂113)進出該腔室主體 内部。 江王工版 見?也例中’該腔至土篮i 1 i ϋ包含开3 内的流體通道^ ’以在流體通道111 2中流通熱 體。該熱傳輪流辦 體可以疋加熱流體或冷卻劑,並可 製程和基材僂% 輪4間控制腔室主體丨丨丨〇的溫度。讀 體1110的溫度熹舌Φ & &疋重要的,以避免不必要的氣體或昌t200811916 The slurry is assisted by dry etching to heat the substrate. Fig. 12 is a partial cross-sectional view showing the cleavage chamber 1100. In the embodiment, the sump main ejector chamber U00 includes the chamber main body 111 〇 = two m and the supporting member ι ΐ 4 〇. The cover assembly " to the upper end of the main body 1110 is directly connected to the body 1110 and the support assembly 11 40 is at least partially disposed. The inner chamber body 1110 of the chamber body 1110 includes a slit valve opening 1 1 7 formed on the side thereof for accessing the The pre-cleaning chamber 11 00 internal slit valve opening 11 1 1 择 is selectively opened and closed to allow the substrate gripping arm (eg, the painted robot arm 113) to enter and exit the interior of the chamber body. Jiang Wanggong version See? Also in the example, the chamber to the soil basket i 1 i ϋ includes a fluid passage ^ in the opening 3 to circulate a heat body in the fluid passage 111 2 . The heat transfer wheel body can heat the fluid or coolant and control the temperature of the chamber body 间 between the process and the substrate 偻% wheel 4. Reading the temperature of the body 1110 Φ && amp important to avoid unnecessary gas or Chang

結在該等腔室壁J !上。例不熱傳輸流體包含水、乙二 此合物。一例示熱傳輸流體也可包含氮氣。 該蓋組件1101通常包含第一電極1130,以在該 件1101内產生含有_或多種反應性物種的電漿,以執 或多種預處理步驟。在一實施例中,該第一電極i丨3〇 撐在頂板1131上並與其電氣隔離。在一實施例中,該 電極1130與一電源m2連結,而該第二電極1131指 據此,當製程氣體從氣體來源116〇透過形成在該頂板 孔洞1133輸送至該處理區n2〇内時,可在該第一 。在 、蓋 該腔 在該 壁上 。譎 取機 1110 在其 輸流 來在 室主 物凝 或其 蓋組 行一 係支 第一 卜地。 内的 電極 32The knot is on the chamber walls J!. For example, the non-heat transfer fluid comprises water, ethylene bis. An example of a heat transfer fluid may also include nitrogen. The lid assembly 1101 typically includes a first electrode 1130 to produce a plasma containing _ or more reactive species within the piece 1101 for performing or a plurality of pretreatment steps. In one embodiment, the first electrode i丨3 is supported on and electrically isolated from the top plate 1131. In one embodiment, the electrode 1130 is coupled to a power source m2, and the second electrode 1131 is configured to transfer process gas from the gas source 116 through the top plate hole 1133 to the processing region n2. Can be at the first. At, cover the cavity on the wall.谲 Pick-up machine 1110 is in the flow of the main body to consolidate or cover the first group. Inner electrode 32

200811916 1130和該第二電極1131之間的體積内產生含有 製程氣體的電漿。 可使用能夠活化該等氣體成為反應性物種並 性物種電漿的電源11 3 2。例如,該電源1 i 3 2可 (RF)、直流(DC)或微波(MW)功率型態的能量至 Π 20。或者,可使用遠端活化來源,例如遠端電寒 來產生反應性物種電漿,其然後將該電漿傳送進 腔室11 00。在一實施例中,可取決於製程氣體和 清潔腔室11 0 0内執行的操作來加熱該第二電極 一實施例中,一加熱元件11 3 5,例如電阻加熱器 第一電極1131或該分配板連結。可利用與該第二 或該分配板連結的熱偶來輔助溫度的調節。 該氣體來源1160通常係用來提供一或多種 預清潔腔室11 〇 〇。所使用的特定氣體取決於將在 腔室11 00内執行的製程。例示氣體可包含,但耳 或多種前驅物、還原劑、催化劑、载氣、淨化氣 氡體或其任何混合或組合。通常,通入該預清潔 的一或多種氣體流入該蓋組件i i 0 i,然後透過該 1131進入該腔室主體1110。取決於製程,可傳送 的氣體至該預清潔腔室U00,並且可在該預清潔 内或在氣體被傳送至該預清潔腔室u〇〇之前混 用真空組件1150透過形成在該内襯ι113内的缝 泵吸通道1115排出存在該腔室主體n〇()内的製 該支撐組件11 40可至少部分設置在該腔室 一或多種 維持反應 傳送射頻 該處理區 .產生器, 入預清潔 將在該預 1131 ° 在 ,可與該 電極1131 氣體至該 該預清潔 限於,一 體、清潔 腔室11 0 0 第二電極 任何數量 腔室1100 合。然後利 隙1114和 程氣體0 主體1110 33 200811916A plasma containing a process gas is generated in a volume between the 200811916 1130 and the second electrode 1131. A power source 11 3 2 capable of activating these gases into a plasma of reactive species and species can be used. For example, the power source 1 i 3 2 can have an energy of (RF), direct current (DC), or microwave (MW) power type to Π 20. Alternatively, a remote activation source, such as a remote electrical cold, can be used to generate a reactive species plasma, which is then transferred into chamber 11 00. In an embodiment, the second electrode may be heated depending on the process gas and the operation performed in the cleaning chamber 110. In an embodiment, a heating element 11 3 5, such as a resistance heater first electrode 1131 or the Distribution board link. A thermocouple coupled to the second or the distribution plate can be utilized to assist in temperature regulation. The gas source 1160 is typically used to provide one or more pre-cleaning chambers 11 〇 . The particular gas used will depend on the process that will be performed within chamber 11 00. Exemplary gases can include, but are, or a variety of precursors, reducing agents, catalysts, carrier gases, purge gas bodies, or any mixture or combination thereof. Typically, the pre-cleaned one or more gases flow into the lid assembly i i 0 i and then enter the chamber body 1110 through the 1131. Depending on the process, the deliverable gas is passed to the pre-cleaning chamber U00, and the vacuum assembly 1150 can be mixed in the pre-cleaning or before the gas is delivered to the pre-cleaning chamber u. The slot pumping channel 1115 is exhausted into the chamber body n〇(). The support assembly 11 40 can be at least partially disposed in the chamber to maintain the reaction to transmit radio frequency to the processing zone. The generator is pre-cleaned. At the pre-1131 °, gas can be confined to the electrode 1131 to the pre-cleaning, integral, clean chamber 1 0 0 second electrode any number of chambers 1100. Then gap 1114 and process gas 0 body 1110 33 200811916

内。該支撐組件114G可包含基材支撐構件以支撐其 材(未在此視圖中示出),以利於在該腔室主體n i 〇内處理 基材。該基材支撐構件η 02可與延伸通過該腔室主體111〇 底表面的舉升機構(未示出)連接β該舉升機構(未示出)可 利用摺箱(bellows,未示出)彈性地密封至該腔室主體 1110 ’以避免真空從該舉升機構周圍洩漏。該舉升機構使 該基材支撑構件1102可在該腔室主體Ul〇内的製程位置 和較低的傳輸位置之間垂直移動。該傳輸位置稍微低於形 成在該腔室主體111 0側壁上的狹缝閥開口 i i U。 在一或多個實施例中,該基材支撐構件丨1〇2擁有一平 坦的圓形表面或實質上平坦的圓形表面,以支撐位於其上 的待處理基材。該基材支撐構件〗i 〇2較佳由鋁構成。該基 材支撐構件1102可在該腔室主體ln〇内垂直移動,因此 可控制基材支撐構件1102和蓋組件1101之間的距離。基 暑構件1 1 0 2可包含貫穿其間而形成的一或多個孔(未 不出)以谷納舉升捎(未示出)。每一個舉升捎通常由陶瓷或 S陶免材料構成’ I且用來控制和傳輸基材。在—或多個 實施例中,可利用靜電或真空夾盤將基材(未示出)固定在 :材支撐構件11 G2上。在—或多個實施例中,可利用機械 式炎雜(未示出)將基材保持在該基材支撐構件ιι〇2上的適 ^置’例如習知晶圓固定環(clamp Hng)。較佳地,利用 靜電失盤來固定該基材。 該支撑組件114〇的溫度係由循環通過喪在該基材支 。構件11 02主體内的-或多個流體通道u 4】中之流體所 34 200811916 控制。較佳地,該流體通道1 1 4 1設置在該基材支撐構件 11 02四周圍,以提供均勻的熱傳輪至該基材支擇構件ιι〇2 的基材容納表面。該流體通道11 4 1可流動熱傳輪流體以加 熱或冷卻該基材支撐構件1102。可使用任何適合的熱傳輸 流體,例如水、氮氣、乙二醇或其混合物。該支撐組件i i 4〇 可進一步包含一嵌入式熱偶計(thermocouple,未示出),以 監控該基材支撐構件11 02之支撐表面的溫度。 操作時’可將該基材支撐構件1102升高至鄰近該蓋組 件11 0 1,以控制被處理的基材之溫度。如此,可利用因加 熱元件1135加熱該蓋組件ιι01或該分配板,而從該蓋組 件1101或該分配板放射出的輻射來加熱該基材。或者,可 利用該等舉升捎將該基材舉離該基材支撐構件U02而靠 近該已加熱的蓋組件1101。 現在將描述在預清潔腔室内執行利用氨氣(Nh3)和三 t*化氮(NF3)氣體混合物來除去基材表面上之原生氧化物 的例示性乾蝕刻製程。該乾蝕刻製程始於將基材,例如半 導體基材,安置在預清潔腔室内。較佳地,在製程期間利 用真空或靜電夾盤將基材保持在該基材支撐構件ιι〇2的 支撐組件11 4 0上。較佳將該腔室主體!丨丨〇保持在5 〇艽至 8〇°C之間的溫度,更佳約65t:。藉由使熱傳輸媒介通過位 於該腔至主體内的流體通道HI]來維持此腔室主體Η}。 之溫度。在製程期間,藉由使熱傳輸媒介或冷卻劑通過形 成在該基材支撐件内的流體通道1112而將基材冷卻至低 於65t,例如介於15。〇和5(rc之間。在另一實施例中, 35 200811916 將基材保持在如至峨之間的溫度。通常,該基材支標 件保持在低於約2 2 °C,以if而ϊ μn C以達到上逑指明的預期基材溫度。 然後將氨氣和三氟化氮氣體通入該預清潔腔室以形成 :潔氣體混合物…文變通入該㈣室中之每一種氣體的 量’並且可配合例如欲移除的氧化層之厚冑、欲清潔之基 材的幾何形狀以及電漿的體積容量和該腔室主體"…的Inside. The support assembly 114G can include a substrate support member to support the material (not shown in this view) to facilitate processing the substrate within the chamber body n i . The substrate supporting member η 02 may be coupled to a lifting mechanism (not shown) extending through the bottom surface of the chamber body 111. The lifting mechanism (not shown) may utilize a bellows (not shown). Elastomerically sealed to the chamber body 1110' to prevent vacuum from leaking around the lift mechanism. The lift mechanism allows the substrate support member 1102 to move vertically between a process position within the chamber body U10 and a lower transfer position. The transfer position is slightly lower than the slit valve opening i i U formed on the side wall of the chamber body 111 0 . In one or more embodiments, the substrate support member 丨1〇2 has a flat circular surface or a substantially flat circular surface to support the substrate to be treated thereon. The substrate supporting member ii 〇 2 is preferably made of aluminum. The substrate supporting member 1102 is vertically movable within the chamber body ln, and thus the distance between the substrate supporting member 1102 and the cap assembly 1101 can be controlled. The base member 1 1 2 2 may include one or more holes (not shown) formed therethrough to lift the crucible (not shown). Each lift is typically constructed of a ceramic or S-material and is used to control and transport the substrate. In one or more embodiments, a substrate (not shown) may be secured to the material support member 11 G2 using an electrostatic or vacuum chuck. In one or more embodiments, a mechanical ash (not shown) may be utilized to hold the substrate on the substrate support member ι 2, such as a conventional wafer huck. Preferably, the substrate is secured by electrostatic loss. The temperature of the support assembly 114 is circulated through the substrate. Fluid in the body of the member 11 02 or in the plurality of fluid channels u 4 ] 34 200811916 Control. Preferably, the fluid passage 1 1 4 1 is disposed around the substrate support member 102 to provide a uniform heat transfer wheel to the substrate receiving surface of the substrate-retaining member ιι 2 . The fluid passage 1141 can flow a heat transfer fluid to heat or cool the substrate support member 1102. Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol or mixtures thereof. The support assembly i i 4 〇 may further include a thermocouple (not shown) to monitor the temperature of the support surface of the substrate support member 102. The substrate support member 1102 can be raised adjacent to the lid assembly 1101 during operation to control the temperature of the substrate being processed. Thus, the substrate can be heated by the radiation emitted from the cover member 1101 or the distribution plate by heating the cover member ι 01 or the distribution plate by the heating member 1135. Alternatively, the substrate can be lifted away from the substrate support member U02 by the lifts to be adjacent to the heated lid assembly 1101. An exemplary dry etching process for removing a native oxide on the surface of a substrate using an ammonia (Nh3) and three t* nitrogen (NF3) gas mixture in a pre-cleaning chamber will now be described. The dry etch process begins by placing a substrate, such as a semiconductor substrate, in a pre-cleaning chamber. Preferably, the substrate is held on the support assembly 110 of the substrate support member ιι 2 using a vacuum or electrostatic chuck during the process. It is better to have the chamber body!丨丨〇 Maintain a temperature between 5 〇艽 and 8 ° ° C, preferably about 65 t:. The chamber body Η is maintained by passing the heat transfer medium through the fluid passage HI] located in the chamber to the body. The temperature. During processing, the substrate is cooled to less than 65t, such as between 15, by passing a heat transfer medium or coolant through fluid passages 1112 formed in the substrate support. Between 〇 and 5 (rc. In another embodiment, 35 200811916 maintains the substrate at a temperature between, for example, 。. Typically, the substrate support is maintained below about 2 2 ° C, if And ϊ μ n C to reach the expected substrate temperature indicated by the upper 。. Then ammonia and nitrogen trifluoride gas are introduced into the pre-cleaning chamber to form: a clean gas mixture... each of the gases in the chamber And the amount of the oxide layer to be removed, the geometry of the substrate to be cleaned, and the volumetric capacity of the plasma and the chamber body "

體積容量等因素來調整氣體混合物。I 一態樣中,添加氣 體以提供氨氣對三氟化氮莫耳比至少1 "的氣體混合物。 在另一態樣中,氣體混合物的莫耳比至少約3比1(氨氣對 三;化氮)。較佳地,該等氣體係以介於5: !(氨氣對三氟 氮)至3 0 · 1的莫耳比通入該乾钱刻腔室。更佳地,氣體 混合物的莫耳比是從約5比1(氨氣對三氟化氮)至約1〇比 卜氣體混合物的莫耳比也可落在約1〇:1(氨氣對三氟化 氮)和約20 : 1之間。 也可添加清潔氣體或載氣至該氣體混合物中。可使用 任何適合的清潔氣體/載氣,例如氬氣、氦氣、氫氣、氮氣 或其混合物。通常,總氣體混合物擁有約0.05%至約20% 體積百分比的氨氣和三氟化氮。剩下的是载氣。在一實施 例中’該清潔氣體或載氣在該等反應性氣體之前先行通入 該腔室主體1110,以穩定該腔室主體内的壓力。該腔室主 體内的操作壓力可以改變。通常,壓力維持在約5〇〇亳托 (mT〇rr)至約30托(Ton*)之間。較佳地,壓力維持在約1 T〇rr 至約1 〇 T0rr之間。更佳地,該腔室主體内的操作壓力係 維持在約3 Torr至約6 Torr之間。 36 200811916 施加介於約5至約600瓦特之間的RF功率至該第一 電極,以點燃該電漿腔内的氣體混合物電漿。較佳地,該 RF功率低於1 〇〇瓦特。更佳地,供應該功率的頻率是非常 低的,例如低於100 kHz。較佳地,頻率範圍從約5〇 kHz 至約90 kHz。 電漿能量將氨氣和三氟化氮氣體分解為反應性物種, 其結合而形成具高度反應性的氣相氟化銨(NH4F)化合物及 /或氟化氫銨(NH4F · HF)。這些分子然後流過該第二電極 11 3 1而與欲清潔的基材表面反應。在一實施例中,先將載 氣通入該預清潔腔室,產生载氣電漿,然後添加反應性氣 體、氨氣和三氟化氮至該電漿。 不希望受限於理論,咸信該蝕刻氣體,NH4F或NH4F . HF會與原生氧化物表面反應而形成六i石夕酸錢 ((NH4)2SiF6)、氨和水等產物。氨和水在製程條件下是蒸 氣,並利用與該腔室連結的真空幫浦從該腔室除去。在該 基材表面上留下(NH4)2SiF6薄膜。 在執行電漿處理步驟之後,該基材表面上形成 (NH4)2SiF6薄膜’將該基材支撐件升高至鄰近該已加熱第 二電極處的退火位置。從該第二電極1131散發出的熱應足 以將該(NHUhSiF6薄膜分解或昇華為揮發性四氣化石夕、氨 和氟化氫等產物。然後利用真空組件i丨5 〇從該腔室除去這 些揮發性產物。通常,使用75 °C或更高的溫度從該基材上 有效昇華並除去該薄膜。較佳地,使用1 00°C或更高的溫 度,例如介於約115。0和約200°C之間的溫度。 37 200811916 將(NHOeiF6薄膜分解為揮發性成分的熱能係利用該 第二電極對流或輻射出。一加熱元件1135直接與該第二電 極1131連結,並啟動以將該第二電極和與其熱接觸的零組 件加熱至介於約75°C至約250°C之間的溫度。在一態樣 中’將該第二電極加熱至約l〇(rc至約l5〇〇c之間的溫度, 例如約120。(:。 一旦已從基材上除去該薄膜,即清潔並排空該腔室。 然後藉由降低該基材至該傳輸位置、鬆脫(de_chueking)該 基材並將該基材傳送通過該狹缝閥開口 1111來將乾淨的 基材從該腔室移出。 如第13圖所示,在執行該準備/分析步驟3〇2b之後, 接下來可利用選自於由下述製程所組成之族群中之一製程 的一或多個基材處理步驟來處理該基材,包括氧化物蝕 刻、金屬蝕刻、EPI、RTP、DPN、PVD、CVD(例如,CVD 多晶矽、TEOS等)或其他適合的半導體基材製程步驟。 潔型箱清潔腔室配置 在另一實施例中,在執行一製程程序中的一或多個基 材元件生產製程步驟之前,先利用濕式清潔型預清潔製程 (之後簡稱濕式清潔製程)來除去在暴露基材表面上的原生 氧化層和其他污染物。第14圖示出一製程程序3〇ib,其 可藉由執行一或多個濕式清潔型預清潔製程步驟來改善元 件良率和製程再現性。 可在基材表面上執行濕式清潔製程處理,例如結合第 38 200811916 13和14圖所述者,以除去原生氧化層、微粒和其他污染 物。第14圖示出可在第15圖之群集設備刚内執行的例 示性製程程序3仙。第14圖與第13圖所示之製程程序 301A相似除了在執行該準備/分析步驟3〇2A之前,先執 行了準備/分析步驟3 〇 9 Γ。A _杳& / , & /哪j〇2C。在一 M %例中,該準備/分析步The gas mixture is adjusted by factors such as volumetric capacity. In one aspect, a gas is added to provide a gas mixture of ammonia to at least 1 " of nitrogen trifluoride. In another aspect, the gas mixture has a molar ratio of at least about 3 to 1 (ammonia gas to three; nitrogen). Preferably, the gas systems are passed into the dry money chamber with a molar ratio of between 5:! (ammonia to trifluoronitrogen) to 3 0 ·1. More preferably, the molar ratio of the gas mixture is from about 5 to 1 (ammonia to nitrogen trifluoride) to about 1 Torr, and the molar ratio may also fall at about 1 :1 (ammonia gas pair) Nitrogen trifluoride) and between about 20:1. A cleaning gas or carrier gas may also be added to the gas mixture. Any suitable cleaning gas/carrier gas may be used, such as argon, helium, hydrogen, nitrogen or mixtures thereof. Typically, the total gas mixture will have from about 0.05% to about 20% by volume ammonia and nitrogen trifluoride. The rest is the carrier gas. In one embodiment, the cleaning gas or carrier gas is passed into the chamber body 1110 prior to the reactive gases to stabilize the pressure within the chamber body. The operating pressure within the chamber body can vary. Typically, the pressure is maintained between about 5 Torr (mT rrrr) and about 30 Torr (Ton*). Preferably, the pressure is maintained between about 1 T rr rr and about 1 〇 T0 rr. More preferably, the operating pressure within the chamber body is maintained between about 3 Torr and about 6 Torr. 36 200811916 RF power between about 5 and about 600 watts is applied to the first electrode to ignite the plasma of the gas mixture within the plasma chamber. Preferably, the RF power is less than 1 watt. More preferably, the frequency at which this power is supplied is very low, for example below 100 kHz. Preferably, the frequency ranges from about 5 kHz to about 90 kHz. The plasma energy decomposes the ammonia gas and the nitrogen trifluoride gas into reactive species which combine to form a highly reactive gas phase ammonium fluoride (NH4F) compound and/or ammonium hydrogen fluoride (NH4F.HF). These molecules then flow through the second electrode 11 31 to react with the surface of the substrate to be cleaned. In one embodiment, a carrier gas is first introduced into the pre-cleaning chamber to produce a carrier gas plasma, and then a reactive gas, ammonia gas, and nitrogen trifluoride are added to the plasma. Without wishing to be bound by theory, it is believed that the etching gas, NH4F or NH4F. HF will react with the surface of the native oxide to form products such as hexahydrate ((NH4)2SiF6), ammonia and water. Ammonia and water are vapors under process conditions and are removed from the chamber by a vacuum pump coupled to the chamber. A (NH4)2SiF6 film was left on the surface of the substrate. After the plasma treatment step is performed, a (NH4)2SiF6 film is formed on the surface of the substrate to raise the substrate support to an annealing position adjacent to the heated second electrode. The heat emanating from the second electrode 1131 should be sufficient to decompose or sublimate the (NHUhSiF6 film into a product such as volatile gas, fossil, ammonia, hydrogen fluoride, etc. The vacuum component i丨5 〇 is then used to remove these volatility from the chamber. Product. Typically, the film is effectively sublimed from the substrate using a temperature of 75 ° C or higher. Preferably, a temperature of 100 ° C or higher, such as between about 10.5 and about 200, is used. The temperature between ° C. 37 200811916 The thermal energy system in which the NHOeiF6 film is decomposed into volatile components is convected or radiated by the second electrode. A heating element 1135 is directly coupled to the second electrode 1131, and is activated to The two electrodes and the components in thermal contact therewith are heated to a temperature between about 75 ° C and about 250 ° C. In one aspect, the second electrode is heated to about 1 〇 (rc to about 15 〇〇). The temperature between c, for example about 120. (:. Once the film has been removed from the substrate, the chamber is cleaned and emptied. Then by lowering the substrate to the transfer position, de_chueking Substrate and transport the substrate through the slit valve opening 111 1 to remove the clean substrate from the chamber. As shown in Fig. 13, after performing the preparation/analysis step 3〇2b, one of the groups selected from the following processes may be utilized. One or more substrate processing steps of the process to process the substrate, including oxide etching, metal etching, EPI, RTP, DPN, PVD, CVD (eg, CVD polysilicon, TEOS, etc.) or other suitable semiconductor substrate processes Step Cleaner Cleaning Chamber Configuration In another embodiment, a wet cleaning type pre-cleaning process (hereinafter referred to as wet cleaning) is performed prior to performing one or more substrate component production process steps in a process sequence Process) to remove native oxide layers and other contaminants on the exposed substrate surface. Figure 14 shows a process sequence 3〇ib that can be improved by performing one or more wet cleaning pre-cleaning process steps Component yield and process reproducibility. Wet cleaning process can be performed on the surface of the substrate, for example as described in conjunction with Figures 38 200811916 13 and 14 to remove native oxides, particles and other contaminants. Out The exemplary process sequence executed immediately within the cluster device of Fig. 15 is similar to the process program 301A shown in Fig. 13 except that the preparation/preparation is performed before the preparation/analysis step 3〇2A is performed/ Analysis step 3 〇9 Γ. A _ 杳 & / , & / where j 〇 2C. In a M % example, the preparation / analysis step

驟302A包含基材準備/分析步驟(例如,第5圖之準備/分 析步驟3 0 2)或上述微粒移除步驟。在一實施例中,該準備 /分析步驟3 02 C係如下述之濕式清潔型基材準備步驟。在 該製程程序301B的一實施例中,在執行該準備/分析步驟 3 02C之後’該等基材繼續進行至基材處理步驟3〇4和基材 處理步驟306,其可選自如列下半導體元件形成步驟所組 成之族群中,包括氧化物蝕刻、金屬蝕刻、EPI、rtp、DPN、 PVD、CVD(例如,BLOk、CVD多晶矽、TEOS等)或其他 適合的半導體基材處理步驟。 第1 5圖係群集設備1 〇 1 一實施例的平面圖,其含有處 理區120、連結模組350和前端環境104。該處理區120 通常含有如參考第2圖所述之零組件,其一般包含一或多 個處理腔室201-2 04、一或多個支持腔室211(示出兩個)、 傳輸腔室11 0和負载鎖定室1 06A-B。該等負載鎖定室 1 06A-B係與該傳輸腔室11〇及連結模組3 50連通。應注意 到該支持腔室2 11可設置在該群集設備的其他區域内,例 如位置114A-F、位置214A-D和該連結模組350内的位置 354A-B 〇 該連結模組350通常擁有一傳輸區域351,其連接該 39 200811916Step 302A includes a substrate preparation/analysis step (e.g., preparation/analysis step 320 of Figure 5) or the particulate removal step described above. In one embodiment, the preparation/analysis step 302 C is a wet cleaning type substrate preparation step as described below. In an embodiment of the process 301B, after performing the preparation/analysis step 302C, the substrates continue to the substrate processing step 〇4 and the substrate processing step 306, which may be selected from the group consisting of semiconductors The group of element formation steps includes oxide etching, metal etching, EPI, rtp, DPN, PVD, CVD (eg, BLOk, CVD polysilicon, TEOS, etc.) or other suitable semiconductor substrate processing steps. Fig. 15 is a plan view of a cluster device 1 〇 1 embodiment, which includes a processing area 120, a connection module 350, and a front end environment 104. The processing zone 120 typically contains components as described with reference to Figure 2, which typically include one or more processing chambers 201-2 04, one or more support chambers 211 (two shown), a transfer chamber 11 0 and load lock chamber 1 06A-B. The load lock chambers 106A-B are in communication with the transfer chamber 11A and the connection module 350. It should be noted that the support chamber 2 11 can be disposed in other areas of the cluster device, such as locations 114A-F, locations 214A-D, and locations 354A-B within the linkage module 350. The linkage module 350 typically has a transmission area 351 that connects the 39 200811916

前端環境1 04和該處理區1 20。該連結模組3 5 0 —般含有 一連結機械手臂3 3 0和一或多個濕式清潔腔室3 60。在一 實施例中’該連結機械手臂3 3 0擁有滑動組件3 3 1,其適 於使該連結機械手臂33〇可在該等負载鎖定室106Α_Β、該 等濕式清潔腔室36 0和該前端環境104内的支撐台1〇4 a 之間傳送基材。配置在該連結模組3 50之傳輸區域35 χ内 的連結機械手臂3 3 0通常能夠線性、旋轉以及垂直移動, 以在該等負载鎖定室106和裝設在該前端環境1〇4内之支 撐台1 04A間傳輸基材。該前端環境1〇4通常用來將基材 從位於複數個晶圓盒1 〇 5中之晶圓匣(未示出)透過一常壓 清潔環境/封圍而傳輸至某些預期位置,例如支撐台1 04 A。 該濕式清潔腔室3 60通常是適於利用——或多種溼式化 學處理步驟來除去在暴露基材表面上之原氧化層和其他污 染物的腔室。該濕式清潔腔室3 60可以是從應用材料公司 取得之Emersion™腔室或TEMPEST™濕式清潔腔室。一例 系濕式清潔腔室3 6 0的範例進一步描述於共同讓渡之2 〇 〇 j 年6月25號提出申請之美國專利申請案第〇9/891,846號 以及共同讓渡之2002年4月11號提出申請之美國專利申 讀案第10/121,635號中,兩者皆在此藉由引用其整體的方 式併入本文中。 在製程期間’該濕式清潔腔室3 6 0通常配置用以清潔 基材表面。在一態樣中,該濕式清潔腔室適於執行一或多 個製程步驟,使暴露在基材表面上的化合物的末端具有一 官能基。連結及/或形成在基材表面上的官能基包含羥基 40 200811916 (OH)、烷氧基(OR,其中R=甲基、乙基、丙基或丁基)、 鹵氧基(OX’其中χ=敗、氯、溴或埃)、鹵化物(氣、氯、 溴或碘)、氧自由基和氨基(NR或NR2,其中R=氣、甲基、 乙基、丙基或丁基)。該濕式清潔製程可將基材表面暴露在 反應劑中,例如氨、二硼烷(Β#6)、矽烷(SiH4)、二石夕统 (SiH6)、水、氟化氫(HF)、氯化氫(HC1)、氧氣、臭氧、過 氧化氫、氫氣、氫原子、氮原子、氧原子、酒精、胺(amines)、 其電漿、其衍生物或其組合物。該等官能基可爲隨後 或原子層沉積(ALD)步驟中所使用的化學前驅物提供一基 底,以連結在基材表面上。在一實施例中,該濕式清潔製 程可將基材表面暴露在一反應劑中持續約1秒至約2分鐘 之間。濕式清潔製程也可包含將基材表面暴露在RCA溶液The front end environment 104 and the processing area 1 20. The connection module 350 typically includes a coupling robot 3300 and one or more wet cleaning chambers 306. In an embodiment, the connecting robot arm 330 has a sliding assembly 323, which is adapted to enable the connecting robot arm 33 to be in the load lock chamber 106Α, the wet cleaning chambers 36 and the The substrate is transferred between the support stations 1 〇 4 a in the front end environment 104. The connecting robot arm 330 disposed in the transmission area 35 of the connecting module 350 is generally linear, rotatable, and vertically movable to be installed in the load lock chamber 106 and in the front end environment 1〇4. Transfer the substrate between the support table 04A. The front end environment 1〇4 is typically used to transfer substrates from a wafer cassette (not shown) located in a plurality of wafer cassettes 1 to 5 to a desired location through a normal pressure cleaning environment/enclosure, such as Support table 1 04 A. The wet cleaning chamber 360 is typically a chamber adapted to utilize - or a plurality of wet chemical processing steps to remove the original oxide layer and other contaminants on the surface of the exposed substrate. The wet cleaning chamber 360 can be an EmersionTM chamber or a TEMPESTTM wet cleaning chamber available from Applied Materials. An example of a wet cleaning chamber 306 is further described in U.S. Patent Application Serial No. 9/891,846, filed on Jun. 25, Jun. U.S. Patent Application Serial No. 10/121,635, the entire disclosure of which is incorporated herein by reference. The wet cleaning chamber 306 is typically configured to clean the surface of the substrate during the process. In one aspect, the wet cleaning chamber is adapted to perform one or more processing steps to impart a functional group to the end of the compound exposed on the surface of the substrate. The functional groups linked and/or formed on the surface of the substrate comprise a hydroxyl group 40 200811916 (OH), an alkoxy group (OR, wherein R = methyl, ethyl, propyl or butyl), a halogenoxy group (OX' χ = defeat, chlorine, bromine or angstrom), halide (gas, chlorine, bromine or iodine), oxygen radicals and amino groups (NR or NR2, where R = gas, methyl, ethyl, propyl or butyl) . The wet cleaning process exposes the surface of the substrate to a reactant such as ammonia, diborane (Β#6), decane (SiH4), diabase (SiH6), water, hydrogen fluoride (HF), hydrogen chloride ( HC1), oxygen, ozone, hydrogen peroxide, hydrogen, hydrogen atoms, nitrogen atoms, oxygen atoms, alcohols, amines, plasmas thereof, derivatives thereof, or combinations thereof. The functional groups may provide a substrate for the chemical precursor used in the subsequent or atomic layer deposition (ALD) step to bond to the surface of the substrate. In one embodiment, the wet cleaning process exposes the surface of the substrate to a reactant for between about 1 second and about 2 minutes. The wet cleaning process can also include exposing the surface of the substrate to an RCA solution

(SCI/SC2)、氟化氫最終溶液(HF-last solution)、來自 WVG(SCI/SC2), HF-last solution, from WVG

或IS S G系統的水蒸氣、過氧化物溶液、酸性溶液、驗性 谷液、其電漿、其衍生物或其組合物中。可用的濕式清潔 製程描述在共同讓渡之美國專利第6,858,5 47號以及共案 審查中之2002年11月21號提出申請且標題為「強化高介 電常數材料之成核的表面預處理」並公開為 US 2003 023 2501號之美國專利申請案第10/3〇2,752號中,兩 者皆在此介由引用其整體的方式併入本文中。 在濕式清潔製程的一範例中,在將基材暴露至用以形 成厚度約1 0埃或更低(例如約5埃至約7埃)之化學氧化層 的第一製程步驟之前,先除去原生氧化層。可用氟化氫最 終溶液來除去原生氧化物。該濕式清潔製程可在從應用材 41 200811916 料公司取得的TEMPEST™濕式清潔系統内執行。在另一範 例中,將基材暴露在得自WVG系統的水蒸氣中約1 $秒。 習知氟化氫最終處理步驟使用通常含有低於約1 %氧化氫 的水溶液來做為該製程程序的最後步驟,以在暴露出的石夕 表面上形成一鈍化層(passivation layer)。可用該氟化氫最 終製程可罪地形成南品質閘極氧化層。 如第1 4圖所示,在執行該準備/分析步驟3 〇2 a之後, 接下來可用選自於由下列製程所組成之族群中的_或多個 基材製程步驟來處理基材,包含氧化物蝕刻、金屬蝕刻、 EPI、RTP、DPN、PVD、CVD(例如,CVD 多晶矽、TE〇s 等)或其他適合的半導體基材處理步驟。 使用IJV清潔製锃來改基事兹 隨著半導體元件尺寸縮小,例如45奈米節點或更小, 原生氧化物的成長及/或暴露在有機污染下所引起的等待 時間效應變成更嚴重的問題。為了減少原生氧化物成長或 >可染對形成的半導體元件造成的有害影響,可在執行沉積 步驟之前,先執行一或多個清潔製程,以確保基材表面處 於預期潔淨程度下。在該群集設備的一實施例中,一或多 個處理腔室2〇1-204或支持腔室211含有一輻射來源:二 適於傳送一或多種波長的uv光以清潔基材表面,以減少 等待時間效應,從而準備好基材以進行後續沉積製程,例 如CVD、PVD或ALD類型製程。在此配置中,在該群集 設備内於基材表面上執行的製程步驟程序包含利用1;¥能 42 200811916 f源來清潔基材表面的步驟(此後稱為UV清潔製程)。在 沉積步驟之前加入UV清潔製程,特別是當在執行蠢晶(Ερι) 層沉積步騍前一刻方執行UV清潔製程是特別有用的,因 為所沉積的EPI層之成核作用和所形成的EPI層内應力對 於該表面在製程開始時的狀態是很敏感的。在一實施例 基材處理私序包含一準備步驟,例如濕式清潔型基 材準備步驟(第14圖的準備/分析步驟3〇2c)或預清潔處理 步驟(第13圖的準備/分析步驟3〇2B)以及uv清潔製程步 驟,以改善基材表面的潔淨度,並且在執行例如Ερι、 CVD、PVD或ald沉積製程等基材製造步驟之前能更再現 地控制基材表面狀恶。該等準備步驟,例如濕式清潔型基 材準備步驟或預清潔製程步驟,因此可用來除去基材表面 上大部分的污染或原生氧化層,同時該UV清潔製程係用 來在進行隨後的基材製程步驟之前,爲基材表面做最後的 準備及/或純化作用。 在一實施例中,相對於其他習知清潔技術而言,使用 該UV清潔製程可降低執行清潔及/或鈍化製程的溫度,以 減少熱預算。例如,在使用預期UV輻射量時,製程期間 之基材溫度可低於750°C,並且通常低於700。(:。在一態樣 中,該UV辅助製程係在約50(TC至約700°C之間的溫度下 執行。習知含矽基材清潔和純化步驟,通常在執行EPI冗 積步驟的前一刻方使用,並且通常在介於約750 至約 1000°C之間的溫度執行。在一態樣中,藉由在UV輕射的 存在下於含有氫氣的周圍環境中處理基材,可能降低執行 43 200811916 該清潔及鈍化製护 減低温度及所需_溫度或清潔表面所需的時間,或同時 潔製程以準備^ 者。在一實施例中,執行該^清 長的含矽薄膜。鈍化的含矽基材表面’以沉積磊晶成 參見第6圖,右 適於用來在基材“ _ ’該微粒減少腔冑700更 & — 上執行清潔製程。在一態樣中,該微 粒減少腔室7〇〇包合一 ^ w .^ y. 封圍701、一輻射來源711、一基材 支撐件704、一加埶士从1 w . … 22、一真空幫浦73 6和一氣體輸 运來源735,該氣髀銓 ^ 孔體輪达來源735適於輸送含有例如氫氣 等還原氣體之清潔氣體至 I忑處理區71〇。操作時,在該基 材表面清潔和鈍化盤@ # 純化裊耘期間’利用該真空幫肖736將該處 理區川内的壓力控制在約至約8〇Τ〇ΓΓ之間。該等加 熱疋件722和系統控制_ 1G2用來在製程期間將基材溫度 控制在約55CTC至約75(rc之間’並且通常介於約5抓至 約7〇〇。(:之間。利用該系統控制器1〇2和輻射來源川將 uv辖射的功率密度控制在約1 mW/em2至約25淸^2 範圍内且具有介於肖120奈米至約43〇奈米之間的一或多 種波長。 在耗例中’藉由將該基材暴露在含有氮氣的清潔氣 體中,同時暴露在波長約180奈米或更短的輻射下來完成 該UV清潔製程。在uv清潔製程期間,將氣氣流速維持 在約25 Sim至約50 slm之間,同時基材表面溫度係在 t至65(TC範圍内持續約i分鐘至約5分鐘。處理區内的 壓力可在約o.1T〇rr至約100Torr範圍内,通常壓力介在 44 200811916 約5 Torr至約30 Torr範圍内。輸送至基材表面的UV輻 射之功率密度可介在約2 mW/cm2至約25 mW/cm2範圍内。 在一實施例中,如第1 6圖所示,係在執行該預清潔製 程步驟302B之後,並且在執行該製程步驟3〇4之前執行 UV清潔製程302D。第16圖示出的該製程程序301C類似 於第13圖所示的製程程序,除了加入傳輸步驟A3,和UV 清潔製程302D以執行UV清潔製程302D之外。應注意第 1 6圖並不意欲限制該UV清潔製程在一製程程序内的執行 順序,因為該清潔製程可在任何一個製程步驟之前或之後 執行,而不會背離本發明之基本範圍。一般來說,傾向於 在執行該UV清潔製程302D之後,將該基材傳送至或保持 在真空或惰性環境内,以避免或最小化基材表面與氧氣或 其他污染物的交互作用,以防止執行下一個基材處理步驟 之前在該已淨化的表面上成長出原生氧化物或發生損害。 因此,通常傾向於在擁有低氧氣分壓或其它污染物的群集 設備内執行該UV清潔製程。 在另一實施例中,UV輻射來源、基材加熱器和清潔 氣體來源係連接或包含在該群集設備内的一或多個處理腔 至内(例如,處理腔室2〇1_2〇4),因此可在該等腔室中執行 該υν Θ你製程。在此配置中,可在執行沉積製程前,先 在地理腔至内執行該UV清潔製程,因此不需要一獨立 的傳輸步驟AVI J, it (第16圖)。在一實&例中,在第12圖所 2的預π潔腔室11〇〇内添加uv輻射來源(未示出),以改 善在該基材表面上執行的預清潔製程之製程結果。 45 200811916 在一實施例中,在執行該UV清潔製程後,於基材上 執行一或多個測量步驟(例如,第1 3 -1 4圖的準備/分析步 驟3 02A),以分析基材各區的狀態,使得該系統控制器可 做出修正動作以改善該UV清潔製程在後續基材上的效力 及/或改善一或多個後續製程達到的製程結果。一般來說, 該UV清潔製程變量可包含UV清潔製程時間、輸送至基 材表面的UV功率強度及/或基材溫度。Or water vapor, peroxide solution, acidic solution, laboratory solution, its plasma, its derivatives or combinations thereof in the IS S G system. The available wet cleaning process is described in U.S. Patent No. 6,858,5,47, the commonly assigned application, and the No. 21, 2002, filed on November 21, 2002, entitled "Enhanced Surface Finishing of Nucleation of High Dielectric Constant Materials" And U.S. Patent Application Serial No. 10/3, 2,752, the entire disclosure of which is incorporated herein by reference in its entirety in its entirety in its entirety in its entirety. In an example of a wet cleaning process, prior to exposing the substrate to a first process step to form a chemical oxide layer having a thickness of about 10 angstroms or less (e.g., about 5 angstroms to about 7 angstroms), Primary oxide layer. The hydrogen fluoride final solution can be used to remove the native oxide. The wet cleaning process can be performed in a TEMPESTTM wet cleaning system available from Applied Materials 41 200811916. In another example, the substrate is exposed to water vapor from a WVG system for about 1 second. Conventional hydrogen fluoride final processing steps use an aqueous solution typically containing less than about 1% hydrogen peroxide as the final step in the process to form a passivation layer on the exposed surface. The southern quality gate oxide layer can be formed altogether by the final process of hydrogen fluoride. As shown in FIG. 14 , after performing the preparation/analysis step 3 〇 2 a , the substrate may be processed by _ or a plurality of substrate processing steps selected from the group consisting of the following processes, including Oxide etching, metal etching, EPI, RTP, DPN, PVD, CVD (eg, CVD polysilicon, TE〇s, etc.) or other suitable semiconductor substrate processing steps. The use of IJV cleaning system to change the basics as semiconductor components shrink in size, such as 45 nm nodes or smaller, the growth of primary oxides and / or exposure to organic pollution caused by the latency effect becomes a more serious problem . To reduce the deleterious effects of native oxide growth or dyeing on the formed semiconductor components, one or more cleaning processes may be performed prior to performing the deposition step to ensure that the substrate surface is at the desired cleanliness level. In an embodiment of the cluster apparatus, the one or more processing chambers 2 - 1 - 24 or the support chamber 211 contain a source of radiation: two uv lights adapted to deliver one or more wavelengths to clean the surface of the substrate to The latency effect is reduced to prepare the substrate for subsequent deposition processes, such as CVD, PVD or ALD type processes. In this configuration, the process step procedure performed on the surface of the substrate within the cluster device includes the step of cleaning the surface of the substrate (hereinafter referred to as the UV cleaning process) using a source of 1 2008. It is particularly useful to add a UV cleaning process prior to the deposition step, particularly when performing a UV cleaning process just prior to performing a staggered deposition step because of the nucleation of the deposited EPI layer and the resulting EPI. The in-layer stress is very sensitive to the state of the surface at the beginning of the process. In one embodiment, the substrate processing private sequence comprises a preparation step, such as a wet cleaning type substrate preparation step (preparation/analysis step 3〇2c of FIG. 14) or a pre-cleaning treatment step (preparation/analysis step of FIG. 13) 3〇2B) and the uv cleaning process step to improve the cleanliness of the substrate surface, and to more reproducibly control the surface of the substrate before performing substrate manufacturing steps such as Ερι, CVD, PVD or ald deposition processes. The preparatory steps, such as a wet cleaning substrate preparation step or a pre-cleaning process step, can therefore be used to remove most of the contaminated or native oxide layer on the surface of the substrate while the UV cleaning process is used to carry out the subsequent basis. Final preparation and/or purification of the substrate surface prior to the material processing step. In one embodiment, the use of the UV cleaning process reduces the temperature at which the cleaning and/or passivation process is performed to reduce the thermal budget relative to other conventional cleaning techniques. For example, when using the desired amount of UV radiation, the substrate temperature during the process can be below 750 °C, and typically below 700. (: In one aspect, the UV-assisted process is performed at a temperature between about TC and about 700 ° C. Conventional ruthenium-containing substrate cleaning and purification steps, typically performed during the EPI redundancy step Used at the moment before, and usually performed at a temperature between about 750 and about 1000 ° C. In one aspect, by treating the substrate in a surrounding environment containing hydrogen in the presence of UV light, it is possible Reducing the implementation of the cleaning and passivation protection of the temperature and the required temperature or the time required to clean the surface, or at the same time, the cleaning process is prepared. In one embodiment, the long-lasting ruthenium-containing film is performed. The surface of the passivated ruthenium-containing substrate is deposited by epitaxy. Referring to Figure 6, the right is suitable for performing a cleaning process on the substrate " _ ' the particle reduction chamber 700 & - in one aspect, The particle reduction chamber 7 includes a package 701, a radiation source 711, a substrate support member 704, a pair of gentlemen from 1 w. ... 22, and a vacuum pump 73 6 And a gas transport source 735, the gas enthalpy body source 735 is adapted to transport, for example, hydrogen The cleaning gas of the reducing gas is supplied to the I treatment zone 71. During operation, during the cleaning and passivation of the substrate surface of the substrate, the vacuum is used to control the pressure in the treatment zone to about Between 8 。. The heating element 722 and system control _ 1G2 are used to control the substrate temperature during the process from about 55 CTC to about 75 (between rc ' and usually between about 5 and about 7 :. (: Between. Using the system controller 1〇2 and the source of the radiation, the power density of the uv is controlled to be in the range of about 1 mW/em2 to about 25 淸^2 and has a distance of 120 nm. One to more wavelengths between about 43 nanometers. In the case of consumption, the substrate is exposed to a nitrogen-containing cleaning gas while being exposed to radiation having a wavelength of about 180 nm or less. UV cleaning process. During the uv cleaning process, the gas flow rate is maintained between about 25 Sim and about 50 slm, while the substrate surface temperature is between t and 65 (TC range for about i minutes to about 5 minutes. The pressure in the zone can range from about o.1T rr to about 100 Torr, usually at 44 2008. 11916 is in the range of from about 5 Torr to about 30 Torr. The power density of the UV radiation delivered to the surface of the substrate can range from about 2 mW/cm2 to about 25 mW/cm2. In one embodiment, as in Figure 16. The process is performed after the pre-cleaning process step 302B is performed, and the UV cleaning process 302D is performed before the process step 3〇4 is performed. The process program 301C shown in FIG. 16 is similar to the process program shown in FIG. In addition to the transfer step A3, and the UV cleaning process 302D to perform the UV cleaning process 302D. It should be noted that the Figure 16 is not intended to limit the order of execution of the UV cleaning process within a process sequence, as the cleaning process can be performed before or after any of the process steps without departing from the basic scope of the invention. In general, it is preferred to transfer or maintain the substrate in a vacuum or inert environment after performing the UV cleaning process 302D to avoid or minimize interaction of the substrate surface with oxygen or other contaminants to prevent The native oxide is grown or damaged on the purified surface prior to performing the next substrate processing step. Therefore, it is generally preferred to perform the UV cleaning process in a cluster device having a low oxygen partial pressure or other contaminants. In another embodiment, the source of UV radiation, the substrate heater, and the source of cleaning gas are connected or contained within one or more processing chambers within the cluster device (eg, processing chamber 2〇1_2〇4), Therefore, the process can be performed in the chambers. In this configuration, the UV cleaning process can be performed within the geographic cavity before performing the deposition process, thus eliminating the need for a separate transfer step AVI J, it (Figure 16). In a real & example, a source of uv radiation (not shown) is added to the pre-cleaning chamber 11 of Figure 12 to improve the process results of the pre-cleaning process performed on the surface of the substrate. . 45 200811916 In one embodiment, after performing the UV cleaning process, one or more measurement steps are performed on the substrate (eg, preparation/analysis step 312A of Figure 134) to analyze the substrate The status of each zone allows the system controller to make corrective actions to improve the effectiveness of the UV cleaning process on subsequent substrates and/or to improve process results achieved by one or more subsequent processes. Generally, the UV cleaning process variable can include a UV cleaning process time, a UV power intensity delivered to the substrate surface, and/or a substrate temperature.

在另一實施例中,在執行該UV清潔製程後,執行一 或多個測量步驟(例如,第1 3 -1 4圖的準備/分析步驟 3 02 A),而後在該基材表面上執行一或多個後續基材處理 步驟(例如,PVD、CVD或ALD沉積步驟)。在此例中,可 用該等測量步驟來快速分析該基材表面上一區域的狀態, 以容許該系統控制器對該製程程序内一或多個製程步驟中 的一或多個製程變量做調整,以改善達到的製程結果。一 般來說,該等製程變量可包含任何UV清潔製程變量(例 如,UV清潔製程時間、UV來源功率)或基材處理製程變 量(例如,RF功率、製程壓力、氣體流速、膜厚、沉積速 率、基材溫度)。在一範例中,使用一 XRD裝置來測量並 反讀沉積在第一基材表面上的薄膜應力。因此,若所測得 的應力超出預期範圍,該系統控制器可以進行例如調整uv 清潔製程的時間以改善基材表面潔淨度並降低形成在第二 基材上之沉積層的應力。當沉積膜特性(例如,應力/張力) 對於執行例如蠢晶沉積矽層等沉積製程前的基材表面狀態 非常敏感時,此製程是非常重要的。 46 200811916 結 整 作 製 用 表 因 驟 此 該 在 來 16 徵 要 免 問 程 從 將測塁步驟整合在該群集設備内容許在製程程序的 或多個製程步驟後,快速地反饋預期或非預期的製程 果’以辅助減少基材報廢和元件變異性。群集設備内之 合的測量步驟也可藉由免除浪費時間在該群集設備内操 測試曰曰圓或品管晶圓(d u m m y w a f e r)以預評估一或多個 程步驟的需要,而改善該群集設備的生產力。此外,使 位於受控制的群集設備之真空或惰性環境區(例如,傳輪 域110)内’或與其連通的一或多個測量腔室可避免基材 面與氧氣或其他污染物的交互作用及/或使其減至最小, 而相對於需要在該受控制真空或惰性環境外執行測量步 的製程程序而言,能提供更快速且真實的測量結果。因 通常傾向於將該群集設備配置成能使該(等)測量腔室與 群集設備連接,使得此往返該等測量腔室的傳輸步驟能 擁有低的氧氣分壓或低污染物的環境中執行。In another embodiment, after performing the UV cleaning process, one or more measurement steps (eg, preparation/analysis step 312 A of the first 1-3 graph) are performed, and then performed on the surface of the substrate One or more subsequent substrate processing steps (eg, PVD, CVD, or ALD deposition steps). In this example, the measurement steps can be used to quickly analyze the state of an area on the surface of the substrate to allow the system controller to adjust one or more process variables in one or more process steps within the process. To improve the process results achieved. In general, the process variables can include any UV cleaning process variables (eg, UV cleaning process time, UV source power) or substrate processing process variables (eg, RF power, process pressure, gas flow rate, film thickness, deposition rate). , substrate temperature). In one example, an XRD device is used to measure and read back the film stress deposited on the surface of the first substrate. Therefore, if the measured stress exceeds the expected range, the system controller can perform, for example, adjusting the time of the uv cleaning process to improve the surface cleanliness of the substrate and reduce the stress of the deposited layer formed on the second substrate. This process is very important when the properties of the deposited film (e.g., stress/tension) are very sensitive to the surface state of the substrate prior to performing a deposition process such as a doped layer deposition. 46 200811916 The composition of the system is due to the fact that the integration of the test steps into the cluster device allows for quick feedback of expected or unexpected after the process or process steps. Process Fruit' to help reduce substrate scrap and component variability. The measurement steps within the cluster device can also improve the cluster device by eliminating the wasted time of testing the round or quality wafers within the cluster device to pre-evaluate the need for one or more steps. Productivity. In addition, one or more measurement chambers located within or in communication with a vacuum or inert environmental zone (eg, transfer domain 110) of the controlled cluster device may avoid interaction of the substrate surface with oxygen or other contaminants And/or minimize it, providing faster and more realistic measurements relative to process procedures that require measurement steps to be performed outside of the controlled vacuum or inert environment. Since it is generally preferred to configure the cluster device to enable the measurement chamber to be connected to the cluster device such that the transfer steps to and from the measurement chamber can be performed in an environment with low oxygen partial pressure or low contaminants .

υγ„ H 在一實施例中,一基材處理腔室含有一 U V輻射 源’其適於在基材處理步驟期間(例如,第13、14和 圖的基材處理步驟3〇4-3〇6)降低基材製程溫度。隨著特 尺寸降低至45奈米和更低,使得降低基材製程溫度的需 變侍日益重要。需要降低製程溫度,是為了最小化或避 材料在所形成的元件各層間互相擴散所造成的元件良率 題。基材準備步驟和基材製造步驟兩者均需要較低的製 降低基材製程溫度可改善所形成元件的熱預算, 47 200811916 而改善所形成元件的元件良率和可使用壽命。因此傾向於 使用在元件製造製程中使用具有較低製程溫度的一或多個 製程步驟。 為了實現此任務,一基材處理腔室(之後簡稱處理腔室) 在執行該元件製造製程的步驟期間將基材的一或多個表面 暴露在UV輻射下。在使用時,該UV輻射來源適於傳送 足夠的能量至基材表面,以減少使沉積或蝕刻製程發生在 基材表面上所需要的熱能量。一般來說,咸信以介於約 至約430奈米(nm)之間的波長在介於約5至約 mWatts/cm2的功率密度下輪送11¥輻射至基材表面的輻射 來源可用來輔助大部分的習知CVD或ALD製程。應注意Υγ„ H In one embodiment, a substrate processing chamber contains a source of UV radiation that is suitable for use during substrate processing steps (eg, substrate processing steps 3, 4-3 of Figures 13, 14 and Figures). 6) Reduce the substrate process temperature. As the special size is reduced to 45 nm and lower, the need to reduce the substrate process temperature is becoming more and more important. The process temperature needs to be reduced in order to minimize or avoid the formation of materials. Component yield problems caused by interdiffusion between the various layers of the component. Both the substrate preparation step and the substrate fabrication step require a lower system to lower the substrate process temperature to improve the thermal budget of the formed component, 47 200811916 and improve Component yield and useful life of components. Therefore, it is preferred to use one or more process steps with lower process temperatures in the component manufacturing process. To accomplish this task, a substrate processing chamber (hereinafter referred to as the processing chamber) Exposing one or more surfaces of the substrate to UV radiation during the step of performing the component fabrication process. In use, the source of UV radiation is adapted to deliver sufficient energy to the surface of the substrate To reduce the amount of thermal energy required to cause the deposition or etching process to occur on the surface of the substrate. Typically, the wavelength is between about 5 and about mWatts at a wavelength between about 430 nanometers (nm) or less. The source of radiation from the power density of cm2 to 11 ¥ radiation to the surface of the substrate can be used to assist most of the conventional CVD or ALD processes.

積處理腔室包含不繡鋼外罩 1600的各種功能元件。一 1605以及下石英腔室1624 實施例中,如第17圖所示,該沉 、罩結構1601,其罩住該處理腔室 -石英腔室1 63 0包含上石英腔室 其中上石英腔室1605含有該 48The integrated processing chamber contains various functional components of the stainless steel housing 1600. In a 1605 and lower quartz chamber 1624 embodiment, as shown in Fig. 17, the sinking, cover structure 1601 covers the processing chamber - the quartz chamber 163 includes an upper quartz chamber in which the upper quartz chamber 1605 contains the 48

200811916 UV輻射來源1608,以及下石英腔室1624含有一製程 1 6 1 8。提供反應性物種至製程空間1 6 i 8,並且從製程 1618除去製程副產物。一基材ι614擱置在一台座 上’並且該等反應性物種係供應至該基材1614表面 上’並且隨後從表面1 6 1 6上除去副產物。使用紅外燈 來加熱基材1 6 1 4和製程空間1 6 1 8。來自紅外燈1 6 1 〇 射行進通過上石英腔室16〇5的上石英窗口 16〇4,並 下石英腔室1624的下石英部分1603。用於上石英腔室 的一或多種冷卻氣體從入口 1611進入並由出口 1628 開(1613)。在處理腔室為CVD或ALD型處理腔室的 例中’下石英腔室的前驅物以及稀釋劑、清潔劑和排 體係透過入口 1620進入並且透過出口 1638而 (1622)。該等出口 1 628和1 638係與相同的真空幫浦s 或利用不同幫浦控制在相同壓力下,使得上石英腔室 和下石英腔室1624的壓力相等。因此該UV輻射係用 星化該些反應性物種、辅助反應物的吸附作用以及奪 程副產物從基材1614之表面1616脫附。例示沉積艇 uv清潔製程和利用uv輔助沉積製程來沉積EPI薄靡 程係進一步描述於共同讓渡之2004年6月1〇號提庄 之美國專利申請案第1〇/866,471號中,其在此籍由弓 整體的方式併入本文中。 在一範例中,係於處理腔室1 600内在較佳地約 的溫度下利用二矽烷(ShH6)加上氨(NH3)的混合物身 氮化石夕(SiN)薄膜,同時以約丨72奈米的波長以及約; 空間 空間 1617 1616 1610 的輻 通過 1605 而離 實施 放氣 離開 教通, 1605 來能 '助製 E室、 t的製 丨申請 1用其 400°C ^沉積 5至約 49 200811916 10 mWatts/cm2之間的功率密度傳送UV輻射。通常,習知 氮化矽沉積製程需要约6 5 0 °C或更高的溫度。The 200811916 UV radiation source 1608, and the lower quartz chamber 1624 contain a process 1 6 1 8 . Reactive species are supplied to the process space 1 6 i 8 and process by-products are removed from process 1618. A substrate ι614 rests on a pedestal' and the reactive species are supplied to the surface of the substrate 1614' and the byproducts are subsequently removed from the surface 166. An infrared lamp is used to heat the substrate 1 6 1 4 and the process space 1 6 1 8 . From the infrared lamp 1 6 1 , the jet travels through the upper quartz window 16〇4 of the upper quartz chamber 16〇5, and the lower quartz portion 1603 of the quartz chamber 1624. One or more cooling gases for the upper quartz chamber enter from inlet 1611 and are opened (1613) by outlet 1628. In the case where the processing chamber is a CVD or ALD type processing chamber, the precursor of the lower quartz chamber and the diluent, detergent and platoon system enter through inlet 1620 and pass through outlet 1638 (1622). The outlets 1 628 and 1 638 are controlled by the same vacuum pump s or with different pumps at the same pressure so that the pressures of the upper quartz chamber and the lower quartz chamber 1624 are equal. Thus, the UV radiation is desorbed from the surface 1616 of the substrate 1614 by starring the reactive species, the adsorption of the auxiliary reactants, and the by-products of the process. An example of a depositional uv cleaning process and the use of a uv-assisted deposition process to deposit an EPI thin process is further described in U.S. Patent Application Serial No. 1/866,471, the entire disclosure of which is incorporated herein by reference. This article is incorporated herein by way of a bow. In one example, a mixture of dioxane (ShH6) plus ammonia (NH3) is used in a processing chamber 1 600 at a preferred temperature, while a SiN film is formed at about 72 nm. The wavelength and the space; 1616 1616 1610 of the space through the 1605 and away from the implementation of deflation to leave the teaching, 1605 to 'help the E room, t the system of application 1 with its 400 ° C ^ deposition 5 to about 49 200811916 The power density between 10 mWatts/cm2 delivers UV radiation. Generally, the conventional tantalum nitride deposition process requires a temperature of about 650 ° C or higher.

在該群集設備的一實施例中,在執行一或多個UV辅 助基材製程步驟(例如,沉積步驟)之後,執行一或多個測 量步驟(例如,第13-14圖的準備/分析步驟302A)。在此例 中’可使用該等測量步驟來快速分析沉積在該基材表面上 的一或多個膜層之狀態,以容許該系統控制器對於該基材 製程步驟的製程變量做調整,以改善在該基材表面上形成 該層的製程。一般來說,該等製程變量可包含,例如UV 輪射強度(例如,功率)、沉積時間、製程壓力、製程氣體 流速' RF功率、膜厚或基材溫度。在一範例中,使用XRD 裝置來測量並反饋沉積在第一基材表面上的薄膜應力,因 此該系統控制器可以例如調整後續沉積製程的UV功率以 改善利用該UV輔助沉積製程所形成的沉積層之薄膜特 性’例如應力。在沉積膜層的特性(例如,應力/張力)對於 沉積製程期間的熱環境非常敏感的情況下,此製程是非常 重要的。將測量製程步驟整合在該群集設備内,可容許在 或夕個基材生產製程步驟之後快速地反饋所得到的預期 或非預期製程結果’因此藉由減少不當處理的基材數量來 改善70件良率,並且藉由免除浪費時間在該群集設備内使 用多個測試晶圓來預先評估該製程程序中一或多個製程步 驟的需要,來改善該群集設備的生產力。 雖然則述係針對本發明多個實施例,但可在不背離本 發月土本範圍下设計出數種本發明之其他及進一步實施 50 200811916 例,並且其範圍係由如下申請專利範圍決定。 【圖式簡單說明】 為了詳細暸解上述本發明之特徵,可以參考某些在附 圖中示出的實施例來閱讀精簡整理於上的本發明更明確的 描述。但是需要注意的是,附圖僅示出本發明的多個典型 實施例,因此不應用來限制本發明範圍,因為本發明可允 許其他等效實施例。In an embodiment of the cluster device, one or more measurement steps (eg, preparation/analysis steps of Figures 13-14) are performed after performing one or more UV-assisted substrate processing steps (eg, deposition steps) 302A). In this example, the measurement steps can be used to quickly analyze the state of one or more layers deposited on the surface of the substrate to allow the system controller to adjust the process variables of the substrate processing step to A process for forming the layer on the surface of the substrate is improved. Generally, such process variables can include, for example, UV shot intensity (e.g., power), deposition time, process pressure, process gas flow rate 'RF power, film thickness, or substrate temperature. In one example, an XRD device is used to measure and feedback the film stress deposited on the surface of the first substrate, so the system controller can, for example, adjust the UV power of the subsequent deposition process to improve the sink formed by the UV-assisted deposition process. The film properties of the laminate are such as stress. This process is very important where the characteristics of the deposited film layer (e.g., stress/tension) are very sensitive to the thermal environment during the deposition process. Integrating the measurement process steps into the cluster device allows for quick feedback of expected or unintended process results after a substrate manufacturing process step, thus improving 70 pieces by reducing the number of improperly treated substrates Yield, and improve the productivity of the cluster device by eliminating the wasted time of using multiple test wafers within the cluster device to pre-evaluate the need for one or more process steps in the process. While the invention has been described with respect to various embodiments of the present invention, several additional and further embodiments of the invention may be devised without departing from the scope of the present invention, and the scope of the invention is determined by the scope of the following claims. . BRIEF DESCRIPTION OF THE DRAWINGS For a detailed understanding of the features of the present invention described above, a more precise description of the present invention may be read by reference to the embodiments illustrated in the drawings. It is to be understood, however, that the appended claims

第1圖係一用於半導體製程典型的習知製程系統平面 圖,其可藉由使用本發明而受益; 第2圖係含有適於執行半導體製程之處理腔室和測量 腔室的製程系統平面圖,其可使用本發明而受益; 第3圖係含有適於執行半導體製程之處理腔室和測量 腔室的製程系統平面圖,其可使用本發明而受益; 第4圖係含有適於執行半導體製程之處理腔室和測量 腔室的製程系統平面圖,其中可使用本發明而受益; 第5圖示出含有一系列製程配方步驟和基材傳輸步驟 的製程程序,其可使用本發明而受益; 第6圖係適於執行半導體製程之支持腔室的剖面側視 圖,其可使用本發明而受益; 第7圖係適於執行半導體製程之支持腔室的剖面側視 圖,其可使用本發明而受益; 第8圖係適於執行半導體製程之傳輸腔室和支持腔室 的剖面圖,其可使用本發明而受益; 第9圖係適於執行半導體製程之傳輸腔室和支持腔室 51 200811916 的剖面圖,其可使用本發明而受益; 第10圖係適於執行半導體製程之傳輸腔室和支持 室的剖面圖,其可使用本發明而受益; 第11圖係適於執行半導體製程之傳輸腔室和支持 室的剖面圖’其可使用本發明而受益; 第12圖係適於執行半導體製程之預清潔腔室的剖 側視圖,其可使用本發明而受益; 第13圖示出含有一系列製程配方步驟和基材傳輸 驟的製程程序,其可使用本發明而受益; 第14圖示出含有一系列製程配方步驟和基材傳輪 驟的製程程序,其可使用本發明而受益; 第15圖係含有適於執行半導體製程之處理腔室、預 理腔室和測量腔室的製程系統平面圖,其可使用本發明 受益; 第16圖不出含有一系列製程配方步驟和基材傳輪 驟的製程程序,其可使用本發明而受益; 腔 腔 面 步 步 處 而 步 剖 第1 7圖係適於執行半導體製程之基材處理腔室的 面側視圖,其可使用本發明 而受益 〇 【主要元件符號說明】 100、101 群集設備 102 系統控制器 104 前端環境 104A 支撐台 104B 傳輸區域 105 晶圓盒: 106A-B 負載鎖定室 108A-B 工廢:介面機械手臂 52 2008119161 is a plan view of a conventional process system for a typical semiconductor process, which can be benefited by the use of the present invention; and FIG. 2 is a plan view of a process system including a process chamber and a measurement chamber suitable for performing a semiconductor process, It may be beneficial to use the present invention; Figure 3 is a plan view of a process system containing a processing chamber and a measurement chamber suitable for performing semiconductor processes, which may benefit from the use of the present invention; Figure 4 is suitable for performing semiconductor processes A plan view of a process system for a processing chamber and a measurement chamber in which the present invention may be used; Figure 5 illustrates a process sequence containing a series of process recipe steps and substrate transfer steps that may benefit from the use of the present invention; The figure is suitable for performing a cross-sectional side view of a support chamber of a semiconductor process, which may benefit from the use of the present invention; Figure 7 is a cross-sectional side view of a support chamber suitable for performing a semiconductor process, which may benefit from the use of the present invention; Figure 8 is a cross-sectional view of a transfer chamber and a support chamber suitable for performing a semiconductor process, which may benefit from the use of the present invention; Figure 9 is suitable for performing half A cross-sectional view of a transfer chamber and support chamber 51 200811916 that can benefit from the present invention; FIG. 10 is a cross-sectional view of a transfer chamber and a support chamber suitable for performing semiconductor processing, which can be used with the present invention Benefits; Figure 11 is a cross-sectional view of a transfer chamber and a support chamber suitable for performing a semiconductor process that can benefit from the use of the present invention; Figure 12 is a cross-sectional side view of a pre-cleaning chamber suitable for performing a semiconductor process, Benefits may be obtained using the present invention; Figure 13 illustrates a process sequence containing a series of process recipe steps and substrate transfer steps that may benefit from the use of the present invention; Figure 14 illustrates a series of process recipe steps and substrate transfer a process of the rounds, which may benefit from the use of the present invention; Figure 15 is a plan view of a process system containing a processing chamber, a pre-chamber, and a measuring chamber suitable for performing a semiconductor process, which may benefit from the use of the present invention; Figure 16 shows a process procedure containing a series of process recipe steps and substrate transfer steps, which can be benefited from the use of the present invention; the cavity surface step by step and the first section is suitable A side view of a substrate processing chamber that performs a semiconductor process, which can benefit from the use of the present invention. [Main Component Symbol Description] 100, 101 Cluster Device 102 System Controller 104 Front End Environment 104A Support Table 104B Transfer Area 105 Wafer : 106A-B Load Locking Chamber 108A-B Work Waste: Interface Robot Arm 52 200811916

110 傳輸腔室 110B 傳輸腔室基座 113、330 機械手臂 113B 手臂組件 114A-114F 製程位置 120 、 710 、 770 、 1120 4 201-204、1600 處理腔室 214A-D > 3 54A-B 位置 110A 傳輸腔室蓋 110C、35 1 傳輸區域 Π3A 葉片組件 113C 驅動組件 116A-B 公設腔室 區 211 支持腔室 300、301 A-C 製程程序 3 02、302A、302B、3 02C 準備 /分析步驟 302D UV清潔製程 310 後處理/分析步驟 350 連結模組 700 微粒減少腔室 304 、 306 、 308 製程步驟 33 1 滑動組件 3 60 濕式清潔腔室 701 、 761 封圍 702、752、1110 腔室主體703、75 3 腔室蓋 704、754、8 04基材支撐件705、755透明區 706、756 密封件110 Transfer chamber 110B Transfer chamber base 113, 330 Robot arm 113B Arm assembly 114A-114F Process position 120, 710, 770, 1120 4 201-204, 1600 Processing chamber 214A-D > 3 54A-B Position 110A Transfer chamber cover 110C, 35 1 transfer area Π 3A blade assembly 113C drive assembly 116A-B male chamber area 211 support chamber 300, 301 AC process program 3 02, 302A, 302B, 3 02C preparation / analysis step 302D UV cleaning process 310 Post-Processing/Analysis Step 350 Bonding Module 700 Particle Reduction Chamber 304, 306, 308 Process Step 33 1 Sliding Assembly 3 60 Wet Cleaning Chamber 701, 761 Enclosing 702, 752, 1110 Chamber Body 703, 75 3 Chamber cover 704, 754, 804 substrate support 705, 755 transparent zone 706, 756 seal

707、757、807 基材支撐表面 711、813、1608 輻射來 722 加熱元件 736 真空幫浦 800、801 支持腔室組件 811 測量組件 1100 預清潔腔室 1102 基材支撐構件 源720、820舉升組件 735氣體輪送來源 7 5 0 測量腔室 808 支撐 812感應器 1101 蓋組件 1111狹縫閥開口 53 200811916707, 757, 807 substrate support surfaces 711, 813, 1608 radiated to 722 heating element 736 vacuum pump 800, 801 support chamber assembly 811 measurement assembly 1100 pre-cleaning chamber 1102 substrate support member source 720, 820 lift assembly 735 gas transfer source 7 5 0 measurement chamber 808 support 812 sensor 1101 cover assembly 1111 slit valve opening 53 200811916

1112 流體通道 1113 内襯 1114 缝隙 1115 泵吸通道 113 0、 1131 電極 1132 電源 1133 孔洞 1135 加熱元件 1140 支撐組件 1141 流體通道 1150 真空組件 1160 氣體來源 1601 外罩結構 1603 下石英部分 1604 上石英窗口 1605 上石英腔室 1610 紅外燈 1611 > 1620 入口 1613、 1638 出口 1614 基材 1616 基材表面 1617 台座 1618 製程空間 1624 下石英腔室 1630 石英腔室1112 fluid channel 1113 lining 1114 slit 1115 pumping channel 113 0, 1131 electrode 1132 power supply 1133 hole 1135 heating element 1140 support component 1141 fluid channel 1150 vacuum component 1160 gas source 1601 housing structure 1603 lower quartz part 1604 upper quartz window 1605 upper quartz Chamber 1610 Infrared Light 1611 > 1620 Inlet 1613, 1638 Outlet 1614 Substrate 1616 Substrate Surface 1617 Pedestal 1618 Process Space 1624 Lower Quartz Chamber 1630 Quartz Chamber

5454

Claims (1)

200811916 十、申請專利範圍·· 1· 一種基材處理設備,其包含: 一或多個侧壁,用以形成一傳輸區域,該傳輪區域内 設置有一機械手臂; 一第一支持腔室,配置在該傳輸區域内並且用以測量 該基材表面的特性;200811916 X. Patent Application Scope 1. A substrate processing apparatus comprising: one or more side walls for forming a transmission area, a mechanical arm is disposed in the transmission area; a first support chamber, Arranged in the transmission area and used to measure characteristics of the surface of the substrate; 一基材處理腔室,其與該傳輸區域連通;以及 一預清潔腔室,其適於在該基材處理腔室内執行製程 步驟之前,預準備一基材的表面。 2 ·如申請專利範圍第i項所述之設備,其中該傳輪區域維 持在介於約10·6托耳至約700托耳之間的壓力。 3 ·如申請專利範圍第1項所述之設備,其中該第一支持腔 室適於利用X光繞射(XRD)、X射線光電子光譜(Xps)、反 射儀或橢圓測量儀技術來測量一基材表面的特性。 如申請專利範圍第1項所述之設備,其中該基材處理腔 室為分耦式電漿氮化(DPN)腔室、快速熱處理(RTP)腔室、 化學氣相沉積(CVD)腔室、原子層沉積(ALD)腔、或物理氣 相沉積(PVD)腔室。 5.如申請專利範圍第1項所述之設備,更包含一第二支持 55 200811916 腔室,其係適於從一基材表面上除去污染物, 從設置在該一或多個側壁上的一來源傳送紫 射至該基材表面來除去該污染物。A substrate processing chamber in communication with the transfer region; and a pre-cleaning chamber adapted to pre-prepare a surface of the substrate prior to performing the processing step in the substrate processing chamber. 2. The apparatus of claim i, wherein the transfer region maintains a pressure of between about 10 and 6 torr and about 700 torr. 3. The apparatus of claim 1, wherein the first support chamber is adapted to measure one using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (Xps), reflectometry or ellipsometry techniques. The characteristics of the surface of the substrate. The apparatus of claim 1, wherein the substrate processing chamber is a split-type plasma nitriding (DPN) chamber, a rapid thermal processing (RTP) chamber, a chemical vapor deposition (CVD) chamber, , an atomic layer deposition (ALD) cavity, or a physical vapor deposition (PVD) chamber. 5. The apparatus of claim 1, further comprising a second support 55 200811916 chamber adapted to remove contaminants from a surface of the substrate from the one or more side walls A source delivers violet light to the surface of the substrate to remove the contaminants. 6.如申請專利範圍第1項所述之設備,其中在 腔室内測得的該基材表面特性是一選自於由讀 材料之應力、張力、厚度和成分所組成之族群 7· —種基材處理設備,其包含: 一或多個側壁,其形成一傳輸區域,該傳 置有一機械手臂; 一或多個基材處理腔室,其與該傳輸區域 一支持腔室,其與該機械手臂可傳輸連靖 持腔室係適於測量該基材表面的特性;以及 一處理腔室,其與該傳輸區域連通,其中 包含: 一基材支撐件,設置在該處理腔室 内;以及 一第一輻射來源,其適於傳送一或多 長的光線至一位於該基材支撐件上之某材 8 ·如申請專利範圍第7項所述 持在介於約1〇·6托耳至約7〇〇 之设備,其中 托耳之間的壓 其中係藉由 外線(UV)輻 該第一支持 區域内所含 中的特性。 輸區域内設 連通; •’其中該支 該處理腔室 的一處理區 ,種UV光波 的一表面。 矣傳輸區域維 56 200811916 9 ·如申請專利範圍第7項所述之設備,其中該一或多個基 材處理腔室係分耦式電漿氮化(DPN)腔室、快速熱處理 (RTP)腔室、化學氣相沉積(CVD)腔室或原子層沉積(ALD) 腔室。6. The apparatus of claim 1, wherein the surface characteristic of the substrate measured in the chamber is a group selected from the group consisting of stress, tension, thickness and composition of the material to be read. a substrate processing apparatus comprising: one or more side walls forming a transfer area, the transfer having a robotic arm; one or more substrate processing chambers, and a support chamber with the transfer area, The robotic arm transportable chamber is adapted to measure characteristics of the surface of the substrate; and a processing chamber is in communication with the transfer region, comprising: a substrate support disposed within the processing chamber; a first source of radiation adapted to deliver one or more lengths of light to a material 8 on the substrate support. The holder is held at about 1 〇 6 Torr as described in claim 7 A device of about 7 inches, wherein the pressure between the brackets is transmitted by the outer line (UV) to the characteristics contained in the first support region. The communication area is provided with a connection; • 'the processing area of the processing chamber, a surface of the UV light wave. The apparatus of claim 7, wherein the one or more substrate processing chambers are coupled to a plasma nitriding (DPN) chamber, rapid thermal processing (RTP) A chamber, a chemical vapor deposition (CVD) chamber, or an atomic layer deposition (ALD) chamber. 1 〇 ·如申請專利範圍第7項所述之設備,其中該支持腔室 適於利用X光繞射(XRD)、X射線光電子光譜(XPS)、反射 儀或橢圓測量儀技術來測量一基材表面的特性。 11 ·如申請專利範圍第7項所述之設備,更包含一第二支 持腔室’其適於從一基材表面上除去污染物,其中係藉由 從與該一或多個侧壁至少其中一者相連接的一第二輻射來 源傳送紫外線(UV)輻射至該基材表面來去除該污染物。 1 2.如申請專利範圍第7項所述之設備,其中該第一輻射 來源適於以介於約i至約25亳瓦/平方公分(mWatts/cm2) 之間的功率密度來傳送介於約1 2〇奈米至约43 〇奈米之間 的一或多種光波長。 13·如申請專利範圍第7項所述之設備,其中該處理腔室 更包含一氣體來源,其適於輪傳一清潔氣體至該處理區, 其中該清潔氣體含有氫氣。 57 200811916 14.如申請專利範圍第7項所述之設備,更包含: 一晶圓盒,其適於容納兩或多個基材; 一負載鎖定室,其與該機械手臂交流,其中該負載鎖 定室適於排空至低於大氣壓的壓力;以及 一第二機械手臂,其係適於在該晶圓盒和該負载鎖定 室之間傳送置於該晶圓盒内的該兩或多個基材之其中一The apparatus of claim 7, wherein the support chamber is adapted to measure a base using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), reflectometry or ellipsometry techniques. The characteristics of the surface of the material. 11. The apparatus of claim 7, further comprising a second support chamber adapted to remove contaminants from a surface of the substrate by at least from the one or more sidewalls A second source of radiation coupled to one of the other transmits ultraviolet (UV) radiation to the surface of the substrate to remove the contaminant. 1 2. The apparatus of claim 7, wherein the first source of radiation is adapted to be transmitted at a power density of between about i and about 25 watts per square centimeter (mWatts/cm2). One or more wavelengths of light between about 1 〇 nanometer and about 43 〇 nanometer. 13. The apparatus of claim 7, wherein the processing chamber further comprises a source of gas adapted to pass a cleaning gas to the processing zone, wherein the cleaning gas contains hydrogen. 57. The apparatus of claim 7, further comprising: a wafer cassette adapted to accommodate two or more substrates; a load lock chamber that communicates with the robot arm, wherein the load a lock chamber adapted to evacuate to a pressure below atmospheric pressure; and a second robot arm adapted to transfer the two or more disposed within the pod between the pod and the load lock chamber One of the substrates 1 5.如申請專利範圍第7項所述之設備,其中在該支持腔 室内測得之該基材表面特性是選自於由該區域内所含材料 之應力、張力、厚度和成分所組成之族群中的一特性。 16. —種基材處理設備,其包含: 一或多個側壁,其形成一傳輸區域,該傳輸區域中設The device of claim 7, wherein the surface property of the substrate measured in the support chamber is selected from the group consisting of stress, tension, thickness and composition of materials contained in the region. A characteristic of the ethnic group. 16. A substrate processing apparatus comprising: one or more side walls forming a transport region, wherein the transport region is 一支持腔室,其與該機械手臂可傳輸交流’其中該支 持腔室適於測量該基材表面的一特性; 一第一處理腔室,其與該傳輸區域連通,其中該第一 處理腔室包含: 一基材支撐件,設置在該處理腔室之處理區内; 以及 一第一輻射來源,其係適於傳送一或多種UV光 58 200811916 波長至位在該基材支撐件上的一基材表面;以及 一第二處理腔室,其與該傳輸區域連通,其中該第二 處理腔室包含: 一基材支撐件,設置在該處理腔室之處理區内; 一第二輻射來源,其適於傳送一或多種UV光波 長至位在該基材支撐件上的一基材表面;以及 一氣體來溽,其適於傳送一清潔氣體至該處理 區,其中該清潔氣體含有氫氣。 1 ^7 •如申請專利範圍第1 6項所述之設備,其中該傳輪區域 維持在介於約1〇·6托耳和約7〇〇托耳之間的壓力。 1 8·如申請專利範圍第1 6項所述之設備,其中該第一處理 係7刀輕式電漿氮化(DPN)腔室、快速熱處理腔 室 、化學氣相沉積(CVD)腔室或原子層沉積(ALD)腔室。 1 9·如申請專利範圍第i 6項所述之設備,其中該支持腔室 適於利用X光繞射(XRD)、X射線光電子光譜(xps)、反射 儀或橢圓測量儀技術來測量一基材表面的特性。 〇.如申請專利範圍第16項所述之設備,更包含一第二支 持腔至’其適於從一基材表面上除去污染物,其中係藉由 從與該一或多個側壁至少其中_者相連接的一第二輻射來 59 200811916 源傳送紫外線(UV)輻射至該基材表面來除去該污染物。 2 1 ·如申請專利範圍第1 6項所述之設備,其中該第一和第 二輻射來源適於以介於約1至約25亳瓦/平方公分 (mWatts/cm2)之間的功率密度傳送介於約120奈米至約 430奈米之間的一或多種光波長。a support chamber that is communicable with the robot arm' wherein the support chamber is adapted to measure a characteristic of the surface of the substrate; a first processing chamber in communication with the transfer region, wherein the first processing chamber The chamber comprises: a substrate support disposed within the processing region of the processing chamber; and a first source of radiation adapted to deliver one or more UV light 58 200811916 wavelengths in position on the substrate support a substrate surface; and a second processing chamber in communication with the transfer region, wherein the second processing chamber comprises: a substrate support disposed in the processing region of the processing chamber; a second radiation a source adapted to deliver one or more wavelengths of UV light to a substrate surface on the substrate support; and a gas enthalpy adapted to deliver a cleaning gas to the processing zone, wherein the cleaning gas comprises hydrogen. 1 ^7. The apparatus of claim 16, wherein the region of the transmission is maintained at a pressure of between about 1 〇 6 Torr and about 7 Torr. 1 8 The apparatus of claim 16, wherein the first processing system is a 7-knife light plasma nitriding (DPN) chamber, a rapid thermal processing chamber, a chemical vapor deposition (CVD) chamber. Or an atomic layer deposition (ALD) chamber. The device of claim i, wherein the support chamber is adapted to measure by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (Xps), reflectometry or ellipsometry techniques. The characteristics of the surface of the substrate. The apparatus of claim 16, further comprising a second support cavity to which it is adapted to remove contaminants from a surface of the substrate by at least one of the sidewalls from the one or more A second radiation connected to the source 59 200811916 The source transmits ultraviolet (UV) radiation to the surface of the substrate to remove the contaminant. The apparatus of claim 16, wherein the first and second sources of radiation are adapted to have a power density of between about 1 and about 25 watts per square centimeter (mWatts/cm2). One or more wavelengths of light are transmitted between about 120 nanometers and about 430 nanometers. 22.如申請專利範圍第16項所述之設備,其中在該支持腔 室内測得之該基材表面的特性是選自該區域内所含材料之 應力、張力、厚度和成分所組成之族群中的一特性。 23. —種在一群集設備内形成半導體元件的方法,其包含· 在 基材處理腔室内修改一基材的表面; 在修改該基材表面後測量該基材之一區域的特性· 比較所測得之特性和已儲存在一系統控制 °門的數 值,以及 基於所测得之特性和儲存在該系統控制器 叼歎值之 比乂 來改變該基材表面處理步驟期間的一製程變旦 24·如申清專利範圍第23 述之方法, Y上述測量一 特丨生的步驟包含測量一^選自該區域内所人从 3柯料之應 力、張力、厚度和成分所組成之族群中的特性。 … 60 200811916 A如申請專利範圍第23項所述之方法,更包含在修改該 基材表面之前,預清潔該基材表面。 ^如中請專利範圍第23項制之方法,更包含在形成該 特徵之則,從該基材表面除去污染物,其中除去污毕 物的步驟.包含: 钧將該基材表面暴露在至少一波長介於約奈米至約 30奈米之間的輻射下; 提供一含有氫氣的清潔氣體至該基材表面;以及 加熱該基材至一低於约7 5 0 °C的溫度。 2 7 如申明專利範圍第2 3項所述之方法,其中上述修改一 村表面的步驟包含〖執行一選自於由分耦式電漿氮化 ⑺製程、蠢晶層(EPI)沉積製程、快速熱處理(RTP)製 程、 、化學氣相沉積(CVD)製程、原子層沉積(ALD)製程和物 ^相沉積(PVD)製程所組成的族群中的製程。 2 g •如申請專利範圍第27項所述之方法,其中上述修改一 基持表面的步驟更包:含在修改該表面的步驟期間,將該 基特表面暴露在至少一波長介在约12〇奈米至約430奈米 之間的輻射下。 種在一群集設備内形成半導體元件的方法,其包含: 61 29. ^ 200811916 在一基材處理腔室内修改一基材的表面; 利用配置在該傳輪區域内的一機械手臂網 於該群集設備的該傳輸區域内; 測ΐ設置在該傳輸區域内之該基材表面之 比較所測得之特性和儲存在一系統控制著 以及 基於所測得之特性和儲存在該系統控制著 比較,來調整該修改基材表面之製程中的一製 30·如申請專利範圍第29項所述之方法,更 70件特徵之前,預清潔該基材表面。 31·如申睛專利範圍第29項所述之方法,其 區域的特性的步驟包含:測量一選自於由該 料之應力、張力、厚度和成分所組成之族群 32·如申清專利範圍第29項所述之方法,更 70件特徵之前,將該基材表面暴露在來自一 外線(UV)麵射下,以除去該基材表面的污染 3 3 士〇申全太宙 • 明辱利範圍第29項所述之方法,其 基材表面的步驟:包含執行一選自於由分; (DPN)製程、石 磊晶層(ΕΡΙ)沉積製程、快速索 :一基材設置 特性; 内的數值; 丨内的數值之 程變量。 ,含在形成一 1上述測量一 I域内所含材 的特性。 L含在形成該 I射來源的紫 1 ° 7上述修改一 ,式電漿氮化 處理(RTP)製 62 200811916 程、化學氣相沉積(CVD)製程、原子層沉積(ALD)製程和物 理氣相沉積(PVD)製程所組成的族群中的製程。 34.如申請專利範圍第29項所述之方法,更包含在形成該 元件特徵之前,從該基材表面除去污染物,其中該除去污 染物的步驟包含:22. The apparatus of claim 16, wherein the surface of the substrate measured in the support chamber is characterized by a group selected from the group consisting of stress, tension, thickness and composition of materials contained in the region. A feature in the middle. 23. A method of forming a semiconductor component in a cluster device, comprising: modifying a surface of a substrate within a substrate processing chamber; measuring a property of a region of the substrate after modifying the surface of the substrate Measured characteristics and values stored in a system control gate, and a process-changing period during the surface treatment step of the substrate based on the measured characteristics and the ratio of the oscillation values stored in the system controller 24. The method of claim 23, wherein the step of measuring a special test comprises measuring a group selected from the group consisting of stress, tension, thickness and composition of the material in the region. Characteristics. 60. The method of claim 23, further comprising pre-cleaning the surface of the substrate prior to modifying the surface of the substrate. The method of claim 23, further comprising the step of removing contaminants from the surface of the substrate, wherein the step of removing the contaminant comprises: 钧 exposing the surface of the substrate to at least a radiation having a wavelength between about nanometer and about 30 nanometers; providing a cleaning gas containing hydrogen to the surface of the substrate; and heating the substrate to a temperature of less than about 750 °C. 2 7 The method of claim 23, wherein the step of modifying a surface of the village comprises performing an extraction process selected from a split-type plasma nitriding (7) process, an amorphous layer (EPI) deposition process, Processes in a population consisting of rapid thermal processing (RTP) processes, chemical vapor deposition (CVD) processes, atomic layer deposition (ALD) processes, and phase-to-phase (PVD) processes. 2 g. The method of claim 27, wherein the step of modifying a substrate is further comprising: exposing the surface of the substrate to at least one wavelength between about 12 期间 during the step of modifying the surface. From nanometer to about 430 nm under radiation. A method of forming a semiconductor device in a cluster device, comprising: 61 29. ^ 200811916 modifying a surface of a substrate in a substrate processing chamber; utilizing a robotic arm network disposed in the region of the wheel to the cluster The transmission area of the device; the measured characteristics of the surface of the substrate disposed within the transfer area are measured and stored in a system controlled and based on the measured characteristics and stored in the system control, To adjust the process in the process of modifying the surface of the substrate. 30. The method of claim 29, prior to the feature of claim 29, pre-clean the surface of the substrate. 31. The method of claim 29, wherein the step of characteristic of the region comprises: measuring a population selected from the group consisting of stress, tension, thickness and composition of the material. The method of item 29, before the feature of 70, exposes the surface of the substrate to an external (UV) surface to remove the contamination of the surface of the substrate. 3 〇 〇 全 太 • • • • • • The method according to item 29, wherein the step of the surface of the substrate comprises: performing a process selected from the group consisting of: (DPN) process, stone epitaxial layer (ΕΡΙ) deposition process, fast cable: a substrate setting property; ; The value of the value in the 丨. Containing the characteristics of the material contained in the above-mentioned measurement-I domain. L is contained in the formation of the I-ray source of violet 1 ° 7 modified as described above, plasma nitriding treatment (RTP) system 62 200811916 process, chemical vapor deposition (CVD) process, atomic layer deposition (ALD) process and physical gas Processes in a population of phase deposition (PVD) processes. 34. The method of claim 29, further comprising removing contaminants from the surface of the substrate prior to forming the features of the element, wherein the step of removing the contaminant comprises: 將該基材表面暴露在一波長至少介在約120奈米至約 430奈米之間的輻射下; 提供一含有氫氣的清潔氣體至該基材表面;以及 加熱該基材至一低於約750°C的溫度。 35·如申請專利範圍第29項所述之方法,其中上述修改一 基材表面的步驟更包含:在修改該表面之步驟期間,將該 基材表面暴露在至少一波長介在約120奈米至約430奈米 之間的輻射下。Exposing the surface of the substrate to radiation having a wavelength of at least between about 120 nm and about 430 nm; providing a cleaning gas containing hydrogen to the surface of the substrate; and heating the substrate to a temperature below about 750 °C temperature. 35. The method of claim 29, wherein the step of modifying a surface of the substrate further comprises: exposing the surface of the substrate to at least one wavelength to about 120 nm during the step of modifying the surface About 430 nm between the radiation. 6363
TW096124192A 2006-07-03 2007-07-03 Cluster tool for advanced front-end processing TW200811916A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US80651806P 2006-07-03 2006-07-03
US11/460,864 US20070134821A1 (en) 2004-11-22 2006-07-28 Cluster tool for advanced front-end processing

Publications (1)

Publication Number Publication Date
TW200811916A true TW200811916A (en) 2008-03-01

Family

ID=38895329

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096124192A TW200811916A (en) 2006-07-03 2007-07-03 Cluster tool for advanced front-end processing

Country Status (5)

Country Link
EP (1) EP2041774A2 (en)
JP (1) JP2009543355A (en)
KR (1) KR20090035578A (en)
TW (1) TW200811916A (en)
WO (1) WO2008005773A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI482224B (en) * 2008-06-14 2015-04-21 Applied Materials Inc Method for surface treatment of semiconductor substrates
TWI679694B (en) * 2015-10-28 2019-12-11 日商東京威力科創股份有限公司 Substrate processing method, substrate processing apparatus, substrate processing system, and memory medium
TWI700750B (en) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 Method and apparatus for selective deposition of dielectric films
CN111507076A (en) * 2019-01-29 2020-08-07 北京新唐思创教育科技有限公司 Common case courseware making method and device for teaching system and terminal
TWI827326B (en) * 2021-10-29 2023-12-21 大陸商北京北方華創微電子裝備有限公司 Semiconductor process equipment and monitoring methods for wafer position status

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8022372B2 (en) 2008-02-15 2011-09-20 Veeco Instruments Inc. Apparatus and method for batch non-contact material characterization
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20120118227A1 (en) * 2009-08-13 2012-05-17 Kim Nam Jin Apparatus for forming layer
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US9076827B2 (en) * 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
TWI525744B (en) 2011-05-31 2016-03-11 維克儀器公司 Heated wafer carrier profiling
US10109481B2 (en) * 2012-07-02 2018-10-23 Applied Materials, Inc. Aluminum-nitride buffer and active layers by physical vapor deposition
US9147592B2 (en) * 2012-08-08 2015-09-29 Applied Materials, Inc. Linked vacuum processing tools and methods of using the same
KR101463984B1 (en) * 2013-02-15 2014-11-26 최대규 Plasma process system
US9627608B2 (en) * 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US20160240405A1 (en) * 2015-02-12 2016-08-18 Applied Materials, Inc. Stand alone anneal system for semiconductor wafers
TWI677046B (en) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 External substrate rotation in a semiconductor processing system
US10879177B2 (en) 2015-06-19 2020-12-29 Applied Materials, Inc. PVD deposition and anneal of multi-layer metal-dielectric film
KR20180069038A (en) * 2015-11-13 2018-06-22 어플라이드 머티어리얼스, 인코포레이티드 Techniques for filling structures using selective surface modification
EP3513428A4 (en) * 2016-09-15 2020-06-10 Applied Materials, Inc. Integrated system for semiconductor process
JP7158133B2 (en) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
KR102366749B1 (en) * 2017-04-28 2022-02-23 어플라이드 머티어리얼스, 인코포레이티드 Method for cleaning a vacuum system used in the manufacture of oled devices, method for vacuum deposition on a substrate to manufacture oled devices, and apparatus for vacuum deposition on a substrate to manufacture oled devices
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
JP6934060B2 (en) * 2017-09-20 2021-09-08 株式会社Kokusai Electric Substrate processing equipment, semiconductor equipment manufacturing methods and programs
US20200043764A1 (en) * 2018-03-20 2020-02-06 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
JP7348440B2 (en) * 2018-03-20 2023-09-21 東京エレクトロン株式会社 Self-aware and corrective heterogeneous platform incorporating integrated semiconductor processing module and method of use thereof
US11264254B2 (en) * 2018-03-20 2022-03-01 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
JP7443250B2 (en) * 2018-05-16 2024-03-05 アプライド マテリアルズ インコーポレイテッド Atomic layer self-aligned substrate processing and integrated toolset
US20190362989A1 (en) * 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
JP7206961B2 (en) * 2019-01-30 2023-01-18 日立金属株式会社 Semiconductor manufacturing equipment management system and method
KR20220041358A (en) * 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 Semiconductor device and method for fabricating the same
WO2022186775A1 (en) * 2021-03-02 2022-09-09 Agency For Science, Technology And Research A preparation chamber for cleaning and repair sapphire surface for the epitaxial growth of compound materials
JP7478776B2 (en) 2021-07-07 2024-05-07 アプライド マテリアルズ インコーポレイテッド Integrated Wet Clean for Gate Stack Formation
JP7485729B2 (en) 2021-07-07 2024-05-16 アプライド マテリアルズ インコーポレイテッド Integrated Wet Cleaning for Epitaxial Growth
US20230032146A1 (en) * 2021-07-27 2023-02-02 Applied Materials, Inc. Simultaneous in process metrology for cluster tool architecture
KR102424853B1 (en) * 2021-10-12 2022-07-25 주식회사 바코솔루션 Apparatus for processing semiconductor substrate
KR102418534B1 (en) * 2021-10-12 2022-07-07 주식회사 바코솔루션 Cluster tool for processing semiconductor substrate and method for controlling the same
KR102418530B1 (en) * 2021-10-12 2022-07-07 주식회사 바코솔루션 Apparatus for processing semiconductor substrate
CN114904822B (en) * 2022-03-31 2023-09-26 上海果纳半导体技术有限公司 Manipulator cleaning device, cleaning method and semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2729310B2 (en) * 1988-05-12 1998-03-18 三菱電機株式会社 Apparatus for forming thin film on semiconductor substrate surface
JP3107425B2 (en) * 1991-10-09 2000-11-06 三井化学株式会社 Amorphous solar cell
JPH05275343A (en) * 1992-03-27 1993-10-22 Toshiba Corp Substrate treatment apparatus
JP3297857B2 (en) * 1995-12-27 2002-07-02 東京エレクトロン株式会社 Cluster tool device
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
JP2002270596A (en) * 2001-03-12 2002-09-20 Matsushita Electric Ind Co Ltd Apparatus for fabricating semiconductor device
JP2003115578A (en) * 2001-10-05 2003-04-18 Canon Inc Nonvolatile solid magnetic memory, its manufacturing method and multichip package
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI482224B (en) * 2008-06-14 2015-04-21 Applied Materials Inc Method for surface treatment of semiconductor substrates
TWI679694B (en) * 2015-10-28 2019-12-11 日商東京威力科創股份有限公司 Substrate processing method, substrate processing apparatus, substrate processing system, and memory medium
TWI700750B (en) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 Method and apparatus for selective deposition of dielectric films
CN111507076A (en) * 2019-01-29 2020-08-07 北京新唐思创教育科技有限公司 Common case courseware making method and device for teaching system and terminal
CN111507076B (en) * 2019-01-29 2022-07-05 北京新唐思创教育科技有限公司 Common case courseware making method and device for teaching system and terminal
TWI827326B (en) * 2021-10-29 2023-12-21 大陸商北京北方華創微電子裝備有限公司 Semiconductor process equipment and monitoring methods for wafer position status

Also Published As

Publication number Publication date
WO2008005773A3 (en) 2008-02-28
JP2009543355A (en) 2009-12-03
WO2008005773A2 (en) 2008-01-10
KR20090035578A (en) 2009-04-09
EP2041774A2 (en) 2009-04-01

Similar Documents

Publication Publication Date Title
TW200811916A (en) Cluster tool for advanced front-end processing
US20070134821A1 (en) Cluster tool for advanced front-end processing
US20070196011A1 (en) Integrated vacuum metrology for cluster tool
JP7190814B2 (en) Air gap formation method
US11101174B2 (en) Gap fill deposition process
US7159599B2 (en) Method and apparatus for processing a wafer
JP4191137B2 (en) Cleaning method for substrate processing apparatus
US7585686B2 (en) Method and apparatus for processing a wafer
KR102223662B1 (en) Processing systems and methods for halide scavenging
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
JP2004179426A (en) Cleaning method of substrate processing apparatus
JP2009124050A (en) Manufacturing method of semiconductor device and substrate treatment apparatus
JP2007115797A (en) Substrate processing apparatus, substrate processing method, program, and recording medium having program
JP2010206050A (en) Method of manufacturing semiconductor device, and substrate processing apparatus
WO2007023639A1 (en) Substrate treating apparatus, and for the substrate treating apparatus, method of substrate delivery, program and program storing recording medium
US7361595B2 (en) Transition metal thin film forming method
KR102023434B1 (en) Film forming method, film forming system and surface processing method
JP5374749B2 (en) Insulating film forming method, computer-readable storage medium, and processing system
JP5356552B2 (en) Cleaning method, semiconductor device manufacturing method, and substrate processing apparatus
JPS63266835A (en) Vapor-phase reactor
JP2023007137A (en) Film forming method and film forming device
JPS63266834A (en) Vapor-phase reactor
JP2009049217A (en) Method of manufacturing semiconductor device