TW200534132A - Delta information design closure in integrated circuit fabrication - Google Patents

Delta information design closure in integrated circuit fabrication Download PDF

Info

Publication number
TW200534132A
TW200534132A TW094101967A TW94101967A TW200534132A TW 200534132 A TW200534132 A TW 200534132A TW 094101967 A TW094101967 A TW 094101967A TW 94101967 A TW94101967 A TW 94101967A TW 200534132 A TW200534132 A TW 200534132A
Authority
TW
Taiwan
Prior art keywords
progressive
difference
circuit
design
deviation
Prior art date
Application number
TW094101967A
Other languages
Chinese (zh)
Other versions
TWI297446B (en
Inventor
Li-Fu Chang
Yao-Ting Wang
Feng-Cheng Chang
Original Assignee
Clear Shape Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Clear Shape Technologies Inc filed Critical Clear Shape Technologies Inc
Publication of TW200534132A publication Critical patent/TW200534132A/en
Application granted granted Critical
Publication of TWI297446B publication Critical patent/TWI297446B/en

Links

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Systems and methods for timing-driven shape closure in integrated circuit ("IC") fabrication are provided. These Integrated Design-Manufacturing Processes ("IDMP") include a delta flow that integrates information of the IC fabrication timing and geometry verification processes into the IC design. The delta flow is an incremental flow that includes delta-geometry timing prediction processes and/or delta-timing shape prediction processes for processing difference information associated with circuit characterization parameters. The delta flow independently re-characterizes an IC design using the difference or delta information corresponding to the circuit characterization parameters. The delta flow provides delta outputs (incremental) that enhance or re-characterize corresponding parameters of the devices and interconnect structures without the need to generate new circuit characterization parameters and without the need to re-process all information of the IC design.

Description

200534132, 九、發明說明: 【發明所屬之技術領域】 本發明係有關一種製作積體電路的方法,特別是關於一種系統化黎】作 積體電路元件或晶片的方法。 " 【先前技術】 在超大型積體電路(VLSI)中,設計製造高複雜度的電子電路需要’ 多步驟。一典型的系統晶片(System-on-Chip,SoC )或者晶片設計,乃由 概念式的晶片特性尹始’其包含晶片’及所需的各種輸入及輸出端子、二 φ 個廣泛的設計概念再被轉化為架構設計,其包含具有功能描述的各種^ 元,與其彼此間的連結關係。其中各種功能單元再藉由更詳細但仍然不具 體的方式描述,例如利用邏輯閘來描述單元的功能。最後這些邏輯間將再 被轉化為積體電路佈局,然後被應用以製成真正的晶片。因此,積體電路 佈局是最後真正被用以製造晶片的依據。 在設計與製造之間,會加入分析整合工具,以確保製造與設計相互符 合。這些分析工具可以利用許多方式偵測出佈局錯誤,例如違反設計標準 (design-rule)、或更上層的設計錯誤,例如電路邏輯錯誤、短路、或電源不 當等等。其中一項基礎的分析技術為涉及分析積體電路佈局中,訊號時間 泰 的分析技術。 “時間限制”在積體電路設計中,代表電路裡的電晶體,必須要在有限 的’且是預先規劃好的時間裕度(time window)裡完成切換。而該時間裕度 是根據電路裡各項元件的延遲來預先分割的。一深次微米系統晶片可能會 運作在十億赫茲(Hz)或者更高的頻率,於是電晶體就必須要在幾百兆分之一 秒的時間裕度中,完成切換,切換時間約是100兆分之一秒。因此,高速 運作的系統晶片,要求更小的時間裕度。 微小時間裕度對於元件尺寸以及元件間連結方式的敏感度高,特別是 在深次微米系統晶片設計上。因此,在積體電路設計中使用精細的尺寸, 對於時間裕度有不好的影響。例如解析度強化技術(resolution enhancement 200534132_ techmques,RET)會導致顯著的電晶體切換時間延長,因為其會導致複晶矽 閘極㈣:^叫的實際長度與設計長度間的偏移誤差咏化—小或者化學機 械研磨法(chemical mechanical polishing, CMP)會導致顯著的導線延遲時間 延長,因為其會在高密度連接區域造成碟型下陷效應(咖叩e.ffects)。因 此’在系統4巾’製作完成的產品,在各種尺寸±會與原賴有偏移誤 差,而會影響功能表現。 曰曰又叮興製程原是被預期有低成本且高良率的結果,儘管許多偏 移°'差在製程中;產生。但其所造成的各種時間問題使電路設計者必須要200534132, IX. Description of the invention: [Technical field to which the invention belongs] The present invention relates to a method for manufacturing an integrated circuit, and in particular, to a systematic method. " [Prior art] In very large scale integrated circuits (VLSI), designing and manufacturing highly complicated electronic circuits requires multiple steps. A typical system-on-chip (SoC) or chip design is based on the conceptual chip characteristics Yin Shi's "includes the chip" and the various input and output terminals required, two broad design concepts It is transformed into an architectural design, which contains various elements with functional descriptions and their connection relationships with each other. The various functional units are described in more detail but still not specific, such as using logic gates to describe the function of the unit. Finally these logics will be converted into integrated circuit layouts and then applied to make real chips. Therefore, the integrated circuit layout is the basis for the final real chip manufacturing. Analysis and integration tools are added between design and manufacturing to ensure that manufacturing and design are consistent with each other. These analysis tools can detect layout errors in many ways, such as design-rule violations, or higher-level design errors, such as circuit logic errors, short circuits, or improper power supplies. One of the basic analysis techniques involves the analysis of signal timing in the integrated circuit layout. "Time limit" In the integrated circuit design, it means that the transistor in the circuit must be switched within a limited 'and pre-planned time window. The time margin is divided in advance according to the delay of each component in the circuit. A deep sub-micron system chip may operate at a frequency of one billion hertz (Hz) or higher, so the transistor must complete the switch within a time margin of a few hundred trillionths of a second, and the switch time is about 100 One millionth of a second. Therefore, SoCs that operate at high speeds require smaller time margins. The small time margin is highly sensitive to the size of the component and the way the components are connected, especially in deep submicron system chip designs. Therefore, the use of fine dimensions in integrated circuit design has a bad effect on the time margin. For example, resolution enhancement technology (200534132_ techmques, RET) will cause a significant increase in transistor switching time, because it will lead to the deviation between the actual length of the polycrystalline silicon gate and the design length — Small or chemical mechanical polishing (CMP) can lead to a significant increase in lead delay time, as it can cause dishing effects (c.e.ffects) in high-density connection areas. Therefore, the products produced in the "4 towels in the system" will be offset from the original size in various sizes, which will affect the functional performance. The Yidingxing process was originally the result of the expected low cost and high yield rate, although many offsets were generated in the process; However, the various time problems caused by it make circuit designers have to

設計超規格的晶片原始設計,以獲得較大的時間裕度。例如,在必要的路 徑上加入-個額外的緩衝器,以改#非間隙性時間錯誤。這些超規格設計 使得電路描述資料庫愈加_,因此增加了製造成本,晶片面積,以及降 低晶片良率。 造成上述問_—個主要·是因科導體4的㈣設計製造流程 的缺口具體而s,晶片在輯階段,下線生產前的時職證,與晶片在 生產後的形狀驗證(geometry veriflcation)是分開且沒有任何交集的。因此, =晶體·與電路描述職(ne_僅被賴在設計階段,而不會被使用在 =之中。同樣地’最終印在晶圓上的晶像也不會提供給設計者在模型中 盘f在阳片4程序中,整合設計與製程,同時可以建立設計 善時間與形狀分析驗證的方式,75為所冀。 積體本電發m種製作積體電路的方法,特別是關於―種系統化製作Design the original design of the chip beyond the specification to obtain a larger time margin. For example, add an extra buffer to the necessary path to correct # non-gap time errors. These over-spec designs make the circuit description database more and more, thus increasing manufacturing costs, chip area, and reducing chip yield. The above-mentioned problems are mainly due to the specific gaps in the design and manufacturing process of the Coconductor 4. The chip is in the compilation stage, the current job certificate before production is offline, and the geometry verification of the wafer after production (geometry veriflcation) is Separate and without any intersection. Therefore, = Crystal and Circuit Descriptor (ne_ is only used in the design stage, and will not be used in =. Similarly, the crystal image finally printed on the wafer will not be provided to the designer in the model The middle plate f integrates the design and manufacturing process in the positive 4 program. At the same time, it is possible to establish a design and time analysis and verification method, 75 is desired. Integrate the electric power generation m methods for making integrated circuits, especially about ―A systematic production

DeSign Μ ^ 3日日片的方法,該整合式設計製程程序(Integrated g -Manufact随g Processes,IDMp),包含 製程中時間與形狀確認程序,並導入籍丄由心°積體電路 流程Ml包含鮮㈣如 ㈣電K。㈣異流程係-累進 線嫌㈣嘛難序,以處理 υ Λ桃異流程可利用該電路特性參數的該差異或 () 200534132^ 偏異資訊,獨立地重新特性化―積體電計。該偏異流程提供累進偏異 輸出’其能增強或重新特性傾元件及連賴中的對應參數,而無須錢 新的線路舰錄,亦無翻輯_料輯巾所有的資訊。 【實施方式】 一具有系統與方法以針對積體電路製程中時間及形狀關係改 式没計製造程序揭露如下: 違用以製造積體電路的系統與方式以下稱為整合式設計製造程序 (Integrated Design-Manufacturing Processes, IDMP) ^ 間與形狀偏移誤差的資訊,並利用—包含偏異形狀時間預測程序與 、日、間外糊測程序的偏異流程,以應用在積體電路辑巾。 〃 圖Α係為整合式設計製程程序㈣以細以吨福 Γ主财_ 1嫩,射包含了—偏異流㈣1,其包含-偏異 102 ^ 1〇4, «4^ 、、則101匕含雜異形狀時間預測程序102及/或該偏異時間外形預 整二了積獨立運作或合併運作。磐合式設計製程程序1ϋ0Α 移及製_時__,;其姻整合由形狀偏 型。咳整人i1制移决差貝_電路模型資訊’來得到增強型電路模 程序1_再_該增強《路模型來產生—可傳 移誤Ϊ=Γ3Γ設計使用。™模型在設_被導入時間偏 計f程程序ΗΚ)Α_肖__應的時間偏移縣資訊。該整合式設 ,產生尺寸__,用 i、,、、6積體轉設計制賴擬模型。 H二序1〇〇Α提供,異流_予積«麟^ 系統共同運作,以輸出偏異訊良,用、可獨立運作或與積體電路設計製造 •x及連结點結搆所需的相關參數特一異輸 7 200534132· 『增強化偏異苓數資訊』,『增強化偏異參數』,或『偏異資訊』。該由偏異 流程101產生的增強化偏異參數資訊可被導入典型的積體電路設計製造流 程糸統’例如自動化電子設計系統(electr〇nic design automation,EDA, system) 該增強化偏異參數資訊具有數種可被應用在積體電路設計的型態。舉 例而言,其可提供對應各式參數的分離結果,其中包含各式參數的偏異資 Λ。该增強化偏異參數資訊亦可包含修正或重新定義的積體電路設計資料 庫貧訊,其可包含將該增強化偏異參數資訊連結或附加到電路描述檔案 鲁(netlist),例如 SPICE netlist,或者模型,例如 Berkeley short-channel IGFET model (“BSIM”)之中;其亦可包含以增強化偏異參數資訊直接取代電路描述 檔案中的育訊。額外的連結點及/或元件模型在導入偏異資訊時,可以憑藉 經驗、實際尺寸、或者兩者兼備的模式,並不受限制。 ,對比於典型的積體電路設計流程,該偏異流程具有產生並運用參數偏 差以疋義積體電路設計的不同。舉例而言,該參數偏差可包含積體電路設 計中的-個或多個時間差異(可稱為時間偏移誤差、偏差時間、或卸與尺 差異(了稱為尺寸偏移誤差、偏差尺寸、或者。該差異亦可包含用 以疋義積體電路設計的電路參數,例如漏電能⑽kagep_·)。該電路參數 _包含但不限於例如電阻差異、電容差異、以及電感差異,以及漏 能等等。 該偏異流程ωι包含用以處理輸入資訊的程序,例如偏異資訊,以及 2據個或多個兀件或連結點而產生偏異預定分配的程序。由該偏異流程 1產生的該偏異預定分配可包含對胁意數量參_差異纽,例如包含 、不=於電阻差異、電容差異、電感差異、電路或元件參數、形狀參數、 間參數料。該輸人資訊可由其他的偏異流程或者其他腿及/或設 造系統而來,但不限於上述舉例。 日士,=異流程1〇1亦產生一偏異輸出,以在差異違反或者影響電路設計 了㈢该«產生的來源或者位置。該偏異輸出因應來源而可分別產生 8 200534132* 獨立的輸出資訊,例如ΛΓ 另外,該偏異流程⑻白路中的兀件的獨立電容值C的差異。 多程序細。可提供給歡_物种的許 的依賴,而有效率地提供差’可減低對於龍庫以及其他資訊 賴,可以增加電路設計的效率,、讀制。而減低對資料庫的依 要,舰Η次. 半目為其減低了許多模擬操作的次數。更甚 要偶⑽Si騎扣對絲來賴雜作,而非在增加新的參數後,需 要對整個電路進行重新模擬操作。 ^ 文後而 在/、後的㈣巾’將介紹許多詳細的特點, 電路系統的瞭解。任何孰於此 此實施例可以分別運=、,、=士在閱讀本說明書後可以瞭解這 :貫_ 了〜別連用’組合’或與其他线合併運料等 貫施例僅為例示性說明本發明之片 迚 的系統與方树蘭舞=她纽,α_機積體電路 圖-Β係為—整合式設計製程程序的方塊圖麵 剌在製_電路巾,根據—實補,職合_製程= 佈置、^祕於—域多個電路設計程序1G,電路佈局程序12 (包含 ^ &咖讀辦14,微紐辦2卩,崎賴化技術程 ^,細呈序24,偏異形狀時間預測程序(刷⑽卿⑽㈣膨 測程郭nTentp晴咖侧。該偏異流程包含該偏異形狀 二序102以制偏異時間外形預測程序1〇4,但其他的實施例可單獨選擇装 一進行操作,或者選擇數個以上的偏異形狀時間預測程序1〇2以及偏里時DeSign Μ ^ 3 Japanese film method, the integrated design process (Integrated g-Manufact with g Processes, IDMp), including the process of time and shape confirmation procedures, and imported by the heart ° integrated circuit flow M1 contains Fresh ㈣ like ㈣ electricity K. The different flow system-progressive line is too difficult to order, in order to deal with the υ Λ peach difference flow can use the difference of the circuit characteristic parameters or () 200534132 ^ bias information, independent re-characterization-integrated electric meter. This deviation process provides a progressive deviation output, which can enhance or re-characterize the corresponding parameters in the tilting element and the relay, without the need for a new line ship record, and without all the information of the reprint_material series. [Embodiment] A system and method for changing the manufacturing process for integrated circuit in terms of time and shape are disclosed as follows: The system and method illegally used for manufacturing integrated circuit are hereinafter referred to as Integrated Design Manufacturing Process (Integrated Design Manufacturing Process) Design-Manufacturing Processes (IDMP), and use the deviation process including the time-deformation prediction procedure and the day-to-day external paste measurement procedure for the application of the integrated circuit. Α Figure Α is an integrated design process program. It is based on the details of the tonnage. The main asset is _ 1 tender, which contains-deviation flow ㈣ 1, which contains-deviation 102 ^ 104, «4 ^, and then 101 The heterogeneous shape time prediction program 102 and / or the deviation time shape pre-adjusted product operates independently or in combination. Panhe style design process program 1ϋ0Α Move and make _ 时 __; its marriage integration is shaped by shape. Cough up the human i1 system to determine the difference _circuit model information ’to get an enhanced circuit model. Program 1_Re_This enhancement is generated by the road model—transmittable error Ϊ = Γ3Γ is designed for use. The ™ model is imported into the time offset meter program (ΗΚ) Α_ 肖 __ying time offset county information. This integrated design generates size __, and uses i ,,, and 6 products to design the model. Provided by H second sequence 1〇〇Α, the different currents_yuji «Lin ^ system works together to output a good signal, can be used independently or with integrated circuit design and manufacturing • x and the connection point structure required Relevant parameters are specific and different. 7 200534132 · "Enhanced partiality information", "Enhanced partiality parameter", or "Partial information". The enhanced deviation parameter information generated by the deviation process 101 can be imported into a typical integrated circuit design and manufacturing process system, such as an automated electronic design system (EDA, system). The enhanced deviation parameter Information has several types that can be applied to integrated circuit design. For example, it can provide separation results corresponding to various parameters, including biased resources Λ of various parameters. The enhanced bias parameter information may also include a modified or redefined integrated circuit design database, which may include linking or appending the enhanced bias parameter information to a circuit description file such as a SPICE netlist. , Or a model, such as in the Berkeley short-channel IGFET model ("BSIM"); it can also include directly replacing the training in the circuit description file with enhanced bias parameter information. The additional connection points and / or component models can be imported without any restrictions based on experience, actual size, or both. Compared with the typical integrated circuit design process, this deviation process has the difference of generating and using parameter deviations to make sense of the integrated circuit design. For example, the parameter deviation can include one or more time differences (which can be referred to as time offset error, deviation time, or unloading and rule difference) in integrated circuit design (known as dimensional offset error, deviation size , Or. The difference may also include circuit parameters used to define the integrated circuit design, such as leakage energy ⑽kagep_ ·). The circuit parameter_ includes but is not limited to, for example, resistance difference, capacitance difference, and inductance difference, and leakage energy, etc. The deviation process ωι includes procedures for processing input information, such as deviation information, and 2 procedures for generating a predetermined assignment of deviations based on one or more components or connection points. The pre-determined allocation of bias may include a number of different parameters, such as including, not equal to, resistance, capacitance, inductance, circuit or component parameters, shape parameters, and parameters. The input information can be other Deviating process or other legs and / or designing the system, but not limited to the above examples. Japan, = Different process 1101 also produces a deviating output to violate or affect the circuit when the difference Designed the source or location of the «generated. The biased output can be generated according to the source 8 200534132 * Independent output information, such as ΛΓ In addition, the biased process ⑻ the independent capacitance value of the component C in the white road Differences. Multiple procedures and fine details. Can provide a lot of dependence to Huan_species, and provide poor efficiency efficiently. It can reduce the reliance on dragon library and other information. It can increase the efficiency of circuit design and reading system. And it can reduce the database. It depends on the ship ’s time. It is a half-mesh that reduces the number of simulation operations. It is even more important that Si rides on the wire, instead of re-simulating the entire circuit after adding new parameters. ^ 文 /, 介绍, 后, 将, 将 will introduce many detailed features and understanding of the circuit system. Any of the examples in this embodiment can be operated separately. After reading this manual, you can understand this: Guan_le ~ Do not use 'combination' or combine materials with other lines and other examples are only illustrative to illustrate the system and Fang Shulan Wu of the present invention = She Niu, α_machine integrated circuit diagram -B system is- Integrated The block diagram of the calculation process program is in process _ circuit towel, according to-practical supplement, job combination _ process = layout, ^ secret in-domain multiple circuit design program 1G, circuit layout program 12 (including ^ & coffee reading office 14, Weinuo Office 2 卩, Qi Laihua Technical Process ^, Fine Sequence 24, Deformation Shape Time Prediction Program (Sweep ⑽ ⑽㈣ ⑽㈣ 测, 郭 nTentp sunny coffee side. The deviation process includes the deviation shape 2 Sequence 102 uses the bias time contour prediction program 104, but in other embodiments, it can be selected separately for operation, or it can select more than one bias shape time prediction program 102 and the partial time.

間外形預測程序104同時進行操作。 ” T 同時電路設計程序1〇,電路佈局程序12 (包含佈置以及連結),時間 分析程序Η ’偏異形狀時間預測程序(Μ_ρι,_㈣脱,偏異時間柳 預測程郭nTent p職sses)刚可稱為設計程序,亦可依照需要選擇所需的 部分組合運作,或者與其他積體電路製程整合制。同樣地,形狀驗證程 序20 ’解析度強化技術程序22,製程程序24,可稱為製造程序,亦可依昭 需要選擇所需的部分組合運作,或者與其他積體電路製程整合應用。*、、、 9 200534132* 一般而言,電路所包含的獨立部分數量龐大,因此電子電路設計者通 常依賴電腦程式來輔助及自動化電路設計程序。本整合式設計製程程序 1〇〇B可包含一個或者多個自動化電子設計系統(EDA SyStern)或其他輔助設 計與製造系統。因此,在以下對於整合式設計製程程序的描述中,整 合式設計製程程序的各項子程序可單獨被應驗與—個或者多個自動化電 子設計系統或其他輔助設計與製造系統之中。 汶1曰式δ又汁製程程序〗00B接受一個或者多個高階硬體描述語言,例 如VHDL,Verilog等等,而後將其轉譯為低階的描述語言,例如電路描述檔 φ 案(lletllSt)。一個電路描述檔案會描述積體電路的設計、元件、連結點、以 及連接方式等等,其可被轉化為—封_電路圖像,其中各連結點都會有 對應的連接物。在一個更高階的抽象描述中,一個類別式電路描述構案 (generic⑽㈣可藉由一技術獨立的原始槽(techn〇bgy inde_^^^ primitives)而得:該整合式設計製程程序麵能根據一技術特性資料庫 (technology哪ecihclibrary),轉譯該類別式電路描述檔案為一個低 技術特性的電路描述樓案。該技術特性f料庫亦稱為單元資料庫㈣ 1出rary),或者元件資料庫((1_诎㈣),其包含邏輯閉模型,用以預估某 一電路設計所需的時間與電源參數。該整合式設計製程程序麵系统利用 瞻,取媒體儲存電路描述職,織處理並且驗證該電路描述齡,以產 生-實體的元件佈局光罩格式,其可直接在製程中被使用, 積體電路元件。 Λ 7 電路設計者錢路設計程序财,產出高_種贿語言,以描述 電路設計,例如使用Vei,iiog或VHDL等硬體描述語言。該高階硬體贿 被轉化為-電路描述程式,其描述了電路中組成電路的元件以及這些元; 彼此連接的導線(亦即電路的『連結』或者『網絡』)。該電路描述程式並不 代表這些元件在真實石夕晶片上的位置,亦不代表實體導線的連接途徑。 該整合式設計製程程序100B在佈局程序12中,利用該電路描述程式 以產生-實體佈局圖。該佈局程序12藉由例如配置程序以及連接程序來決 10 200534132 - 定實體元件的配置以及導線的達接途徑,以供產生 該元件配置程序利用電路描述程式的資訊來決定每片 内的位置,其位置訊息通常包含兩個轴向,例如 石夕曰曰片 該位置通常會考量許多最佳化的目標,例如導線寬度,二=電= 該佈她謙果為包含—具有各元件 構來1麵藉由電路描述程式以私件位置資料結 需要連接的端點位連=)°該路徑連接器根據元件 ί=構,以及元件位置資料結構將被同時用以決狀 貝料庫以供製造實體積體電路使用。 該整合式設計製程程序]_透過任何程序10_ 電路設計佈局軸偏異形狀時咖丨程序】G2結 之:= ,102 ^ =尺寸偏祕差資訊。在其他的實施财,也可續—個或衫個任何 私序10-24的選擇組合中,取得尺寸偏移資訊。 尺寸偏移决差貝況包含和凡件有關的,至少一個以上的水平及域垂直 方向偏移誤差:纽㈣’例如長度或錢度偏移誤差,厚度偏移誤差⑽, 二及其他讀參數偏移誤差料。電路元件包括—般積體電路料會使用 的凡件與連結點。献寸偏移誤朗此包含但不限於晶片中各層材料以及 =位置(x,y)的偏異形狀資訊(可表示為『偏異形狀處』或『錄』)。 牛例而言,-實_巾的光職偏細㈣移縣,及/或其他近接本 質(陶i論y-based)的改變,皆會導致形狀的偏移誤差織t。該厚度偏移誤 差々代表可由晶片中各層材_系統化特性導致的厚度偏移誤差。 偏異形狀時間預測程序搬通常使用偏異形狀△_來整合積體電路 200534132 · 製程中的時間與形狀偏移誤差程序,亦即在製程中會發生擾動的設計資訊 之程序,例如解析度強化技術(RET)、檢測等等程序,然後將結果導入積體 電路設計的佈局中。為達上述目的,該偏異形狀時間預測程序1〇2整合偏 異形狀Δά/Δί,並將其導入電路模型中,以形成時間描述及/或增強化電路模 型。該偏異形狀時間預測程序102可以使用來自解析度強化技術預測工具 的輸出結果’以產生上述的偏異形狀Ad/At結果。The temporal shape prediction program 104 operates simultaneously. ”T Simultaneous circuit design program 10, circuit layout program 12 (including layout and connection), time analysis program Η 'distortion shape time prediction program (Μ_ρι, _㈣ ,, deviation time Liu Yancheng Cheng Tent pss) just It can be called a design program, and you can also select the required combination of operations according to your needs, or integrate it with other integrated circuit manufacturing processes. Similarly, the shape verification program 20 'resolution enhancement technology program 22 and process program 24 can be called The manufacturing process can also be selected according to the needs of the selected combination of operations, or integrated with other integrated circuit manufacturing processes. * ,,, 9 200534132 * In general, the circuit contains a large number of independent parts, so electronic circuit design Usually, computer programs are used to assist and automate circuit design procedures. The integrated design process 100B may include one or more automated electronic design systems (EDA SyStern) or other auxiliary design and manufacturing systems. Therefore, the following for In the description of the integrated design process, each subroutine of the integrated design process can be independently fulfilled -One or more automated electronic design systems or other auxiliary design and manufacturing systems. Wen 1 said that δ and juice process procedures 00B accepts one or more high-level hardware description languages, such as VHDL, Verilog, etc., and then It is translated into a low-level description language, such as the circuit description file φ case (lletllSt). A circuit description file will describe the design, components, connection points, and connection methods of the integrated circuit, which can be converted into —feng_ Circuit image, where each connection point will have a corresponding connector. In a higher-level abstract description, a generic circuit description architecture (generic⑽㈣ can be obtained through a technically independent original slot (techn〇bgy inde _ ^^^ primitives): The integrated design process program surface can translate this type of circuit description file into a low-tech circuit description file based on a technology characteristic database (technology ecihclibrary). The technical characteristics f library also It is called the unit database (1 out of rary), or the component database ((1_ 诎 ㈣), which contains a logic closed model to predict a circuit design Time and power parameters. The integrated design process program system uses the preview, takes the media storage circuit description, weaves and verifies the circuit description age, to generate a physical component layout mask format, which can be directly in the process. It is used to integrate circuit components. Λ 7 Circuit designers design circuit programs and produce high-level bribe languages to describe circuit designs, such as using hardware description languages such as Vei, iiog, or VHDL. This high-level hardware bribe It is transformed into a circuit description program, which describes the components of a circuit and these elements; the wires that are connected to each other (that is, the "connection" or "network" of the circuit). This circuit description program does not represent the position of these components on the real Shixi chip, nor does it represent the connection path of the physical wire. The integrated design process 100B uses the circuit description program in the layout program 12 to generate a physical layout diagram. The layout program 12 determines, for example, a configuration program and a connection program. 10 200534132-Determines the configuration of the physical components and the access routes of the wires, so that the component configuration program uses the information of the circuit description program to determine the position within each chip. The position information usually contains two axes, for example, Shi Xiyue said that this position usually takes into account many optimization goals, such as the width of the wire, two = electricity = this cloth is fruitful to include-with each component structure to 1 The circuit description program uses the private location data to connect the endpoints that need to be connected. The path connector is structured according to the component, and the component location data structure will be used at the same time to determine the shell material library for manufacturing. Used for solid volume circuits. The integrated design process program] _ through any program 10_ circuit design layout when the axis deviates from the shape 丨 program] G2 knot: =, 102 ^ = size deviation information. In other implementations, you can also continue to get the size offset information in a selection of 10-24, or a private combination of 10-24. The size offset error condition includes at least one horizontal and domain vertical offset error related to each piece: Buttons, such as length or money offset errors, thickness offset errors, and other reading parameters. Offset error material. Circuit components include all the components and connection points that general integrated circuit materials would use. The misalignment of the inch size includes but is not limited to the material of each layer in the wafer and the deviation shape information of the position (x, y) (which can be expressed as "the deviation shape" or "record"). In the case of cattle, the change of the county's light duty position and / or other close-knit nature (Tao-yin-based) will lead to a shape deviation error. The thickness offset error 々 represents a thickness offset error that can be caused by the layered material_systematized characteristics in the wafer. The deviation shape time prediction program usually uses the deviation shape △ _ to integrate the integrated circuit 200534132 · The time and shape deviation error program in the process, that is, the design information program that will disturb during the process, such as resolution enhancement Technology (RET), detection, and so on, and then import the results into the layout of the integrated circuit design. To achieve the above purpose, the deviation shape time prediction program 102 integrates the deviation shape Δά / Δί and introduces it into a circuit model to form a time description and / or an enhanced circuit model. The deviated shape time prediction program 102 can use the output result 'from the resolution enhancement technique prediction tool to generate the deviated shape Ad / At result described above.

在整合式設計製程程序i〇〇B中,包含偏異形狀時間預測程序1〇2的元 件权序’使用增強化電路模型以產生—可供積體電路設計模擬的模型,並 且依據該模型產生時間偏移誤差資訊(Δτ),更詳細的說明如下所述。該整合 疋设计製程程序100Β將時間偏移誤差資訊耦合至時間分析程序14中,亦 可將時間偏移誤差資訊耗合至-個或者多個電路設計程序ig、佈局程序 12、形狀驗證程序2〇、解析度強化技術程序22以及製程程序μ之中,亦 可使用已知的各種EDA程序來代替。 整合式設計製程程序也可將時間偏移誤差資訊輕合到偏異時間外形預 測程序(InTent processes)104之中。該偏異時間外形預測程序綱利用該時 間偏移誤«tfUX產生偏異形狀織的魏或_,以在之後的積體 電路製程,以及整合式設計製程程序麵,包含偏異形狀時間預測程序 ^,之中使用,敘述如T。該偏異時間外形預測程序刚輸出偏異形狀 △_,而《細合_造雜預_序巾,其舰如_觸所敎述, 並且可以耦合到其他設計製造流程中的驗證程序。 闽一调一 又叶製程程序細的一方塊圖,其包含了一偏显流 程,其顧«造《«中,根制—實補,程 =包含但不限於-個或多個以上的電路設計程序ω,電路佈局程 含佈置以及連馳)嘲分析辩M,形紐證程序μ,解析細 ^序22 ’製程程序24,偏異形狀時間預測程郭η )爲 processes)1〇4 〇 中的說明♦,W酬咖_ = 12 200534132 · 物呈15及/或製造流程25的偏異形狀資訊△秦細嶋 =及/或時間預測。另外,該偏異時間外形 個ς 多個設計流程15及/哎势i生% ^ 债伙木目—個或者 多個設獅⑽狀編規騰至—個或者 在偏異爾咖_赠==雜__序心 路設計以及偏異形狀的資訊以自於積體電 夕個凡件及/或連結點。該粹取程序包含與任 =一個或 且不限定為元件或者連結點。 …十相關物件的資訊, 粹取出的偏異資說可以包含偏異電容值,偏異 及一偏異電阻值△可分別代表了 — 、U谷值 f違反了積體電路關於-特定連結點的設計限 图一係為一偏異形狀時間預測程序 1〇2 形狀時間测程庠HP轉H 的積體f路設計。該偏異 程序丨〇2其後會產出包含偏異形狀f訊及 ;=形狀時間酬 此之外,該偏異形狀時間預測程序102尚可利用_結果。除 偏異形狀資訊來增強應用在實施例中 二=程序102產生的 例如但不限於電阻、電容、電感、電路或元件續, ,Γ &gt;锻形狀參數、以及時間 13 200534132 參數等等正合式没計製程程序包含的偏異形狀時間預測程存·及/或其 他♦序叫用粹取出的偏異資·蘇產出電子模型,以供積體電路設計使用。 &amp;在此所述之偏異純可以藉由糊累進積體f路設計中各參數的偏異 資。fl來減低對於積體電路設計流程中單元資料庫以及連結點資料庫的依 賴度。然而’賴異形狀時間預測程彳i()2柯利用偏異流程中的偏異資 '來上述的單兀貧料庫及/或連結點資料庫。在資料庫中產出修改後 的兀件或單7L包3湘偏異魏對原始元件模型資料庫進行適當的累進修 改資料。 • 如上所述,該偏異形狀時間預測程序102接收到來自積體電路佈局以 及尺寸的偏移差異資料之實體描述,其至少包含如元件及連結點在佈局上 的閘極長度偏移差異Δ(1,與厚度偏移差異Δί等等。該實體描述可以但不限 於是一個圖像或者是文字槽案。該偏異形狀時間預測程序102在功能 Μ㈣3讀6成翻成Ad與雜闕鮮形狀Ad/At職成積體電路 白\11體描述。In the integrated design process program iOOB, the component weight sequence including the deviation shape time prediction program 102 is generated using an enhanced circuit model—a model that can be simulated by integrated circuit design, and generated based on the model The time offset error information (Δτ) is described in more detail below. The integrated design process program 100B couples the time offset error information to the time analysis program 14 and can also consume the time offset error information into one or more circuit design programs ig, layout programs 12, and shape verification programs 2 〇 Among the resolution enhancement technique program 22 and the process program μ, various known EDA programs may be used instead. The integrated design process can also integrate the time offset error information into the InTent processes 104. The deviation time shape prediction program outline uses the time shift error «tfUX to generate a deviation shape weave or _ for subsequent integrated circuit manufacturing processes and integrated design process program planes, including the deviation shape time prediction program. ^, Used in, described as T. The deviation time and shape prediction program just outputs the deviation shape △ _, and the "Finished_Making Miscellaneous Pre_sequences" is described in _touch, and can be coupled to other verification procedures in the design and manufacturing process. Min Yi Tiao Yi Ye leaves a detailed block diagram of the process program, which contains a partial display process, which «made <<«, root system-actual supplement, process = includes but is not limited to-one or more circuits Design program ω, circuit layout process including layout and continuous driving) Mock analysis, M shape program μ, analysis details ^ order 22 'manufacturing process 24, deviation shape time prediction process Guo) is processes) 104. The explanation in ♦, W Reward coffee_ = 12 200534132 · Information about the deviation of the shape of the material 15 and / or the manufacturing process 25 △ Qin Xi 嶋 = and / or time prediction. In addition, the time of the deviation is different, multiple design processes are 15 and / 势 生 生 %% ^ debt gangmumu-one or more Griffon-shaped rules to make it to-one or in the deviation __ gift == Miscellaneous __ sequence of heart design and information on the shape of the deviation from the integration of electrical components and / or connection points. This extraction procedure includes and any = one or and is not limited to components or connection points. … Ten information about related objects. The biased information can include bias capacitance value, bias and a bias resistance value △ can represent —, U valley value f violates the integrated circuit about-specific connection point The first design limit map is a product f-way design of a shape-distance prediction program 102 shape time range 庠 HP to H. The deviation program 丨 02 will then output the information including the deviation shape f and; = shape time reward. In addition, the deviation shape time prediction program 102 can still use the result. Deformation information is used to enhance the application. In the embodiment, two = programs 102, such as but not limited to resistors, capacitors, inductors, circuits or components continued, Γ &gt; forging shape parameters, and time 13 200534132 parameters, etc. Prediction procedures for the misshapen shape included in the process program are stored and / or other electronic models produced by the miscellaneous materials and the sequence are used for integrated circuit design. &amp; The biases described here can be made by using the biases of the various parameters in the design of the product f-way. fl to reduce the dependence on the cell database and connection point database in the integrated circuit design process. However, the 'reliable shape time prediction process 彳 i () 2' uses the biased resources in the biased process' to the above-mentioned single lean database and / or connection point database. The modified component or single 7L package in Hunan Province is produced in the database. Wei Wei makes appropriate and progressive modification of the original component model database. • As described above, the abnormal shape time prediction program 102 receives the physical description of the offset difference data from the integrated circuit layout and size, which includes at least the gate length offset difference of the components and connection points on the layout Δ (1, the difference from the thickness offset Δί, etc. The entity description can be, but is not limited to, an image or a text slot case. The deviation shape time prediction program 102 reads 60% into Ad and Miscellaneous in function M㈣3. Shape Ad / At Integral Integrated Circuit White \ 11 Body Description.

心佈局中的元件時,该偏異形狀時間預測程序102可經 塊⑵,從單-元件的參數偏移差異中,例如偏異電容值^,藉由各元件 = ==_„訊,躲_偏_的_訊。該偏 ㈣侧功_124,細取_賴訊來產生時間 、貝Λ及/切強整合式設計製程程序巾,原始單元資料庫的資料。 增強賴資料庫魏包含針對各參數婦縣,駐的結果,及/ 或=偏«訊修正或麵定義㈣庫元件㈣:纽。元賴型的修正可 2含杯參數偏移差異資訊連結或附加到—個或多個電路描述程 ^ t . „aD SPICE netnst ^ Begley shor,channel IGFET m〇de, (BSIM)f 兀件模型的修正柯以包含具有參數偏移差異的資訊取代原 描述程式中的資訊。 電路 在佈局中的連結點部分,根據功能方塊⑵,其將偏異形狀 到一㈣_跑贿巾。細據獅塊123,該綱狀時間= J4 200534132When the components in the center are laid out, the deviation shape time prediction program 102 may block the difference of the parameter deviations from the single-component, such as the deviation capacitance value ^, with each component = == _ „ _ 方 _ 的 _ 讯。 This partial side work _124, take _ Lai Xun to generate time, be Λ and / / strong integrated design process program data, the original unit database data. Enhance Lai database Wei contains For each parameter, the results, and / or = partial corrections or surface definition library components: New York. The correction of Yuanlai type can be linked or appended to 2 or more with the parameter offset difference information of the cup. The circuit description procedure ^ t. „AD SPICE netnst ^ Begley shor, channel IGFET m ode, (BSIM) f The modification of the hardware model replaces the information in the original description program with information that includes parameter offset differences. Circuit At the connection point part of the layout, according to the function block ⑵, it will deviate from the shape to a __ bribe. According to the lion block 123, the outline time = J4 200534132

Ac; AR ^ ^ Γ, 資訊。同軸據功倉_124,該偏異形狀時間預測程序 ^用取侍的偏異資mAC/ARML來增強原始連結點 =侧製程嫩用。__繼她皿^ K獨立的結果,及/或_偏異fmAC/ARML修正或麵定義資料庫連 結點模型資訊。 元件模型的修正可吨含職異資訊ΔσΔ職連結伽加到一個或Ac; AR ^ ^ Γ, information. Coaxial data power warehouse _124, the deviation shape time prediction program ^ Use the deviation data mAC / ARML to enhance the original connection point = side process tender. __ Following the results of ^ K independent, and / or _ deviation fmAC / ARML correction or face definition database connection point model information. Modification of the component model can include job difference information ΔσΔ job link Gaga to one or

^個電路描述程式’或模型中。元件模型的修正亦可以包含利用具有偏異 資fl AC/ARML的資5輝代原有的電路描述程ί^巾的資訊。在功能方塊⑵ 中’該偏異形狀時間預測程4 102根據元件及連結點資料庫模型的增強, =用增強模型來產生積體電路所㈣電子模型,同時進行積體電路模型的 時間分析。該時間分析結果包含但不限於電路模型的時間偏移差異^。根 據功能方塊126,該偏異形狀時間預測程序1〇2產出時間結果,其包含時間 偏移差異Δτ。 ' Η 圖四係該偏異形狀時間預測程序刪的方塊圖,j其應用在產生一偏異 «以對應連接架構,根據—實施例。該偏異形㈣間預測程序⑽接收 一個或多個來自電路設計中,資料庫更換格式/設計更換袼式(iibrary exchange format,LEF/ design exchange format,DEF)檔案 402,一技術檔案 404以及‘準寄生延遲格式(stancjard parasmc如㈣f〇rmat,spef)權^ 406。該偏異形狀時間預測程序1〇2I亦接收一 Ad檔案4〇8中,連結點的偏 異幵y狀資Λ。資料庫更換格式/設計更換格式(iibrary exchange format,LEF/ design exchange format,DEF)檔案402,技術檔案404,標準寄生延遲格式 (standard parasitic delay format,SPEF)檔案 406,以及 Ad 檔案 408 所包含的^ Circuit description programs' or models. The modification of the component model may also include the use of the information of the original circuit description process with the biased AC / ARML. In function block ’, the time prediction process of the abnormal shape 4 102 is based on the enhancement of the component and connection point database model, and the enhanced model is used to generate the electronic model of the integrated circuit, and the time analysis of the integrated circuit model is performed. The time analysis result includes, but is not limited to, the time offset difference of the circuit model ^. According to function block 126, the deviated shape time prediction program 102 produces a time result, which includes a time offset difference Δτ. Η Figure 4 is a block diagram of the deviated shape time prediction program. Its application is to generate a deviated «to correspond to the connection architecture, according to the embodiment. The abnormal shape inter prediction program receives one or more files from circuit design, database exchange format / design exchange format (LEF / design exchange format, DEF) file 402, a technical file 404, and Parasitic delay format (stancjard parasmc, such as ㈣fomat, spef) weight ^ 406. The deviation shape time prediction program 1021 also receives the deviation y-shaped data Λ of the connection points in an Ad file 408. Database exchange format / LEF / design exchange format (DEF) file 402, technology file 404, standard parasitic delay format (SPEF) file 406, and Ad file 408

資料,可代表一個或多個預先定義的積體電路範圍,或者整個積體電路設 計,但不以此為限C 該偏異形狀時間預測程序1021利用積體電路設計資訊以及偏異形狀資 200534132 · 容值AC二 數包含但不限於偏異電阻值AR與偏異電 異形狀時間_辦:在所有賴餅設計程序中被應用。該偏 槽案412,以姆強SPF利用偏異函數406,以產生一更新的卿 偏異參數_岭w 訊。增強SPEF _儀包括將 偏里時FUl//、^心、連接、或增加到SPEF檔案406之中,如上所述。 說明/、S %預測程序104輸出偏異雜Δ·規則,參照上述圖一的 t &gt; 104 5 ^ ^ 析所得之時p他2預測权序104接收來自一個或多個電路模型的時間分 142 ^ ^ ^ 差&amp;所絲___分^早歧 之間_間偏移誤 資料庫,内容包人芒女⑺在功成方塊143中,其產生偏移誤差Δτ 結點的時間_=區t 誤差Δτ,以及各單核/或連 如偏異電容值…、偏異電 =且:下列的_來表心上==== ==二配合單元資料庫中對該單元的描述,來決定或計算該單3 移=Γ,在祕方_巾鳴_则細_ _科間偏 訊’取得每—連結點之日_移誤差&amp;中的偏異資孔 △c胤,來產生例如偏異電容值AC、偏異電阻值从、偏異電感值: 專各即點的偏異貧訊。上述方法包含例如利用—連、: '配合連賴資料料對該連結_描述及更新/二域; 16 200534132 對應各元件以及偏異形狀△_,其分觸應 異資訊△(:/△[,以產生偏異㈣Λ, λ”&quot;斑早m點取得的偏 届具形狀Ad。本例例舉偏異時間外 1〇4可由連結點結構中取得偏異資訊就施脱,其亦可取料路二 中定義的任何偏異資訊。 、電路°又什 圖六係為-整合式設計製程程序_的方塊圖,其 預測程序,及偏異時間外形测㈣,以剌在積體電_財The data can represent one or more pre-defined integrated circuit ranges, or the entire integrated circuit design, but is not limited to this C. The deviation shape time prediction program 1021 uses the integration circuit design information and the deviation shape information 200534132 · The capacitance AC double number includes, but is not limited to, the deviation resistance value AR and the deviation time. In the bias case 412, the bias function 406 is used with the MSP to generate a newer bias parameter. Enhancing the SPEF instrument includes adding FU //, center, connection, or addition to the SPEF file 406 as described above. Explanation /, S% prediction program 104 outputs the miscellaneous Δ · rule, referring to the t &gt; 104 5 ^ ^ analysis time in the figure 1 above. The prediction weight sequence 104 receives time points from one or more circuit models. 142 ^ ^ ^ Poor &amp; so ___ points ^ between the early _ inter-offset error database, the content includes human maiden son and daughter in the work block 143, which produces the offset error Δτ node time _ = Zone t error Δτ, and each single core and / or such as the value of the deviation capacitance ..., and the deviation of electricity = and: the following _ to the epicenter ==== == the description of the unit in the database of two matching units , To determine or calculate the single 3 shifts = Γ, in the secret recipe _ towel ming _ then fine _ _ inter-partial bias' to obtain the date of each-connection point _ shift error &amp; the biased capital hole △ c 胤, to Generates, for example, the deviation capacitance value AC, the deviation resistance value slave, and the deviation inductance value: the deviation lean signal of each point. The above method includes, for example, the use of --link ,: 'cooperate with the dependent data to describe and update the link_two fields; 16 200534132 corresponding to each component and the deviated shape △ _, and its contact information △ (: / △ [ In order to generate the deviation ㈣Λ, λ "&quot; The spot has a shape Ad obtained at the m point of the spot. In this example, the deviation information can be obtained from the connection point structure after the deviation time is 104, which can also be taken. Any deviation information defined in Material Road 2. The circuit is also a block diagram of the integrated design process program_, its forecasting procedure, and the time-of-deformation measurement of the deviation, so as to be measured in the integrated circuit_ fiscal

_圖…圖二、圖四及圖五的實施例。本例中整合式設計製程程_ 顯示了在偏異形狀時間預測程序1〇2及偏異時間外形預測程序⑽盘職 系統所包含的程序嶋26 Μ则定彳你祕。該偏細㈣咖測程 1〇2以及偏異時間外形預測程序刚的功能如說明書所述,亦可參照圖三及 圖五的進-步說明。EDA純所包含_序··_其魏與_般職· 統的功能相同,但不限於此。 ” 整合式設計製程程序的各項程序會根據原始的㈣庫資訊,來產生具 有偏異資訊的增強化單元資料庫,以供給FDA _程序。如前所述,該^ 強或修正的元龍型可修正原始姑觀,使其包含設収狀树的^ 異形狀△(!。其過程包含各元件模型化,以期能由偏異形狀^巾粹取出各 =件的電谷偏移誤差AC。上述元件參數修正或更新特性的過程,利用了許 夕原則其中之一是更新特性的元件與既存元件模型完全相容。同時,更 新特性的元件參數亦與訊號延遲模型完全相容。其中訊號延遲可表示為 Signal Delay ^ k(Vdd/WIon)(Cin + Cout + Cwire). 而且,該更新特性的元件參數提供電流守恆,根據下列電流表示式 汲極,、極電流(“Ws,,)^eftQx(W/L)[(Vg-Vt)Vds-(m/2)Vj^^ 忒修正的元件模型(或稱為1DMp元件模型)假設一在元件資料庫中 被使用的電晶體事實上並不具有單-關極長度。而且,該1DMP元件模 型即是假設電晶體並不具有單一的閘極長度。 圖七係為一1DMP元件模型的電晶體700方塊圖,其元件模型即具有 非單一間極長度,根據一實施例。該例中的電晶體700假設其閘極710在 17 2005341.32 閘極長度具有一個不為零的偏移誤差,此假設是來自經驗資料◦因此,閘 極710的閘極長度由自連結點端點702算起約】〇〇nm(閘極長度偏移誤差 約為+10nm),以及自複晶矽端點704算起約8〇nm(閘極長度偏移誤差Ad約 為10nm)間極長度偏移5吳差Ad為+l〇nm與-l〇nm如本例所示,且在閘 極長度的各處均會表現出來。該1DMP元件模型的應用並不限於具有上述 特性的電晶體。 IDMP元件模型亦可以將閘極長度偏移誤差Ad對元件參數的影響置入 模型中。一般而e,因為閘極長度的不均勻性,IDMP元件模型假設一元件 φ 包含許多因閘極長度偏移誤差Ad而產生的寄生電容。舉例而言,圖八係為 一 IDMP元件模型的電晶體700之閘極/接面電容方塊圖,根據一實施例。 该電晶體700包含一基版802,一源極區域8〇4,一汲極區域806,一空乏 區域808,以及一閘極810。該模型中的寄生電容,舉例而言,包含至少一 個以上的閘極-源極電容(Cgs),閘極-汲極電容(cgd),源極-基版電容(csb), 閘極基版電容(Cgb),,以及汲極基版電容(cdb)。_Figure ... The embodiment of Figures 2, 4, and 5. In this example, the integrated design process shows the time prediction program 102 and the time prediction program of the deviation shape. The program included in the system is 26 μm, which determines your secrets. The functions of the partial fine coffee measurement range 102 and the deviation time profile prediction program are as described in the instruction manual, and can also be described with reference to Figs. 3 and 5. EDA Pure contains the same functions as the order and the general system, but is not limited to this. Each process of the integrated design process will generate an enhanced unit database with biased information based on the original library information to supply the FDA _ procedure. As mentioned earlier, this strong or modified Yuanlong The model can modify the original view so that it contains the ^ different shape △ (!) Of the receiving tree. The process includes modeling of each element, so that the electric valley offset error AC of each piece can be taken out from the deviated shape ^. The above-mentioned process of component parameter modification or update characteristics utilizes Xu Xi principle. One of the components is that the update characteristics are completely compatible with the existing component model. At the same time, the component parameters with updated characteristics are also completely compatible with the signal delay model. The delay can be expressed as Signal Delay ^ k (Vdd / WIon) (Cin + Cout + Cwire). Moreover, the element parameters of this updated characteristic provide current conservation. According to the following current expression, the drain, and pole currents ("Ws ,," ^ eftQx (W / L) [(Vg-Vt) Vds- (m / 2) Vj ^^ 忒 The modified component model (or 1DMp component model) assumes that a transistor used in the component database is in fact Does not have a single-gate length. Moreover, the 1 The DMP element model assumes that the transistor does not have a single gate length. Figure 7 is a block diagram of a transistor 700 with a 1DMP element model. The element model has a non-single interpole length, according to an embodiment. This example The transistor 700 in the assumption assumes that its gate 710 has a non-zero offset error at 17 2005341.32. This assumption is from empirical data. Therefore, the gate length of the gate 710 is calculated from the junction point 702. From about 00nm (gate length offset error is about + 10nm), and about 80nm from the polycrystalline silicon endpoint 704 (gate length offset error Ad is about 10nm) 5 Wu difference Ad is + 10nm and -10nm as shown in this example, and will be shown throughout the gate length. The application of the 1DMP element model is not limited to the transistor with the above characteristics. IDMP The component model can also put the effect of gate length offset error Ad on the component parameters into the model. Generally, e, because of the non-uniformity of the gate length, the IDMP component model assumes that a component φ contains many gate length offsets. Parasitic capacitance due to the error Ad. For example, Eight series is a block diagram of the gate / junction capacitance of a transistor 700 of an IDMP element model, according to an embodiment. The transistor 700 includes a base plate 802, a source region 804, and a drain region 806. An empty area 808, and a gate 810. The parasitic capacitance in this model, for example, includes at least one gate-source capacitance (Cgs), gate-drain capacitance (cgd), and source- Base plate capacitor (csb), gate base plate capacitor (Cgb), and drain base plate capacitor (cdb).

Using these parasitic capacitances,the IDMP model assumes an input capacitance (uCin55) of the device to be approximately |Using these parasitic capacitances, the IDMP model assumes an input capacitance (uCin55) of the device to be approximately |

Cin = Cgs + Cgd + Cgb. 隹 丁he IDMP model also assumes an output capacitance (“Cout”)of the device to be approximatelyCin = Cgs + Cgd + Cgb. 隹 丁 IDMP model also assumes an output capacitance (“Cout”) of the device to be approximately

Cout = Cdb + Cgd. 利用這些寄生電容,IDMP模型假設一輸入電容Cin可以被表示為 Cin = Cgs + Cgd + Cgb. 該IDMP模型同時假設一輸出電容c〇Llt可以被表示為 Cout = Cdb + Cgd 由此例中尚可發現’該電晶體700的IDMP模型利用由均勻性的閘極 長度模型與寄生電容模型而產生的閘極長度偏移誤差Ad資訊,可以增強單 元資料庫的元件參數。 18 2005341-32 圖九例示一具有深次微米(約1 OOnm左右)閘極長度之電晶體經修改後 的元件模型參數900,根據一實施例。該電晶體的閘極長度偏移誤差被 用以修正元件模型900的參數,包括有效通道長度,導通電壓,Cgd/Cgs 重疊電容值、輸入電容值、輸出電容值以及其他種種參數。該閘極長度偏 移誤差Ad可以被用以修正其他應用到該元件的元件模型的參數。 圖十A與圖十B係關於閘極長度偏移誤差Ad在元件操作的影響。圖 十A係一整合式設計製程程序之電晶體模型的訊號延遲1〇1〇對應閘極長度 偏移誤差1020之曲線圖,根據一實施例。圖十b係一整合式設計製程程序 Φ 之電晶體模型的飽和電流1012對應閘極長度偏移誤差1020之曲線圖,根 據一實施例。 除增強單元資料庫外,整合式設計製程程序的其他程序亦利用修正原 始EDA系統,使其包含設計中連結點的偏異形狀Δά/Δι,而產生修正的連 結點資料庫,如上所述。該修正含將連結點模型化,以使其能自每一連結 點中’由對應的偏異形狀△心以粹取一個或多個電容偏移誤差△◦、電阻偏 移誤差AR、以及電感偏移誤差AL資料。 連結點參數(連結點資料庫)修正或更新特性由广IDMp連結點模型 開始。 、 ”、 • 圖十一係為一 IDMP連結點模型1100的橫切面圖,根據一實施例。該 連結點模型1100包含一金屬導線贈,其具有一尺寸d與厚度t。該金屬 導線1繼與至少一個在元件中位於同一層的要件址連。該金屬導線 與該要件11〇4相距一距離s,其中該要件可為任何元件、連結點、及/ 或其他電路設計可用之結構。而該金屬導線11〇2與該要件圓之間會產 生一個耦合電容Cc。 除了與同一層的要件1104毗連外,該金屬導線11〇2亦與晶片中另一 2 m2毗連。该連接層U12可以是電路設計中的任一層或者基版。接地電 合Cg即是該金屬導線11〇2與該連接層⑴2相連放置產生的結果。 多〃?、A IDMP連結點模型11〇〇,整合式設計製程程序包含且發展出一 19 2005341*32 套描述積體電路設計中偏里开彡仙ArJM + 豆*去⑽/ 與時間偏_差&amp;關_功能集。 其兩者賴係枝在電路料巾咖输彡狀継 ==述之偏異酬間預測程序),以及利用時間偏2 △dM^^/At(如輯述之偏異時間外形测程序)等。連結點的偏異形 ^ 3魏膽狀寸d之偏移誤差Μ,以及導線·的厚度【 之偏移誤i Δί。整合式設計製錄序的程式集假設製成產生的偏異形狀Cout = Cdb + Cgd. Using these parasitic capacitances, the IDMP model assumes that an input capacitance Cin can be expressed as Cin = Cgs + Cgd + Cgb. The IDMP model also assumes that an output capacitance c0Llt can be expressed as Cout = Cdb + Cgd It can be found in this example that the IDMP model of the transistor 700 uses the gate length offset error Ad information generated by the uniform gate length model and the parasitic capacitance model to enhance the component parameters of the cell database. 18 2005341-32 Figure 9 illustrates a modified element model parameter 900 of a transistor with a gate length of deep sub-micron (about 100 nm), according to an embodiment. The gate length offset error of the transistor is used to modify the parameters of the element model 900, including the effective channel length, the on voltage, the Cgd / Cgs overlap capacitance value, the input capacitance value, the output capacitance value, and other various parameters. The gate length offset error Ad can be used to modify parameters of other component models applied to the component. Figures 10A and 10B are related to the influence of the gate length offset error Ad on the operation of the element. Figure 10A is a graph of a signal delay of 1010 of a transistor model of an integrated design process corresponding to a gate length offset error of 1020, according to an embodiment. Figure 10b is a graph of the saturation current 1012 of the transistor model of the integrated design process program Φ corresponding to the gate length offset error 1020, according to an embodiment. In addition to enhancing the unit database, other procedures of the integrated design process also use the modified original EDA system to include the deviated shape of the connection points in the design, Δά / Δι, to produce a modified connection point database, as described above. This correction includes modeling the connection points so that each connection point can take one or more capacitance offset errors Δ◦, resistance offset errors AR, and inductance from the corresponding deviated shape △ center. Offset error AL data. The connection point parameters (connection point database) are modified or updated by the wide IDMp connection point model. Figure 11 is a cross-sectional view of an IDMP connection point model 1100, according to an embodiment. The connection point model 1100 includes a metal wire gift, which has a size d and a thickness t. The metal wire 1 is Connected to at least one requirement location on the same level in the component. The metal wire is at a distance s from the requirement 1104, wherein the requirement can be any component, connection point, and / or other circuit design usable structure. A coupling capacitor Cc is generated between the metal wire 1102 and the element circle. In addition to being adjacent to the element 1104 on the same layer, the metal wire 1102 is also adjoining another 2 m2 in the chip. The connection layer U12 can It is any layer or base plate in the circuit design. The ground connection Cg is the result of placing the metal wire 1102 and the connection layer ⑴2. Multi- ?, A IDMP connection point model 1100, integrated design The process program contains and develops a set of 19 2005341 * 32 sets of integrated circuit design ArJM + Beans * Decoupling / Time Deviation _ Difference &amp; Off _ Function Set. The two depend on the circuit Stuffed coffee loses shape Prediction procedure of deviations), and the use of time deviation 2 △ dM ^^ / At (such as the procedure for measuring the deviation time profile of the compilation), etc. The deviation of the connection point ^ 3 offset error of the bile-like inch d Μ, and the thickness of the wire · [offset error i Δί. The assembly of the integrated design recording sequence is assumed to produce a deviated shape

Ad/At相對於導線的實降尺斗 9 不尺寸d/t疋被小的,此乃根據弱微理論(weak perturbation theory)而得。The size of Ad / At compared to the real drop bucket 9 of the wire is not small, and this is obtained according to the weak perturbation theory.

上述功能集為類線性雜群(quas—ear如⑼刪),其描述偏異形狀 △d/At與電阻偏移决差柯或稱為累進寄生連結點電阻舰风電容偏移誤差The above feature set is a linear-like heterogeneous group (quas-ear if deleted), which describes the shape of the deviation Δd / At and the resistance offset, or the progressive parasitic junction resistance ship wind capacitance offset error

△q或稱為累進寄生連結點電容AC)的_。麟連結點的總電阻值包含搞 合電容Capacitance及接地電容Cg,貝丨J C = Cc + Cg (等式 1) 1 口式。又什製程程序在描述偏異形狀與電阻偏移誤差AR的關係 時,可表示為 AR/R^-At/t-Ad/d (等式 2) ; 同時,整合式没汁製程程序在描述偏異形狀&amp;丨/义及電容偏移誤差 的關係時,可表示為 AC/C ^ (Cc/C)(At/t) + (Cc/C)(Ad/2s) + (Cg/C)(Ad/d) (等式 上述整合式设计製程程序利用修正這些關係,以在其中更包含一個或 者多個調變因素或變數。這些調變因數,可表示為K1,K2,K3,K4與K5, 其可調變任何因弱微擾引起的錯誤效應,以及在耦合電容Capacitance上增 加的額外電容。上述偏異形狀Ad/At與電阻偏移誤差Διι(等式2)中的修正 關係,在考慮調變因素後可表示為 △R/R 二-kl(At/t) - k2(Ad/d) (等式 4). 同時上述偏異形狀Ad/At與電容偏移誤差AC(等式3)中的修正關係, 20 200534132 在考慮調變因素後可表示為 △C/C = k3(Cc/C)(At/t) + k4(Cc/C)(Ad/2s) + k5(Cg€^ 式5). 以電容偏移誤差△&lt;:為例,在一電路模擬(例如由Sf&gt;ICE模擬產生)中比 較等式4及等式5的結果,可得到電容偏移誤差AC(歸一化後)及金屬導線 尺寸d的彳放擾μ(表示為一尺寸^的百分比)之間的關係為接近線性的關係。 圖十二係為一歸一化電容偏移誤差AC 1210對應連結點微擾Δ(ί 1220 尺寸的百刀比之曲線圖1200,根據一實施例。同樣地,在一電路模Δq or _ of the progressive parasitic junction capacitance AC). The total resistance value of the connection point includes the capacitance Capacitance and the ground capacitance Cg. J C = Cc + Cg (Equation 1) 1-port type. Also, when describing the relationship between the deviation shape and the resistance offset error AR, the process program can be expressed as AR / R ^ -At / t-Ad / d (Equation 2); At the same time, the integrated sap process program is described in The relationship between the deviation shape &amp; the meaning and the capacitance offset error can be expressed as AC / C ^ (Cc / C) (At / t) + (Cc / C) (Ad / 2s) + (Cg / C ) (Ad / d) (Equation The above-mentioned integrated design process uses corrections to these relationships to include one or more modulation factors or variables. These modulation factors can be expressed as K1, K2, K3, K4 And K5, which can tune any error effects caused by weak perturbations and the additional capacitance added to the coupling capacitance Capacitance. The correction relationship between the aforementioned deviation shape Ad / At and the resistance offset error Διι (Equation 2) Can be expressed as △ R / R two-kl (At / t)-k2 (Ad / d) (Equation 4) after considering the modulation factor. At the same time, the above-mentioned deviation shape Ad / At and capacitance offset error AC ( The correction relationship in Equation 3), 20 200534132 can be expressed as △ C / C = k3 (Cc / C) (At / t) + k4 (Cc / C) (Ad / 2s) + k5 after considering the modulation factor (Cg € ^ Eq. 5). Taking the capacitance offset error △ &lt; as an example, Comparing the results of Equation 4 and Equation 5 in a simulation (for example, generated by Sf &gt; ICE simulation), the capacitance offset error AC (after normalization) and the metal wire size d's amplifying disturbance μ (represented as a size) can be obtained. The relationship between the percentages) is a nearly linear relationship. Figure 12 is a normalized capacitance offset error AC 1210 corresponding to the connection point perturbation Δ (ί 1220 size of the hundred-to-blade ratio curve 1200, according to a Embodiment. Similarly, in a circuit mode

擬中比較等式4及等式5的結果,可得舰容偏移誤差Δε(歸—化後风金 屬導線尺寸t的微擾以(表示為一尺寸t的百分比)之間的關係亦為接近線性 的關係。 圖十三係為-歸-化電容偏移誤差△⑶關應連結點微擾Μ·在 /尺寸的百为比之曲線圖1300,根據一實施例。上述程式集亦描述了偏 二幵廣Ad/At與a守間偏移誤差Δτ的關係。利用與上述同樣的假設,且參照 等式1 5 ’整合式没計製程程序描述了時間偏移誤差△讀電阻偏移誤差 及電容偏移誤差AC的隱,其可表稿 ;Comparing the results of Equation 4 and Equation 5 in the simulation, the relationship between the ship capacity deviation error Δε (the perturbation of the normalized wind metal wire size t after (normalized as a percentage of a size t) is also The relationship is close to linear. Figure 13 is a graph 1300 of the -normalized-capacitance offset error △ CD, which should be connected to the perturbation of the connection point perturbation M ·% / size, according to an embodiment. The above program set is also described The relationship between Ad / At and a-to-A offset error Δτ is described. Using the same assumptions as above, and referring to Equation 15 'Integrated non-calculated process procedure, the time offset error Δ read resistance offset is described. The error and the capacitance offset error AC can be expressed;

At/t^ar/R + aC/C (等式 ό)· 將#式4及等式5代入等式6中’可得At / t ^ ar / R + aC / C (Equation ό) · Substituting # 4 and 5 into Equation 6 ’can be obtained

ΔΚ/R + AC/C L^(Cc/C) kl]( Δτ/τ) + k4(Cc/C)(Ad/2s) + [k5(Cg/C) - k2](Ad/d)(等式 7) 電路模擬中比較等式6及等式7的結果,可得到時間偏移誤差靖 L)及金屬導線尺寸d的微擾Δ(1(表示為一尺寸d的百分比)之間的關係 亦為接近線性的關係。 圖十四係為-歸一化時間延遲M1〇對應連結點微擾^咖在一 d尺 相百分比之曲線圖刚,根據—實施例,上述的等式^例示了在一實 施例中,在偏異流軸料細贿偏異雜、偏鱗間 偏異電阻、以及偏異電感的關係。然而,其他尚有許多不同的功能,例如 21 200534132 高階方程式功能,以及許多不同功能的組合可以依照如同本說明書的揭露 方式被應用在其他的實施例中。 圖十五A,圖十五B,圖十五C係一經過偏異參數粹取後的連結點結構圖 1500,根據一貫施例,該連結點結構1500A包含一金屬電源網柵(metaip〇wer grid)l5〇2,其透過兩個通孔(via)15〇6連接到一金屬電源供應導線15〇4。該 電源供應導線1504具有一尺寸d以及一厚度尺寸t。該電源供應導線15〇4 在相同層中具有第一鄰近結構1510以及第二鄰近結構1520。該第一結構 1510具有一尺寸dl,以及一厚度尺寸t,並且與電源供應導線15〇4相距一 馨 距離si。该第一結構1520具有一尺寸d2,以及一厚度尺寸t,並且與電源 供應導線1504相距一距離S2。 圖十五A顯示在偏異參數粹取之前的連結點結構】5⑽a。在偏異參數 粹取程序前,該連結點結構1500入具有約十個子節點,或改變區域(change area)1530。 圖十i B顯示在利用偏異形狀資訊更新連結點形狀之後的連結點結構 1500B。在利用偏異形狀資訊更新連結點形狀之後,該連結點結構 1500B 具 有約四十個子節點,或改變區域153〇。 : ,ί 圖十五C顯示一端點視圖的連結點結構l5〇〇c (連結點結構 • l5〇〇A/l5〇〇B的端點視圖),其具有尺寸參數以及相對應偏異形狀,以供偏 異電容粹取利用。根據說明書中的偏異形狀時間預測程序,可依據下列等 式8及9粹取偏異電容 AC ’C鄉d + (㈣sl)Asl +卿叫趣+ (職拳2 + (5C/^12)Ad2 + (如/叫义 (等式 8) 及 AC C(d + Ad5sl +Asl5dl s2+As25 d2+Ad2)-C(d,sl,dl,s2,d2)(等式 9) 等式8及9代表—個偏異電容粹取的實施例。其他偏異資訊,例如偏 異電阻及偏異電感,亦可以被粹取;且不同的功能,例如高階方程式功能’ 22 200534132 及不同的功能組合可以依照說明書中其他描述被進行。 應用㈣路設計中*當偏異形狀資訊被 型的精貝§_歸的增加。這些增加崎訊對典 Νμ ^ 'Ή而5 ’在處理效率上是―個顯著的負擔,因為血型的〜 面臨新增加的特性參數及偏異訊息時,需要整體性地重新處理全又 ,'典型積體電路②計流程不同,前述所提的 間預測程序及/或偏異時間外形預測程序)利用一_^^^ ^性參數有關的偏異資訊來重新特性化_積體電路設計。該❹流程_ ,其可增強或重新特性化對應元件以及連結點的參數,而、 數=生新的特性參數’亦無須重新處理全部的資訊。藉 數庙貧訊個別地提供偏異資訊輸出,該偏異流程減低了設計程原二 ^庫資訊的依賴度,因其有效率地針對特定的電路參數 = n /…低(或/肖除)+多在設計流程巾 (lookup ope論on)。更甚者,該偏異資訊允 伽 視奋作 行累進處理,而《要在代人—個__之後,重新^=^進 因此其可增加積體設計程相效率、稍與射性。 , 連結點模型說明,允許針對各節點的偏異形狀△她資訊,粹取 布局中各賴點或連結點區域的—個或者多個偏異電容^、偏異電阻紐、 或偏異電感从。該偏異資訊Μ、放及域&amp;亦可代表参 U^f^^^menhanced characteron pa^ete,)^, f (enhanced characterization parameters) 〇 ^ 點的電容、電阻、及/或電狀新練。騎 ^ 、、、口 ac/λ^ , :&quot;!m 的電容、電阻、及/或電感之新數值。 ^^後n點 根據圖二及圖三’該偏異形狀時間預測程序1〇2或其他整合式設計製 23 200534132 &quot;ΪλΤΙ::™ &gt; 及/或AL的偏異貝讯。其會利用直接 extraction pr〇cess)^^#^,^fl^ ^ tm ac,ar,AL, eXtraCti〇n Pr〇CeSS)^f^ 其他實施例___序^=序_明,但減為限, 資賴少或麵除韻魏製造料巾·單元資料庫 △⑽根據偏異形狀△她直接計算偏異參數資訊 序在偏異形狀△•與至少一個偏==程 ;ir^ ° r: -.«t ;Γ!ΓΤ/ΠΓ 'ΓΓ&quot;1-7 * .AR , U/.- ΛΙ , 一衣查為母一連結點的偏異資訊AC, 以及等式1 7 Γ巾錢絲可細娜每—個連結⑽偏異職△_, 愈1 計算每一個連結點的偏異資訊魷,服,及/或乩。 庫中的連取二=:二卜推 貝讥木扦取偏異貧訊△&lt;:〕,AR,及/或〇 正後=六^3^粹圖瞻,用以粹取修正後接點的修 異形=Γ,時間預測程序接收或讀取—實體電伽十佈局描述的對應偏 、 以及確涊该佈局的連結點,由此開始粹取程序。 織=異雌序在功能方塊161G中,依序表示每—連結點的 狀對庳示可包含例如依序絲多邊形。其包含對設計形 偏卿狀時;點採樣’以及產生向量以代表採樣點偏異形狀Ad的向量。該 輪程序轉賴向量以形成—多邊形祕,其可表示為一『Ad 圖十七係為一多邊形連結點】700的方塊圖,根據一實施例。該偏異形 24 200534132 預測程序藉由修正—區_原始尺寸_)或部分原始料邊形的連 點175G ’將該連結點多邊形化(即在端點A與端點β中間 誤差Μ向量,—咖 以連結點H0G為例,其依序表示的多邊形起始於 i m如Γ的原始尺寸D〇,以形成該第—區㈣1的一新尺 在連灶點的篦-卩新尺寸D2。多邊形程序接著 因其對應的尺寸偏频^為^序雜正知四_™的壯尺寸D〇, 在功月匕方塊1 6 1 0中,盆p 士之、鬼f 能方塊⑹2中,該偏二形程序(回到圖16的程序),另在功 始連㈣占區間(非夕Ir 測程序重新得到對應於一個或者多個原 檢視表以取回所需資1,㈣1的私序包含’例如,利用一個或多個 方式亦可應用在其他實施例中m經由一資料庫或其他來源取回資訊的 結點晴擊連 而後利用如纽修正雜趣也貞§fl,重卿回原始特性參數, 兮·比較程^'數&quot;^、關在重新特性化新的連結點區間。 所示,比較—原始連結點區⑧〇與—對應Μ 差i(咖形狀時_鱗她咖_(亦即尺寸的 差卿夠姆對應修正後連結點的修正後特性參數(電容 25 200534132 或電感)。該修正參數可以由原始電容、 :::糊爛 __==== 連、、,。點貧料庫的資訊。修正連結點資料 曰強原始 增加到—個或者多個電路描述檔針。 ㈣acmrml △C/△的資料,來取代—個或者多個原始電:二含資: 料庫亦可___正规_域練之f容雷修 或電感,來取代-個或者多個電路描述標案的資料。 丨且 偏異======^=:糊_測程序的 度,其藉由利用偏異形狀崎資訊 直早凡貧料庫的依賴 接計算粹取方式來取代外推粹中的各個分段點,如果其允許已直 包含利用直接計算之偏m ,貞EDA及/或其他設計製造系統即可 中*些部分取辦,攝粹取程序,因細 式的重新取回資訊程序。-貝Λ方式重新取回資訊,以替錄視方 參照圖一、二、三石 上的處理器下受;;控二但不少需f一個《 明的流程_詳細說日打 “於此技-者,可在依循本發 本發明的方法。根據這此、、“&quot;^、°碼、微碼、程式邏輯陣列或其他利用 記憶體,1料相_4程_演算法或—般操作,可被儲存在非揮發 如光碟、藉由==”份、相_體區域、可抹消媒介,例 一述要=:先:=&quot;~,半- 他處計製程程序,其包含可在一職電腦系統或者其 主序k些程序叮被具體實現為程式碼,而被儲存在 26 200534132 . 機《Π r。賣取或電腦吁4取的έ己憶體區域或者電腦系統元件中,而被電腦系 統的處理器執行。 雖然有許多的電腦系統可以被用來使用整合式設計製程程序,圖十八 係為一官控該整合式設計製程程序的電腦系統18〇〇示意圖,根據一實施 例。该電腦系統〗800 —般包含一中央處理單元(cpu),或中央處理器18〇2, 以/处理資訊與指令,一個定址/資料匯流排丨8〇1耦合到該cpu 18〇2以交流 礼〜 揮發5己1思體1804(例如random access memory,RAM)柄合到該匯流 排】801以儲存由CPU 1802來的動態資訊及指令。該電腦系統i8㈧亦可包 鲁 έ個成者夕個光學儲存元件1808,其搞合到該匯流排iso〗以儲存資料與 指令。該儲存元件或資料儲存元件1808可包含一個或者多個可抹消磁性或 者光學儲存媒介,其為電腦可讀取的記憶體。揮發性記憶體18〇4、非揮發 性汜憶體1806、及/或儲存元件1808的各式組合,儲存了描述整合式設計 製程程序巾各程序的資懸構,但整合式設計製絲序並不限於被儲存於 上述媒體之内。 …. ' 該電腦系統1800亦可包含至少一個以上的光學顯示元件】8丨〇。其耦合 到匯流排1801以顯示資訊給電腦系統18〇〇的使用者卜該電腦系統18㈧的 —個實施例亦可包含—個或者多個光學輸人元件1812,餘合到匯流排 1801以供傳遞選擇給CPU1802的資訊與指令。另外,該電腦系統_ 功]包3 —個光學游標控制或指向元件丨814,其耦合到匯流排1⑽1以傳遞 使用選擇輸人到CPU驗的資訊與命令。該電腦系統_亦可包含— 個或者多個光學訊號轉換元件1816(例如傳遞器、接收器、數據機等),其 搞合到匯流排18〇1以與其他電腦系統提供溝通介面。 ” 本說明書中敘述之製造積體電路的系統及方法,包含一方法,其利用 一個或者多個功能性偏異參數以及該連結點至少一個的尺寸偏移誤差的電 路設計中,對應至少一個連結點而直接產生累進偏異參數的方式。其中$ 偏異參數包含一個或者多個能夠特性化該連結點的電子參數中,各參數= 27 200534132 本說明書巾《之製造歡€_純及枝,包含—方法 ===寸偏移誤差以及一個或者多個特性化該連結點的電: j &lt;預“義的㈣的電路設計巾,舰至少—個連結 進偏異參㈣方式。其中該㈣減包含—個或者㈣ 點的電子參財,各麵的絲tm。 化亥連結 本說明書巾«之製造積體電_纽及方法,包含—方法, 功能性偏異參數以及該元件至少-個的尺寸偏移誤差:電路 二敝至少—個元件而錢產生累進偏⑩數的方式。1中該偏里 :數包含-個或者多個能夠特性化該元件的電子參數中,各參數的差里:: 吕fl。 v、貝 本說明書中敘述之製造積體電路的系統及方法,包含-方法,其利用 移誤差以及一個或者多個特性化該元件的電子參數 ϊ ί^ ΓΓ設計中,對應至少—個⑽而直接產生累進偏異 ☆ ' 〃巾違偏異參數包含一個或者多個能夠特性化該元件的電子 茶數中,各參數的差異資訊。 本說明書中敘述之製造積體電路的系統及方法,:包含一方法,其包含 接收-包含許多元件以及連結關電路設計;利用線路中的尺寸差異= 測線路設計中至少-個的累進偏異參數以及累進時間差異,其中該偏異參 數包含-個或者夠能夠特性化至少—.個元件以及連結點的參數的差異資 机;以及湘整合至少-個線路設計中的尺寸差異、累進偏異參數、= 累進時間差異,修正該線路設計。 上述之方法更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來產生一個電路設計的模型。 士上述之方法更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來增強至少一個元件以及連接點的模型之資訊。 士上述之方法更包含增加至少一個尺寸差異、累進偏異參數、以及累進 日才間差異到一個或者以上的線路描述中,其中該線路描述係具有至少一個 28 2005341.32 · 圖像資料表示,以及_文字檔案表示。 上述之方法更包含利用包含至少一個尺寸差異、累進偏異參數、以及 累進時間差異的資訊,錢新—個或者以上的_㈣中_關資訊部分。 上述之方法更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來重難性化至少—個元件以及連結點。 ’、ΔΚ / R + AC / CL ^ (Cc / C) kl] (Δτ / τ) + k4 (Cc / C) (Ad / 2s) + [k5 (Cg / C)-k2] (Ad / d) (etc. (Equation 7) Comparing the results of Equation 6 and Equation 7 in circuit simulation, we can get the relationship between the time offset error (L) and the perturbation Δ (1 (expressed as a percentage of a dimension d)) of the metal wire size d It is also a nearly linear relationship. Fig. 14 is a graph of the normalized time delay M10 corresponding to the perturbation of the connection point. The percentage of phase in a d-square is just, according to the embodiment, the above equation ^ illustrates In one embodiment, the relationship between the fine current, the uneven resistance, the uneven resistance, and the uneven inductance in the uneven flow axis. However, there are many other functions, such as the 21 200534132 higher-order equation function, and Many different function combinations can be applied to other embodiments in the same manner as disclosed in this specification. Figure 15A, Figure 15B, and Figure 15C are structural diagrams of the connection points after the deviation parameters are extracted. 1500, according to a conventional embodiment, the connection point structure 1500A includes a metal power grid 1520, which is connected to a through via 1560 It belongs to a power supply lead 1504. The power supply lead 1504 has a dimension d and a thickness dimension t. The power supply lead 1504 has a first neighboring structure 1510 and a second neighboring structure 1520 in the same layer. The first The structure 1510 has a dimension dl and a thickness dimension t, and is a distance si from the power supply lead 1504. The first structure 1520 has a dimension d2, and a thickness dimension t, and is distanced from the power supply lead 1504. A distance S2. Figure 15A shows the connection point structure before the partial parameter extraction] 5⑽a. Before the partial parameter extraction procedure, the connection point structure 1500 has about ten child nodes, or the change area (change area ) 1530. Fig. 10B shows the connection point structure 1500B after updating the shape of the connection point using the deviation shape information. After updating the shape of the connection point using the deviation shape information, the connection point structure 1500B has approximately forty child nodes, or Change area 1530 .:, Figure 15C shows a connection point structure 1550c of an end point view (the end point view of the connection point structure 1550A / 15500B), which It has size parameters and corresponding deviated shapes for the use of deviated capacitors. According to the deviated shape time prediction procedure in the description, the deviated capacitors AC 'C and d + ( ㈣sl) Asl + Qing Jiao Fun + (Job Boxing 2 + (5C / ^ 12) Ad2 + (such as / Calling (Equation 8) and AC C (d + Ad5sl + Asl5dl s2 + As25 d2 + Ad2) -C ( d, sl, dl, s2, d2) (Equation 9) Equations 8 and 9 represent an embodiment of the partial capacitance extraction. Other bias information, such as bias resistance and bias inductance, can also be obtained; and different functions, such as higher order equation function '22 200534132 and different function combinations can be performed according to other descriptions in the description. In the design of Kushiro *, the number of refined shells when the shape of the deviation is increased is increased. These additions are a significant burden on the processing efficiency of Code N μ ^ '5 and 5', because of the blood type ~ When faced with newly added characteristic parameters and biased information, it is necessary to reprocess the whole as a whole, ' The typical integrated circuit ② has different calculation processes. The aforementioned inter-prediction procedure and / or deviation time profile prediction procedure) uses the deviation information about a _ ^^^^ parameter to re-characterize the _integral circuit design. This process flow_ can enhance or re-characterize the parameters of the corresponding components and connection points, and it is not necessary to re-process all the information. Borrowing the poor information individually provides the output of biased information. This biased process reduces the dependence of the original library information on the design process, because it efficiently targets specific circuit parameters = n / ... low (or / ) + More in the design process towel (lookup ope theory on). What's more, the biased information allows Gamma to progress progressively, and "to be re-adopted after the generation of a __, so it can increase the efficiency of the design process, slightly more radioactive. The description of the connection point model allows the deviation shape of each node △ her information to take one or more deviation capacitors ^, deviation resistors, or deviation inductances from the points or junction points in the layout. . The bias information M, F, and field & can also represent parameters U ^ f ^^^ menhanced characteron pa ^ ete,) ^, f (enhanced characterization parameters) 〇 ^ points of capacitance, resistance, and / or electrical state new practice. Ride ^,,, and ac / λ ^,: &quot;! M new values for capacitance, resistance, and / or inductance. ^^ Next n points According to Figure 2 and Figure 3, the deviation shape time prediction program 102 or other integrated design system 23 200534132 &quot; ΪλΤΙ :: ™ &gt; and / or the deviation of AL. It will use direct extraction pr〇cess) ^^ # ^, ^ fl ^ ^ tm ac, ar, AL, eXtraCti〇n Pr〇CeSS) ^ f ^ Other embodiments ___ sequence ^ = sequence_ming, but minus For the sake of limitation, the production of towels and unit data bases by Lai Shao or Yun Wei Wei △ ⑽ According to the deviation shape △ She directly calculates the deviation parameter information in the deviation shape △ • with at least one deviation == 程; r:-. «t; Γ! ΓΤ / ΠΓ 'ΓΓ &quot; 1-7 * .AR, U /.- ΛΙ, the deviation information AC, which is the mother and the connection point, and Equation 1 7 Γ money For each link of Sekko Na, △ _, the more one calculates the bias information of each link, service, and / or 乩. Straight two in the library =: Two tweets 讥 扦 扦 偏 偏 偏 &&;: AR, and / or 0 after = = 6 ^ 3 ^ map preview, used to obtain the modified contacts The modification of the shape = Γ, the time prediction program receives or reads the corresponding deviation of the physical electrical layout description, and confirms the connection point of the layout, thereby starting the extraction process. Weaving = allogeneic order In the function block 161G, the state of each-connected points in sequence may include, for example, a sequential silk polygon. It includes when the design shape is partial; point sampling 'and a vector that generates a vector to represent the sampling point Ad disparate shape Ad. This round of procedures relies on vectors to form—polygonal secrets, which can be expressed as a block diagram of [Ad Figure 17 is a polygonal connection point] 700, according to an embodiment. The deformed 24 200534132 prediction program polygonizes the connection point by modifying—area_original size_) or the connection point 175G of part of the original material polygon (that is, the error M vector between endpoint A and endpoint β, — Taking the connection point H0G as an example, the sequential representation of the polygon starts from the original size D0 of im such as Γ to form a new size D2 of the new zone 连 1 at the joint point of the first zone ㈣1. Polygon The program then shifts the frequency due to its corresponding size ^ is the strong size D of the sequence ^ Zhengzhisi__, in the power moon block 1 6 1 0, the pot p shizhi, the ghost f can block ⑹2, the bias A bimorphic program (return to the program in Figure 16), and another one at the beginning of the succession (the Ir test program re-obtains one or more original lookup tables to retrieve the required capital 1, the private sequence of ㈣1 contains 'For example, using one or more methods can also be applied in other embodiments. The node that retrieves information through a database or other source is cleared, and then the use of Ruoxiu to correct miscellaneous fun is also §fl. Characteristic parameters, the comparison process ^ 'number &quot; ^, is about recharacterizing the new junction interval. Compare—the original connection point area ⑧〇 and—corresponds to the difference Μi (in the shape of the coffee _ scale she coffee _ (that is, the difference in size of the size corresponds to the modified characteristic parameter of the modified connection point (capacitance 25 200534132 or inductance). The correction parameter can be changed from the original capacitor, ::: paste rotten __ ==== link, ,,, etc. The information of the lean library is modified. The connection point data is added to one or more circuit description files. ㈣acmrml △ C / △ data to replace one or more original electricity: two containing: material library can also _regular_domain practice of f capacity Lexiu or inductance, instead of one or more circuit description The data of the target case. 丨 And the deviation ====== ^ =: The degree of the measurement procedure, which uses the information of the deviation shape to directly rely on the poor library to calculate and replace the external selection method. For each segmentation point in the inference, if it is allowed to include the direct calculation of the partial m, the EDA and / or other design and manufacturing systems can be used for some of the parts. Procedure for retrieving information.-Retrieve the information in the Λ mode to refer to the places on Figures 1, 2, and 3 for the recorded viewers. Controlled by the server; Control two but many need f a "Ming process _ in detail," the person who is in this technology-can follow the method of the present invention. According to this, "" &quot; ^, ° code, microcode, program logic array or other use of memory, 1 material phase_4 course_algorithm or general operation, can be stored in non-volatile such as optical discs, with == "parts, phase_body area, The erasable medium, as described in the example: =: first: = &quot; ~, semi-other process program, which can be implemented in the computer system or its main sequence. These programs are specifically implemented as code. Stored at 26 200534132. Machine "Π r. It is executed by the processor of the computer system when it is sold or the computer calls for the memory area or computer system components. Although there are many computer systems that can be used to use the integrated design process, FIG. 18 is a schematic diagram of a computer system 180 that controls the integrated design process, according to an embodiment. The computer system 800 generally includes a central processing unit (cpu), or central processing unit 1802, to process information and instructions, and an addressing / data bus 8101 coupled to the cpu 1802 for communication. Ceremony ~ Volatile 5 1 think 1804 (such as random access memory, RAM) handle to the bus] 801 to store dynamic information and instructions from the CPU 1802. The computer system i8㈧ can also include a successful optical storage element 1808, which is connected to the bus iso to store data and instructions. The storage element or data storage element 1808 may include one or more erasable or optical storage media, which is a computer-readable memory. Various combinations of volatile memory 1804, non-volatile memory 1806, and / or storage elements 1808 store the information describing the procedures of the integrated design process program, but the integrated design process sequence It is not limited to being stored in the aforementioned media. …. 'The computer system 1800 may also include at least one optical display element] 8 丨 〇. It is coupled to the bus 1801 to display information to the user of the computer system 180. The embodiment of the computer system 18㈧ may also include one or more optical input elements 1812, which are combined to the bus 1801 for supply. Pass the information and instructions selected to the CPU 1802. In addition, the computer system includes three optical vernier control or pointing components, which are coupled to the bus 1 to 1 to transmit information and commands that are input to the CPU for inspection. The computer system can also include one or more optical signal conversion elements 1816 (such as transmitters, receivers, modems, etc.), which are integrated into the bus 1801 to provide a communication interface with other computer systems. The system and method for manufacturing an integrated circuit described in this specification includes a method that corresponds to at least one connection in a circuit design using one or more functional deviation parameters and a size offset error of at least one of the connection points. Point directly generates a progressive deviation parameter. Among them, the $ deviation parameter includes one or more electronic parameters capable of characterizing the connection point, and each parameter = 27 200534132 Contains-method === inch offset error and one or more electrical characteristics that characterize the connection point: j &lt; pre-defined circuit circuit design, at least one connection into the deviation reference method. The subtraction includes one or more points of electronic participation, tm on each side. Hua Hai links the manufacturing method of this manual «Complete Electricity_News and Methods, including-method, functional deviation parameters, and at least one component's dimensional offset error: the circuit has at least one component and the money has a progressive bias. ⑩ Number of ways. The partial mile in 1: The number contains one or more of the electronic parameters that can characterize the component, and the difference of each parameter is :: Lu fl. v. The system and method for manufacturing integrated circuits described in the Beiben specification, including -methods that use shift errors and one or more electronic parameters that characterize the component. ί ^ ΓΓ Design, corresponding to at least one Directly produces a progressive deviation ☆ 'The towel deviation parameter contains one or more electronic tea numbers that can characterize the component, the difference information of each parameter. The system and method for manufacturing integrated circuits described in this specification include: a method including receiving-including many components and connecting circuit design; using the size difference in the circuit = measuring at least one of the progressive deviations in the circuit design Parameter and progressive time difference, where the deviation parameter includes-or is able to characterize at least-the difference of the parameters of the element and the connection point; and the integration of at least-the size difference and the progressive deviation in the line design Parameter, = progressive time difference, modify the line design. The above method further includes using at least one size difference, a progressive deviation parameter, and a progress time difference to generate a circuit design model. The method described above further includes using at least one size difference, a progressive deviation parameter, and a progressive time difference to enhance the information of the model of at least one component and the connection point. The above method further includes adding at least one size difference, progressive deviation parameter, and progressive day difference to one or more line descriptions, wherein the line description has at least one 28 2005341.32 · image data representation, and _ Text file representation. The above method further includes using information including at least one size difference, a progressive bias parameter, and a difference in progressive time, Qian Xin—one or more _㈣ 中 _ 关 信息 section. The above method further includes using at least one size difference, a progressive deviation parameter, and a progressive time difference to re-differentiate at least one element and the connection point. ’,

上述方法巾的测方歧包含利狀寸差異,由至少_個元件以及連 中粹取累進偏異參數。雜取方式包含將該尺寸差異對應到線路設 。十撕取方式更包含至少一個以上的在尺寸差異以及偏異參數之間形成 一個或者多個功能性_ ;以及直接利用尺寸差異以及該功能性關係產生 上述方法中的累進偏異參數包含可特性化至少一個元件、連結點、以 及個或者多個連結點區間的電路參數之累進差異。 上述方法中的電路參數包含至少―個電阻、電感、電容、接面電容、 閘極-源極修、__舰電容、雜伽電容、_絲餘、沒極_義 版電各、以及有效閘極長度。 上述方法中的_尺寸差異方式更包含至少—俩以上的利用累進 差異以及請及連結點間的間隙延遲時間,來決定間隙延遲時間。該方二 更包含利m撕鬲的_時間延遲,以產生該累進偏異參數對應到至少二 個元件以及連結點。 上述方法㈣電路設計修正更包含_該酬的累進偏異參數 該尺寸差異規則。 該累進時間差異包含電路的訊號傳遞延遲。 上述方法更包含由-電路的形狀驗證分析中,取得該尺寸差異。 整合至少-個尺寸差異、累進偏異參數、以及累猶間差異的方法包 含將至少-個尺寸n累進偏異參數H累進時間差異對應到—實 的電路描述。該貫體描述係至少一個圖像資料,以及一文字檔案。 本說明書中敘述之製造積體電路的系統及方法,包含—方法,其包含 29 200534132 至少一個以上的接收包含複數元件以及連結點的電路設計;接收對應於該 元件與連結點的尺i差異;利用該尺寸差異粹取元件以及連結點中的累進 偏異筝數,其中該偏異操數包含一個或者多個能特性化至少一個以上元件 以及連結點的差異資訊;利用至少一個尺寸差異以及偏異參數,以產生該 設計的累猶間差異;以及利用該B夺間差異,以產生對應該設計的偏異參 數。 'The test method of the above method includes the difference of the shape and size, and the progressive deviation parameters are taken by at least one element and the middle one. The way of fetching includes mapping the size difference to the circuit design. The ten tearing method further includes at least one or more functions to form one or more functional differences between the dimensional difference and the deviation parameter; and the direct use of the dimensional difference and the functional relationship to generate the progressive deviation parameter in the above method includes a characteristic Progressive differences in circuit parameters for at least one component, connection point, and one or more connection point intervals. The circuit parameters in the above method include at least ―resistance, inductance, capacitance, junction capacitance, gate-source repair, __ship capacitor, miscellaneous capacitor, _Si Yu, non-polar _ sense version of electricity, and effective Gate length. The _size difference method in the above method further includes at least two or more using a progressive difference and a gap delay time between the connection points to determine the gap delay time. The square second further includes a time delay of mm to generate the progressive deviation parameter corresponding to at least two components and connection points. The above method and circuit design modification further include the progressive deviation parameter of the reward and the size difference rule. The progressive time difference includes the signal transmission delay of the circuit. The above method further includes obtaining the dimensional difference from a shape verification analysis of the -circuit. The method of integrating at least one size difference, progressive deviation parameters, and the difference between progressive deviations includes corresponding real time circuit descriptions of at least one size n progressive deviation parameter H progressive time difference. The continuous description is at least one image data and a text file. The system and method for manufacturing an integrated circuit described in this specification includes a method including at least one of 20052005132132 receiving a circuit design including a plurality of components and a connection point; receiving a difference between the size of the component and the connection point; Use the size difference to obtain the number of progressive deviations in the component and the connection point, where the deviation operand contains one or more difference information that can characterize at least one or more components and the connection point; use at least one size difference and the offset Different parameters to generate the difference between the design and the design; and use the difference between the B to generate the biased parameters corresponding to the design. '

本說明書中敘述之製造積體電路的系統及方法,包含一整合的設計製 造方法,其包含至少一個以上的接收包含複數元件以及連結點的電路設 計;利用元件以及連結點的尺寸偏移誤差,來達成元件模型以及連結點模 型的累進修正·,修正的元件以及連結點模型來模型化該積體電路;利 用該模型產生電路的時間偏移誤差資訊;_該時間偏移誤差資訊,產生 元件以及連結點的偏移誤差;以及利賤元件以及連結闕偏移誤差,以 產生元件以及連結點的尺寸偏移誤差規則。 本說明書中敘述之製造積體電路㈣統及方法,包含—整合的設計斯 造方法’其包含至少-_上的接收包含魏元件从連結_電料 計;利用·的元件與連結賴型,科依據該電崎計,產生—電路模 型’其中該增強的元件及連結點模型,整合了至少—個以上的由元件以及 連結點的尺寸偏移誤差得來的電容偏移誤差、電阻偏移誤差、以及電感偏 移=差該電路難產生時間偏移誤差f訊;以及利用該時間偏移誤 差資訊,產生可控舰元件以及連結狀尺寸誤差的細彳,其 合了至少-個以上的由電路模型的時間偏移誤差資訊得來的電容偏移二 差、電阻偏移誤差、以及電感偏移誤差。 核明書中敘述之製造積體電路㈣統及方法,包含―系統,其包含 ^少一個以上的透過電子方式處_手段;以及透過電子方 拍尺寸iE異’來預測至少-個線路設計的累進偏異參數及累進時間差異 的手段,其中該偏異參數包含-個或者以上的參數,其能特性化至少一個 30 200534132 以上的元件以及連結點;利用累進時間差異來預測電路設計尺寸差異的手 段;以及利用整合至少一個電路設計的尺寸差異、累進偏異參數、以及累 進時間差異,以修正電路設計的手段。 上述之系統更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來產生一個電路設計的模型的手段。 上述之系統更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來增強至少一個元件以及連接點的模型之資訊的手段。The system and method for manufacturing an integrated circuit described in this specification includes an integrated design and manufacturing method, which includes at least one or more circuit designs that receive a plurality of components and connection points; using a component and connection point size offset error, To achieve progressive modification of the component model and the connection point model, the modified component and connection point model are used to model the integrated circuit; the model is used to generate the time offset error information of the circuit; _ the time offset error information is used to generate the component And the offset error of the connection point; and the base component and the connection unit offset error to generate the size offset error rule of the component and the connection point. The system and method for manufacturing integrated circuits described in this specification includes an integrated design manufacturing method, which includes at least receiving on the _, including the Wei component from the connection, the electricity meter, the use of the component and the connection type, Based on the electric meter, the circuit model is generated, where the enhanced component and connection point model integrates at least one capacitance offset error and resistance offset obtained from component and connection point size offset errors. The error and the inductance offset = poor. The circuit is difficult to produce time offset error f; and the time offset error information is used to generate the details of the controllable ship element and the connection-like size error, which are combined at least one or more The capacitance offset difference, resistance offset error, and inductance offset error obtained from the time offset error information of the circuit model. The system and method for manufacturing integrated circuits described in the verification book includes a system, which includes at least one electronic processing method; and the prediction of at least one circuit design through the electronic square shot size iE '. Means of progressive deviation parameter and progressive time difference, wherein the deviation parameter includes one or more parameters, which can characterize at least one component and connection point of 30 200534132 or more; use the progressive time difference to predict the difference in circuit design size Means; and a means for correcting the circuit design by integrating at least one circuit design with a size difference, a progressive deviation parameter, and a progress time difference. The above-mentioned system further includes means for generating a circuit design model by using at least one size difference, a progressive deviation parameter, and a progressive time difference. The above-mentioned system further includes a means for enhancing the information of the model of at least one component and the connection point by using at least one size difference, a progressive deviation parameter, and a progressive time difference.

上述之系統更包含增加至少一個尺寸差異、累進偏異參數、以及累進 時間差異到一個或者以上的線路描述中的手段,其中該線路描述係具有至 少一個圖像資料表示,以及一文字檔案表示。 上述之系統更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來重新特性化至少一個元件以及連結點的手段。 上述之用以預測系統的手段更包含一利用該尺寸差異粹取累進偏異參 數的手段。 上述方法中的累進偏異參數包含可特性化至少一個元件、連結點、以 及一個或者多個連結點區間的電路參數之累進差異 上述方法中的電路參數包含至少一個電阻、電感、電容、閘極_源極電 容、閘極-汲極電容、源極-基版電容、閘極基版電容、以及汲極_基版電容。 上述方法甲的預測尺寸差異系統更包含至少一個以上的利用累進時間 差異以及讀及連結關賴義遲時間,來決定職延遲時間的手段。 該手段更包含利用該分隔的間隙時間延遲,以產生該累進偏異參數對應到 至少一個元件以及連結點的手段。 修正該系統的手段更包括細累進偏異參數來產生該尺寸差異 的規則的手段。 上述系統巾的電路設計修正更包含·該测的累進偏異參數來產生 該尺寸差異規則的手段。 / 上述系統更包含由-電路的形狀驗證分析中,取得該尺寸差異的手段。 31 200534132 修正該系統的手段更包括將至少一個尺寸差異、累進偏異參數、以及 累進時間差異對應到一實體的電路描述 本說明書中敘述之製造積體電路的系統及方法,包含一元件,其包含 至少一個以上的接收包含複數元件以及連結點的電路設計的手段;利用電 路設計中對應的尺寸差異,來預測電路中至少一個以上的累進偏異參數以 及累進時間差異的手段,其中該偏異操數包含一個或者多個能特性化至少 一個以上元件以及連結點的差異資訊;利用累進時間差異來預測線路設計 的尺寸差異的手段;以及利用整合電路設計中至少一個的尺寸差異、累進 Φ 偏異參數、以及累進時間差異,以修正線路設計的手段。 本說明書中敘述之製造積體電路的系統及方法,包含一機器可讀取媒 介,其包含可執行指令,可被一處理系統執行,其内容包含接收包含複數 元件以及連結點的線路設計、利用對應線路設計的尺寸差異,來預測電路 設計中至少-個累進偏異參數以及累進時異,其中該偏異參數包含一 個或多個參數的差異資訊,其能特性化至少一個以上的元件及連結點、利 用該累進_差異來糊線路設計的尺寸絲、及/或整合線路設計中 至J個尺寸差異、累進偏異參數、以及累崎間歸,來修正線路設計。 本說明書中敘述之製造積體電路的系統及方法,包含一方法,其包含 * 至少—個以上的接收—包含複數元件以及連結點的電路佈局;接收對應元 件以及連結關財差異;利用財差異,由至少—個元相及連結點中 心取累進偏異讀,其中該偏異參數包含—個或者以上的參數之差異資 汛,其肖b特性化至少一個以上的元件以及連結點;以及利用至少一個以上 的尺寸差異以及偏異參數,來_至少—個以上的元件以及連结點的累進 時間差異。 士上述之方法更包含利用至少-個尺寸差異、累進偏異參數 、以及累進 時間差異,來產生一個電路設計的模型。 粹取-實施例的累進偏異參數包含將尺寸差異對應到電路佈局中。 上述之方法更包含則至少-個尺寸差異、累進偏異參數、以及累進 32 200534132 時間差異’來增強至少-個元件以及連接點的模型之資訊。 上述之方法更包含增加至少一個尺寸差異、累進偏異參數、以及累進 時間差異到一個或者以上的線路描述中,其中該線路描述係具有至少一個 圖像資料表示,以及一文字檔案表示。 上述之方法更包含利用包含至少一個尺寸差異、累進偏異參數、以及 累進時間差異的貢訊’來更新一個或者以上白勺電路描_當案中的相關資訊 部分。The above system further includes means for adding at least one size difference, progressive bias parameter, and progressive time difference to one or more route descriptions, wherein the route description has at least one image data representation and a text file representation. The above-mentioned system further includes a means for recharacterizing at least one element and the connection point by using at least one size difference, a progressive bias parameter, and a progressive time difference. The above-mentioned means for predicting the system further includes a means for taking advantage of the size difference to obtain the progressive deviation parameters. The progressive deviation parameters in the above method include a progressive difference in circuit parameters that can characterize at least one element, connection point, and one or more connection point intervals. The circuit parameters in the above method include at least one resistance, inductance, capacitance, and gate. _Source capacitor, gate-drain capacitor, source-base capacitor, gate-base capacitor, and drain_base capacitor. In the above method A, the prediction size difference system further includes at least one or more means for determining the postponement time by using the difference in progressive time and reading and linking the related delay time. The method further includes a method of utilizing the separated gap time delay to generate the progressive deviation parameter corresponding to at least one element and a connection point. The method of modifying the system further includes a method of finely progressive deviation parameters to generate the rule of the size difference. The circuit design correction of the above system towel further includes a means of measuring the progressive deviation parameter to generate the size difference rule. / The above-mentioned system further includes a means for obtaining the dimensional difference in the shape verification analysis of the -circuit. 31 200534132 The method for correcting the system further includes corresponding at least one dimensional difference, a progressive deviation parameter, and a progressive time difference to a physical circuit description The system and method for manufacturing an integrated circuit described in this specification, including a component, which Including at least one means for receiving a circuit design including a plurality of components and connection points; utilizing a corresponding size difference in the circuit design to predict at least one or more progressive deviation parameters and a difference in progressive time in the circuit, wherein the deviation The operand contains one or more difference information that can characterize at least one or more components and connection points; a means of using progressive time differences to predict the size difference of the circuit design; and using at least one of the integrated circuit design's size difference and progressive Φ bias Different parameters, as well as the difference in progressive time, to correct the circuit design. The system and method for manufacturing integrated circuits described in this specification include a machine-readable medium containing executable instructions that can be executed by a processing system, and its content includes receiving circuit design and utilization including plural components and connection points. Corresponds to the size difference of the circuit design to predict at least one progressive deviation parameter and progressive time difference in the circuit design, where the deviation parameter contains the difference information of one or more parameters, which can characterize at least one or more components and connections Point, use the progressive_difference to paste the size wire of the line design, and / or integrate up to J size differences in the line design, the progressive deviation parameters, and the progressive return to correct the line design. The system and method for manufacturing integrated circuits described in this specification includes a method including * at least-more than receiving-circuit layout including a plurality of components and connection points; receiving corresponding components and connection differences; using financial differences , Taking a progressive bias reading from at least one elementary phase and the center of the connection point, where the deviation parameter includes one or more parameter differences, which characterizes at least one or more components and connection points; and uses at least one More than one size difference and deviation parameter, at least one or more components and the difference in progressive time of the connection point. The method described above further includes the use of at least one size difference, a progressive deviation parameter, and a progressive time difference to generate a circuit design model. The progressive deviation parameter of the embodiment includes mapping the difference in size to the circuit layout. The above method further includes information of at least one component and the model of the connection point by at least one size difference, a progressive bias parameter, and a progressive time difference. The above method further includes adding at least one size difference, progressive deviation parameter, and progressive time difference to one or more line descriptions, wherein the line description has at least one image data representation and a text file representation. The above method further includes updating one or more of the relevant information in the case by using a tribute message including at least one size difference, progressive deviation parameter, and progressive time difference.

上述之方法更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來重新特性化至少-個元件以及連結點。 粹取一實施例中累進偏異參數的方式更包含在該尺寸差異以及該偏異 參數中,形成-個或者多伽力能性關連,及/或利用該功能性關連直接由該 尺寸差異產生偏異參數。 一實施例中連接點的的累進偏異參數包含線路參數的累進差異,其特 [生化至〉、個以上ό、』各連結點以及—個或者多個各連結點的區間。 一實施例的參數包郭至少一個電容、電阻、與電感。 —上述方法更包含利用累進時間差異,來決定間味遲時間,及域分割 元件及連餘_剛紐遲時間。該方式更包含利_分隔關隙時間^ 遲’以產生料、進偏異參數對應収少_個元件以及連結點。該方法更包 括利用該該分_間隙時間延遲,以產生該累進偏異參數叫應至少一^ 以上的連結點。财法更包括產生的¥、進偏異參數來產生控制尺寸差 本說明書愤述之製造積體電路_統及方法,包含―方法,盆勺人 接收-ώ含許多7L件以及連結點的電路設計;整合至少一個 ’、匕3 連結點的尺寸差異到祕輯巾;利狀寸減轉取至少’元件及 以及連結闕累進偏異參數,其巾該偏異參數包含存在於 2上几件 夠特性化至少-個元件以及連結_電子參數的差異資訊1多個能 進偏異參數資訊以達成時間分析,同時產生累進時間差異。 用 33 200534132 上述方法更包含產生包含m時間差異料間結果。 上述方法更包含利用至少 可供電路使用的模型。 個尺寸差異以及累進偏異參數,來產生—The above method further includes recharacterizing at least one element and connection point by using at least one size difference, a progressive deviation parameter, and a progressive time difference. The way to take the progressive deviation parameter in an embodiment is further included in the dimensional difference and the deviation parameter, forming one or more gamma energy relations, and / or directly using the functional relation to generate the dimensional difference. Bias parameter. In one embodiment, the progressive deviation parameters of the connection points include the progressive differences of the line parameters, which are [biochemical to>, more than, and each connection point, and the interval of one or more connection points. The parameters of an embodiment include at least one capacitor, resistor, and inductor. —The above method further includes the use of progressive time differences to determine the time between staleness, the domain segmentation element, and the residual_ganguo time. This method further includes the use of _separation of the closing time ^ late 'to generate materials, and the deviation parameters corresponding to the reduction of _ components and connection points. The method further includes using the sub-gap time delay to generate a connection point where the progressive deviation parameter is called at least ^ or more. The financial law also includes the generated ¥, and the deviation parameters to produce the control of the size difference. The manufacturing integrated circuit _ system and method described in this specification, including ―method, the pot spoon spoon is received by the person-including a lot of 7L pieces and the connection point circuit Design; Integrate at least one ', dagger 3 connection point size difference into the secret towel; reduce the shape and size to obtain at least' elements, and the connection discontinuity progressive deviation parameters, the deviation parameters of which exist in 2 or more pieces It is enough to characterize at least one component and the difference information of the link_electronic parameter. More than one can enter the deviation parameter information to achieve time analysis, and at the same time produce a progressive time difference. The method described above with 33 200534132 further includes generating inter-material results including m time differences. The above method further includes using a model that is at least available to the circuit. Size differences and progressive bias parameters to produce—

一實施例中的累進時間差異包含電路中的訊號傳遞延遲。 上述方法更包含由-電路的形狀驗證分析資訊來取得該尺寸差里。 整合-實施例中的尺寸差異包含將該尺寸差異對應 到一電路的實體γ 述中。 片収伯 -實施例的實體描述係至少—似上關像資料表示及文字槽案表 上述方法更包含_至少—個以上的尺寸誤差、偏異參數、及時間差 異,來增強至少-似上元件以及賴關觀資訊。·元件模型資訊 包含附加-個或者多個尺寸差異、偏異參數、以及時異到—個或者多 個電路描賴案。增強元件模型資訊包含利用含有至少—個以上的尺寸差 異偏異參數、以及時間差異的新資訊,以替代原始電路描述樓案中的資 汛。增強連結點模型資訊包含利用至少一個以上的尺寸差異、偏異參數、 以及時間差異,來重新特性化該連結點。 : 粹取一實施例中的累進偏異參數更包含在尺寸差異以及偏異參數之間 形成一個或者多個功能性關係,及/或決定參數間的差異,該差異能利用該 功能性關係,直接利用尺寸差異來重新特性化至少一個以上的元件以及連 結點。 粹取一實施例中的累進偏異參數更包含確認一個或者多個能夠特性化 至y、個以上元件及連結點的參數,及/或利用一個或者多個内插法以及確 認後的參數來形成該元件以及連結點的偏異參數,其中確認後的參數能特 性化至少一個以上的元件以及連結點。 一實施例中連接點的的累進偏異參數包含線路參數的累進差異,其特 性化至少一個以上的各連結點以及一個或者多個各連結點的區間。 一實施例中的電子參數包含至少一個以上的電容、電阻以及電感“ 34 200534132 -元件的電子參數包含至少—個以上㈣ 容’源極_基版«,卩抓基錄基«容。 、土本況月^敘述之製造積體電路㈣統及方法,包含—整合的設計製 勺方务^已3至沙—個以上的接收包含複數元件以及連結點的電路設 什;利用1的尺寸偏移誤差,來達成元件觀的累進修正;·連結點 勺尺寸偏移决I來達成連結點模型的累進修正;利用修正的元件以及連 結點模型來產生-積體電路的模型;產生該模型的訊號傳遞延遲資訊;以 及利用該訊號傳遞延师訊麵證簡型的形狀。The progressive time difference in an embodiment includes a signal transmission delay in the circuit. The above method further includes obtaining the size difference from the shape verification analysis information of the circuit. The dimensional difference in the integration-embodiment includes the dimensional difference corresponding to the physical gamma description of a circuit. The film description-the embodiment of the entity description is at least-similar to the close image data representation and text slot table. The above method further includes at least-more than dimensional errors, bias parameters, and time differences to enhance at least-like Components, and Lai Guanguan information. • Component model information Contains additional one or more dimensional differences, bias parameters, and time-to-one or more circuit descriptions. The enhanced component model information includes the use of new information that contains at least one or more dimensional difference deviation parameters and time differences to replace the original circuit description in the case. The enhanced connection point model information includes recharacterizing the connection point by using at least one of the size difference, the bias parameter, and the time difference. : Taking the progressive deviation parameter in an embodiment further includes forming one or more functional relationships between the size difference and the deviation parameter, and / or determining the difference between the parameters, the difference can make use of the functional relationship, Use the dimensional difference directly to re-characterize at least one or more components and connection points. Taking the progressive deviation parameter in an embodiment further includes confirming one or more parameters that can be characterized to y, more than one element and connection point, and / or using one or more interpolation methods and confirmed parameters to The deviation parameters of the element and the connection point are formed, and the confirmed parameters can characterize at least one or more elements and the connection point. In one embodiment, the progressive deviation parameter of the connection points includes the progressive difference of the line parameters, which characterizes at least one or more connection points and the interval of one or more connection points. In one embodiment, the electronic parameters include at least one capacitance, resistance, and inductance. “34 200534132-The electronic parameters of the component include at least one or more of the following:“ source_base version ”,“ capture base ”capacity. In this case, the system and method for manufacturing integrated circuits described in this article include—integrated design and manufacturing services. It has received 3 to more than three circuits—receiving circuit equipment including multiple components and connection points; using a size of 1 Shift the error to achieve the progressive correction of the component view; · the size of the connection point spoon is determined to achieve the progressive correction of the connection point model; the modified component and the connection point model are used to generate a model of the integrated circuit; Signal delivery delay information; and the use of the signal to transmit the brief form of the Master's face card.

。上述方法更包含分割元件以及連結點之間的間隙延遲時間 ,其中該訊 ,傳遞延遲資訊包含該件隙延遲時間;利用該分割之間隙延遲時間產生元 1偏私决差,彻心I彳之間隙延遲時間產生連結點偏移誤差;及/或利用 该產生的7L件偏频差以及連結點偏频差,來產生可以糊就件以及 連結點之尺寸偏移誤差的規則。 -實施例中的元件偏移誤差包含至少—個以上的累進尺寸差異以及電 路參數中的紐差異,其巾這越異可輯性化各元件。 一實施例中的連結點偏移誤差包含累進尺寸誤差:。 該連結點偏移誤差包含電路參_累進差異,魏雜化至少一個以 上的連結fUX及-個或者多個連結_區間。該連結點偏移誤差亦可包含 至少-個上的電容偏移誤差、電阻偏移誤差、以及電感偏移誤差。 本說明書中敘述之製造積體電路的系統及方法,包含—系統,其具有 至少-個以上的透過電子方式處理的手段;以及透過電子方式儲存資訊的 手段;接收-包含許S元件與連結點的電路設計佈局的手段;接收對應於 該元件以及連結點的尺寸差異的手段;_該尺寸差異赠取至少一個以 上元件以及連結點之累進偏異參數的手段,其中該偏異參數包含—個或者 多個參數的差異貧訊,其能特性化至少一個以上的元件以及連結點;以及 預測至少-個以上的元件以及連結點的累進時間差異,其卿至少一個以 上的尺寸差異以及偏異參數。 35 200534132 上述之系統更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來產生一個電路設計的模型的手段。 上述之系統更包含將尺寸差異對應到電路佈局的手段。 上述之系統更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來增強至少一個元件以及連接點的模型之資訊的手段。. The above method further includes segmenting the gap delay time between the components and the connection points, wherein the message, the transmission delay information includes the gap delay time; using the segmented gap delay time to generate a private decision of 1 yuan, and a thorough gap of I 彳The delay time generates a joint point offset error; and / or the generated 7L component offset frequency and the connection point offset frequency difference are used to generate a rule that can offset the component and the size offset error of the connection point. -The component offset error in the embodiment includes at least one or more progressive size differences and kinks in the circuit parameters. The more different this is, the more various components can be edited. In one embodiment, the joint point offset error includes a progressive size error:. The connection point offset error includes a circuit parameter_progressive difference. Wei hybridizes at least one connection fUX and one or more connection_intervals. The connection point offset error may also include at least one capacitance offset error, resistance offset error, and inductance offset error. The system and method for manufacturing an integrated circuit described in this specification include a system having at least one or more means for processing electronically; and a means for storing information through electronic means; receiving-including Xu components and connection points Means of circuit design and layout; means for receiving the size difference between the component and the connection point; _ the size difference is a means for gifting at least one component and the progressive deviation parameter of the connection point, wherein the deviation parameter includes one Or the difference of multiple parameters is poor, which can characterize at least one or more components and connection points; and predict the difference in progressive time of at least one or more components and connection points, and at least one of the dimensional differences and bias parameters . 35 200534132 The above-mentioned system further includes a method for generating a circuit design model by using at least one size difference, a progressive deviation parameter, and a progressive time difference. The above-mentioned system further includes a means for mapping the difference in size to the circuit layout. The above-mentioned system further includes a means for enhancing the information of the model of at least one component and the connection point by using at least one size difference, a progressive deviation parameter, and a progressive time difference.

上述之系統更包含增加至少一個尺寸差異、累進偏異參數、以及累進 時間差異到一個或者以上的線路描述中的手段Q 上述之系統更包含利用包含至少一個尺寸差異、累進偏異參數、以及 • 累進時間差異的資訊,來更新一個或者以上的電路描述檔案中的相關資訊 部分的手段。 上述之系統更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來重新特性化至少一個元件以及連結點的手段。 上述系統更包含利用累進時間差異,來決定間隙延遲時間的手段,及/ 或分割元件及連結點間的間隙延遲時間的手段。 上述糸統更含利用5亥为卩阴的間隙時間延遲,以產生該累進偏異參數 對應到至少一個元件以及連結點的手段。 :* 1 上述系統更包括利用產生的累進偏異參數來產生控制尺寸差異的規則 • 的手段。 本說明書中敘述之製造積體電路的系統及方法,包含一裝置,其具有 接收一包含複數元件以及連結點的電路佈局的手段;接收對應元件以及連 結點的尺寸差異的手段;利用尺寸差異,由至少一個元件以及連結點中粹 取累進偏異參數的手段,其中該偏異參數包含一個或者以上的參數之差異 貧訊,其能特性化至少一個以上的元件以及連結點;以及利用至少一個以 上的尺寸差異以及偏異參數,來預測至少一個以上的元件以及連結點的累 進時間差異的手段。 該粹取一實施例的手段更包含至少一個以上的在尺寸差異以及偏異參 36 200534132 數之間形成一個或者多個功能性關係的手段;以及直接利用尺寸差異以及 該功能性關係產生該偏異參數的手段。 上述之裝置更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異,來產生一個電路設計的模型的手段。 上述之裝置更包含將尺寸差異對應到電路佈局中的手段。 上述之裝置更包含利用至少一個尺寸差異、累進偏異參數'以及累進 時間差異’來增強至少一個元件以及連接點的模型之資訊的手段。 本說明書中敘述之製造積體電路的系統及方法,包含一機器可讀取媒 Φ 介’其包含可執行指令,可被一處理系統執行,其内容包含接收複數個元 件以及連結點的線路設計、接收對應該元件以及連結點的尺寸差異、利用 尺寸差異’由至少一個元件以及連結點中粹取累進偏異參數,其中該偏異 參數包含一個或者以上的參數之差異資訊,其能特性化至少一個以上的元 件以及連結點、及/或預測至少一個以上的元件以及連結點的累進時間差 異’其利用至少-個以上的尺寸差異以及偏異參數。 茶照本說明書中敘述之製造積體電路的系統及方法,其可利用具有各 種力月b的。午夕電路來貫現,包含可編程邏輯元件(丨〕1,呢御沉⑽如vices (PLDS)),例如現場可編程邏輯閘陣列(iield programmable gate arrays φ (FPGAs)) ’ 可編程陣列邏輯(programmable array logic (PAL))元件,電子化 叮’扁輯及。己憶體元件及標準元件庫元件ceu七ased devices),例 如特殊應_體電路(ASic)等。其他可以絲實現本製造積體電路的系統 及方法的方式包含:具有記憶體的微處理器,例如EEPROM,嵌入式微處 理器,韌體,軟體等等。 或者,本製造積體電路的系統及方法可以在一具有軟體庫之電路模 擬離放輯、系統元件、神經邏輯、量子元件、以及上述各單元混和型 =的U處理器中被實施。另外基礎的元件製作技術也可被用來提供許多實 施的選擇’例如金屬氧化物半導體場效電晶斷m〇sfet)技術,例如互補式 至屬氧化物半導體(CMQS) ’雙載子技術,例如射極_合邏輯,聚合物技術 37 200534132 (例如二氧化矽共軛聚合物及金屬共軛聚合物金屬結構等),以及混合式類比 數位技術等等。 其中需注意的是,在不同的系統以及方法巾,其内含的構成要素可以 利用電腦輔助設肛具,並且被表示為各種電腦可讀取媒介的諸或者指 令的行為模型,暫存器轉移,邏輯元件,電晶體,佈局形狀,及/或其他特 性。電路可以被實現的格式與物體包含但不限於支援行為模型語言的格 式’例如c語言,Verilog,及HLDL ;支援暫存器階層描述語言的格式, 例如RTL ’以及支援形狀描述語言的格式,例如GDSII,㈤S1II,㈤奶, CIF,MEBES以及其他任何合式的袼式與語言。 包含格式化資料及/或指令的電腦可讀取齡可包括但不限於各式非 舰儲存媒介’例如光學、磁性或半導體儲存,以及可以透過無線光學 或線傳訊賴介及其混合方絲傳_格式化資料及/或指令的載波。 舉_言’ 紐來傳送這錄式化㈣及/或齡包含 ==路傳送(上傳、下載、電子_等)及或其他透過—個或多_ 腦^^^/1ΊΤΡ ’FTP ’SMTP等)的電腦網路。當—電腦系統透過電 以被訊時’上述各種記載系統及方法的資料及/或指令可 戈者ii—處理實體(例如—個或者多個處理器)結合執行一個 結程式及其他類似程式。 桃心私案產生私式、佈局連 除非說明書中有明文記載,否則『包 文字需被解避am#二 已括』及其他類似語意的 咅。並且式語句,而非賴式語句;意即『包含但不限於』之 w貝目與所有相關項目的組合項目。 盡於積體電路的系統及方法的各實施例並不表示限制或者窮 地於已揭路的製造積體電路㈣ 《弓 本製造積體電路的系統及方法之原理及其功 手、先及方法。任何熟於此項技藝之人士均可在不違背本製造積體電 38 200534132 路的系統及方法之技術原理及精神的情況下,對上述實施例進行修改及變 化。因此本製造積體電路的系統及方法之權利保護範圍應如後述之申請專 利範圍所列。 上述所揭露的各式物件與做動方式,可以被組合而成其他的實施例。 這些關於製造積體電路的系統及方法的變化均可·照上述_細說明而 被完成。 下列的帽專利範圍不紐解__製造積體電_系統及方法於 說明書揭露的實補巾,而應被轉脉含_下列巾請糊範圍的所有 _ 製造積體電路的系統及方法。下列巾請專利範騎決定的,是本發明所揭 露的製造積體電路的系統及方法的權利範圍,而非限制。 下列申請專利範圍揭露該製造積體電路㈣統及方法_容中,具有 觀點的統-性。意即例如當該系統中的—個觀點被解釋需藉由機器可讀取 媒介實施時’其他觀點亦被解料需藉由雞器可讀取媒介實施。 【圖式簡單說明】 ;·;. 圖A係為t合式设計製程程序(Integrated Design-Manufacturing Pr觀s,IDMP)的方塊圖1()()A,其中包含了一偏異流率,其包含一偏異形狀 時間預測程序1〇2,及/或偏異時間外形預測程序刚,根據一實施例。 馨 ® - B係-整合式設計製程程序的方塊圖丨_,其中包含了 —偏異流 程,其應用在製造積體電路中,根據一實施例。 圖-係整合式設計製程程序的另一方塊圖,其包含了 一偏異流程, 其應用在製造積體電路中,根據另-實施例。 圖三係一偏異形狀時間預測程序(InTime processes) ,根據一實施例。 圖四《偏異形狀時間酬程序的方塊圖,其應用在產生—偏異訊號 以對應連接架構,根據一實施例。 Η五係λ偏/、時間外形預測程序(In丁如丨pr〇cesses)之流程圖,根據一實 施例。 39 200534132 圖六係-整合式m製程程序的方塊圖,其包含偏異形狀時間 圖 序,及偏異時間外形預測程序,以應用在積體電路製程中 /、- 三,及圖五的實施例。 囚一 圖,根 據一實施例 圖八係-整合式設計製程程序之元件卿的陳/接面電容 據一實施例。 很 圖九例不-經修改後的電晶體元件模型參數,根據一實施例。 圖七係-增強型整合式設計製程程序之元件模型的電晶體方塊The above system further includes means for adding at least one size difference, progressive deviation parameter, and progressive time difference to one or more line descriptions. The above system further includes using at least one size difference, progressive deviation parameter, and • Means of accumulating time difference information to update one or more related information parts in the circuit description file. The above-mentioned system further includes a means for recharacterizing at least one element and the connection point by using at least one size difference, a progressive bias parameter, and a progressive time difference. The above-mentioned system further includes a method for determining a gap delay time by using a difference in progressive time, and / or a method for dividing a gap delay time between components and connection points. The above-mentioned system further includes a means for using a gap time delay of 5 卩 as the yin to generate the progressive deviation parameter corresponding to at least one element and the connection point. : * 1 The above system further includes the means of using the generated progressive deviation parameters to generate rules to control the size difference. The system and method for manufacturing an integrated circuit described in this specification includes a device having a means for receiving a circuit layout including a plurality of components and connection points; a means for receiving a size difference between a corresponding component and a connection point; using the size difference, Means for obtaining progressive deviation parameters from at least one element and connection point, wherein the deviation parameter includes one or more parameter differences, which can characterize at least one element and connection point; and using at least one The above-mentioned dimensional difference and deviation parameters are used to predict the difference in progressive time of at least one or more components and connection points. The method of taking an embodiment further includes at least one or more means for forming one or more functional relationships between the dimensional difference and the number of deviation parameters 36 200534132; and directly using the dimensional difference and the functional relationship to generate the bias Means of different parameters. The above device further includes means for generating a circuit design model by using at least one size difference, a progressive deviation parameter, and a progressive time difference. The above-mentioned device further includes a means for mapping the difference in size to the circuit layout. The above-mentioned device further includes a means for enhancing the information of the model of at least one element and the connection point by using at least one size difference, a progressive deviation parameter ', and a progressive time difference'. The system and method for manufacturing integrated circuits described in this specification include a machine-readable medium Φ medium, which contains executable instructions and can be executed by a processing system, and its content includes a circuit design that receives a plurality of components and connection points. , Receiving the size difference between the corresponding component and the connection point, using the size difference 'to take the progressive deviation parameter from at least one component and the connection point, wherein the deviation parameter contains the difference information of one or more parameters, which can be characterized At least one or more elements and connection points, and / or a prediction of a progressive time difference of the at least one or more elements and connection points', which uses at least one or more dimensional differences and bias parameters. According to the system and method for manufacturing integrated circuits described in this specification, tea can be used with various force months b. Midnight circuits come to fruition, including programmable logic elements (丨) 1, such as vices (PLDS), such as field programmable logic arrays (iield programmable gate arrays φ (FPGAs)) 'Programmable array logic (programmable array logic (PAL)) components, electronic bites and flat. Memory components and standard component library components ceu7 ased devices), such as special application circuit (ASic). Other ways to implement the integrated circuit and method of manufacturing the integrated circuit include: a microprocessor with a memory, such as an EEPROM, an embedded microprocessor, firmware, software, and so on. Alternatively, the system and method for manufacturing an integrated circuit can be implemented in a circuit simulation separation, system element, neural logic, quantum element with a software library, and a U-processor of the above-mentioned mixed type of each unit. In addition, the basic component fabrication technology can also be used to provide many implementation options such as metal oxide semiconductor field-effect transistor (MOSFet) technology, such as complementary to oxide semiconductor (CMQS), double carrier technology, For example, emitter logic, polymer technology 37 200534132 (such as silicon dioxide conjugated polymer and metal conjugated polymer metal structure, etc.), and hybrid analog digital technology, and so on. It should be noted that, in different systems and methods, the constituent elements included can be computer-assisted anal devices, and are represented as behavior models of instructions or instructions of various computer-readable media. , Logic elements, transistors, layout shapes, and / or other characteristics. Circuits can be implemented in formats and objects including, but not limited to, formats that support behavioral model languages such as C, Verilog, and HLDL; formats that support register-level description languages such as RTL, and formats that support shape description languages, such as GDSII, ㈤S1II, ㈤Milk, CIF, MEBES, and any other combined styles and languages. Computer readable ages containing formatted data and / or instructions may include, but are not limited to, a variety of non-ship storage media such as optical, magnetic or semiconductor storage, as well as wireless optical or wire communication, and their hybrid square wire transmission. _ Formatted data and / or command carrier. Give _ speech 'buttons to transmit this recording format and / or age includes == transmission (upload, download, electronic_, etc.) and other through one or more_ brain ^^^ / 1ΊTP' FTP 'SMTP, etc. ) Computer network. When—the computer system is communicated by electricity ’the above-mentioned data and / or instructions of the various recorded systems and methods may be combined with a processing entity (e.g., one or more processors) to execute a program and other similar programs. The private case of Taoxin's private case has a private style and layout. Unless there is an explicit record in the manual, the "package text must be avoided" am # 二 封 括 and other similar semantic meanings. A conjunctive statement, not a Lai statement; it means a "combined item of wbeme" and all related items "including but not limited to". The embodiments of the system and method of integrated circuits are not meant to limit or exhaust the manufacturing of integrated circuits that have been uncovered. "Principle of the system and method of manufacturing integrated circuits by Gongben and its skills, methods, and methods . Anyone skilled in the art can modify and change the above embodiments without departing from the technical principles and spirit of the system and method for manufacturing integrated circuits. Therefore, the scope of protection of the rights of the system and method for manufacturing integrated circuits should be as listed in the scope of patents mentioned below. The various objects and operation methods disclosed above can be combined into other embodiments. These changes in the system and method of manufacturing integrated circuits can be completed in accordance with the detailed description above. The scope of the following cap patents is not to be construed __ manufacturing integrated circuit _ system and method disclosed in the manual, but should be included in the pulse _ the following towel please paste all the scope _ system and method of manufacturing integrated circuit. The following patents are decided by the patent fan, and are not the limitation of the rights and scope of the system and method for manufacturing integrated circuits disclosed in the present invention. The scope of the following patent applications discloses the system and method of manufacturing integrated circuits. This means, for example, when one viewpoint in the system is explained to be implemented by a machine-readable medium, the other viewpoint is also expected to be implemented by a chicken-readable medium. [Schematic description]; · ;. Figure A is a block diagram 1 () () A of the Integrated Design-Manufacturing Prs (IDMP), which contains a biased flow rate, It includes a deviation shape time prediction program 102 and / or a deviation time shape prediction program, according to an embodiment. Xin ®-B series-block diagram of integrated design process, which includes-deviation process, which is used in manufacturing integrated circuits, according to an embodiment. Fig. Is another block diagram of an integrated design process, which includes a biased flow, which is used in manufacturing integrated circuits, according to another embodiment. FIG. 3 is an InTime processes of a distorted shape, according to an embodiment. FIG. 4 is a block diagram of a time compensation program with an abnormal shape, which is applied to generate an abnormal signal to correspond to a connection structure according to an embodiment. The flow chart of the fifth series λ bias / time profile prediction program (In Ding Ruo prcesses), according to an embodiment. 39 200534132 Figure 6: Block diagram of the integrated m manufacturing process, which includes the time sequence diagram of the distorted shape and the prediction process of the distorted time profile for application in the integrated circuit manufacturing process, and the implementation of FIG. 5 example. Figure 1 shows an example according to an embodiment. Figure 8 is an example of an integrated design process program element / junction capacitor. Very Fig. Nine examples are not-modified transistor device model parameters, according to an embodiment. Figure 7 Series-Transistor Block of Component Model for Enhanced Integrated Design Process

圖十A係-整合式設計製程程序之電晶體模型的訊號延遲對應間極長 度偏移誤差之曲線圖,根據一實施例。 圖十B係-整合式設計製程程序之電晶體模型的飽和電流對應問極長 度偏移疾差之曲線圖,根據一實施例。 圖十係-整合式设计製程程序之連結點模型的橫切面圖,根據一實 圖十二係-整合式設計製程程序之連結賴型崎―鱗容偏移誤差 對應連結微擾M在-d尺寸的百分比之曲線圖,轉_實施例。 圖十二係-I合式☆計製程程序之連結賴型崎—化電容偏移誤差 對應連結微擾Δ1在-t尺寸的百分比之曲線圖,根據一實施例。 圖十四係-整合式糾製程程序之連結點模型的歸-化時間延遲對應 連結微擾Ad在一 d尺寸的百分比之曲線圖,根據一實施例。 圖十五八,目十五3,1]十五(:係-經過偏異參數粹取後的連結點結構 圖’根據一實施例。 圖十六係一外推式粹取程序流程圖,用以粹取修正後連結點的修正後 參數’根據^一實施例。 圖十七係一多邊形連結點的方塊圖,根據一實施例。 圖十八係一管控該整合式設計製程程序的電腦系統示意圖,根據一實 施例。 40 200534132 在本圖式中,相同的圖號代表相同或者實質相同的物件或動作。為了 明確辨認每一個特殊的無見或者動作,當該物件第一次出現時,其圖號下 方會附上一個標記。(例如物件102代表其在圖一第一次被討論及說明) 【主要元件符號說明】 1210歸一化電容偏移誤差AC 1220連結點微擾△(! 1310歸一化電容偏移誤差AC 1320連結點微擾Z\t 1410歸一化時間延遲 1420連結點微擾△(! 10 電路設計程序 100B整合式設計製程程序的方塊圖 100A整合式設計製程程序 101 偏異流程 1010訊號延遲 1012飽和電流 102 偏異形狀時間預測程序 丨 1021偏異形狀時間預測程序 1020閘極長度偏移誤差 104 偏異時間外形預測程序 1100連結點模型 1102金屬導線 1104要件 1112連接層 12 電路佈局程序 1200曲線圖 122 功能方塊 123 功能方塊 124 功能方塊 200534132FIG. 10A is a graph of the signal delay corresponding to the extreme length offset error of the transistor model of the integrated design process, according to an embodiment. FIG. 10B is a graph of the saturation current corresponding to the extreme length deviation of the transistor model of the integrated design process, according to an embodiment. Figure 10 is a cross-sectional view of the connection point model of the integrated design process. According to a real figure, the connection of the 12 series-the integrated design process is Lai Xingqi—the scale offset error corresponds to the connection perturbation M at -d. Graph of percentage of size, go to Example. FIG. 12 is a graph showing the connection of Lai-type oscillation-capacitance offset error of the -I combined ☆ calculation process program corresponding to the percentage of the connected perturbation Δ1 at the -t dimension, according to an embodiment. FIG. 14 is a graph of the normalization time delay corresponding to the connection point model of the integrated correction process procedure as a percentage of the connection perturbation Ad at a d size, according to an embodiment. Figure 15-8, item 15 3, 1] Fifteen (: Department-structure diagram of the connection point after the extraction of deviation parameters) according to an embodiment. Figure 16 is a flowchart of an extrapolated extraction process, The modified parameters used to obtain the modified connection points are according to an embodiment. Figure 17 is a block diagram of a polygonal connection point according to an embodiment. Figure 18 is a computer that controls the integrated design process. System diagram, according to an embodiment. 40 200534132 In this diagram, the same figure number represents the same or substantially the same object or action. In order to clearly identify each special sight or action, when the object first appears , A mark will be attached below the figure number. (For example, the object 102 represents that it was first discussed and explained in Figure 1.) [Key component symbol description] 1210 Normalized capacitance offset error AC 1220 Connection point perturbation △ ( 1310 Normalized capacitance offset error AC 1320 Connection point perturbation Z \ t 1410 Normalization time delay 1420 Connection point perturbation △ (! 10 Circuit design program 100B Integrated design process block diagram 100A Integrated design process Cheng 101 Deviation process 1010 Signal delay 1012 Saturation current 102 Deviation shape time prediction program 丨 1021 Deviation shape time prediction program 1020 Gate length offset error 104 Deviation time shape prediction program 1100 Connection point model 1102 Metal wire 1104 Requirements 1112 connection Layer 12 circuit layout program 1200 graph 122 function block 123 function block 124 function block 200534132

125 功能方塊 1300 曲線圖 14 時間分析程序 1400 曲線圖 142 功能方塊 143 功能方塊 144 功能方塊 145 功能方塊 15 設計流程 1500C連結點結構 1500B連結點結構 1500A連結點結構 1502 金屬電源網栅 1504 金屬電源供應導線 1506 通孔 1510 第一鄰近結構 1520 第二鄰近結構 ; 1530 改變區域 1600 外推式粹取程序流程圖 1610 功能方塊 1614 功能方塊 1616 功能方塊 1700 多邊形連結點的方塊圖 1701 第一區間 1702 第二區間 1703 第三區間 1704 第四區間 1750 部分原始非多邊形的連結點 1800 管控該整合式設計製程程序的電腦系統 42 200534132125 Function block 1300 Graph 14 Time analysis program 1400 Graph 142 Function block 143 Function block 144 Function block 145 Function block 15 Design flow 1500C connection point structure 1500B connection point structure 1500A connection point structure 1502 Metal power grid 1504 Metal power supply wire 1506 through-hole 1510 first adjacent structure 1520 second adjacent structure; 1530 change area 1600 extrapolation program flow chart 1610 function block 1614 function block 1616 function block 1700 block diagram of polygonal connection point 1701 first interval 1702 second interval 1703 Third section 1704 Fourth section 1750 Part of the original non-polygonal connection point 1800 Computer system that controls the integrated design process 42 200534132

1801 匯流排 1802 中央處理器 1804 揮發記憶體 1806 非揮發性記憶體 1808 光學儲存元件 1814 指向元件 1816 光學訊號轉換元件 20 形狀驗證 200 整合式設計製程程序 22 解析度強化技術程序 24 製程程序 25 製造流程 402 資料庫更換格式^☆換恪式檔案 404 技術檔案 406 標準寄生延遲格 h、 408 △ J檔案 410 貌K ^数 412 \树的SPEl··檔案 600 ,合式;叶製程程序 60? 電珞設計 604 ίφ局 〇06 實體電路描述 608 原始單元資料庫 610 原始連結點資料庫 612 產生電子電路模型 614 延遲計算 616 動態時間分析 618 GDSII 620 RET 43 200534132 622 修正 GDSII 624 製作光罩 626 晶圓顯影 700 電晶體 702 連結點端點 704 複晶矽端點 710 閘極 802 基版 804 源極區域 806 汲極區域 808 空乏區域 810 閘極 900 元件模型參數1801 Bus 1802 Central Processing Unit 1804 Volatile Memory 1806 Non-volatile Memory 1808 Optical Storage Element 1814 Pointing Element 1816 Optical Signal Conversion Element 20 Shape Verification 200 Integrated Design Process Procedure 22 Resolution Enhancement Technology Procedure 24 Process Procedure 25 Manufacturing Process 402 Database replacement format ^ ☆ Replacement file 404 Technical file 406 Standard parasitic delay grid h, 408 △ J file 410 appearance K ^ number 412 \ tree SPEl · · file 600, combined; leaf process program 60? Electrical design 604 局 Bureau 〇06 Physical circuit description 608 Original unit database 610 Original connection point database 612 Generation of electronic circuit model 614 Delay calculation 616 Dynamic time analysis 618 GDSII 620 RET 43 200534132 622 Modified GDSII 624 Fabrication mask 626 Wafer development 700 Electrical Crystal 702 Junction end 704 Polysilicon end 710 Gate 802 Base plate 804 Source region 806 Drain region 808 Empty region 810 Gate 900 Element model parameters

Claims (1)

200534132 十、申請專利範圍: 1· 一種在積體電路製程中的偏異設計方法,其包含: 一包含複數元件以及連結點的電路設計,係利用線路中的尺寸差異來預 測線路設計中至少一個的累進偏異參數以及累進時間差異,其中該偏異 參數包含一個或者多個能夠特性化至少一個元件以及連結點的參數的差 異資訊’利用累進時間差異來預測電路設計尺寸差異的手段以及利用整 合電路設計中至少一個的尺寸差異、累進偏異參數、以及累進時間差異, 以修正線路設計的手段。 、 2·如申請專利範圍帛1項所述之一種在積體電路製程中的偏異設計方法, 其中更包含利用至少-個該尺寸差異、累進偏異參數、以及累進時間差 異,來產生一個該電路設計的模型。 3_如申請專利範圍第1項所述之—種在積體電路製程中的偏異設計方法, 其中更包含至少-個尺寸差異、累進偏異參數、以及f、進時間差異, 來增強至少一個元件以及連接點的模型之資訊。 4·如申請專利範圍第1 _述之—種在積體電路製程中的偏異設計方法, 其中更包含增加至少-個尺寸差異、累進偏異錢:、以及累進時間差異 到-個或者以上的線路描述中,其中該線路描述係具有至少一個圖像資 料表示,以及一文字檔案表示。 、 5. 如申請專利顧第丨項所述之—種在積體電路製程中的偏異設計方法, 其中更包含利用包含至少-個尺寸差異、累進偏異參數、以及累進時間 差異的資訊’來更新-個或者以上的線路描述中的相關資訊部分。 6. 如申請專利範圍第1項所述之—種在積體電路製程中的偏異設計方法, 其中更包含_至少-個尺寸差異、累進偏異參數、以及累進時間差異, 來重新特性化至少一個元件以及連結點。 7•如申請專利範圍第丨項所述之-種在積體電路製程中的偏異設計方法, 其中預測方式更包含利用尺寸差異,由至少—個元件以及連結點中粹取 累進偏異參數。 45 200534132 8·如申請專利範圍第7項所述之一種在積體電路製程中的偏異設計方法, 其中粹取方式包含將該尺寸差異對應到線路設計。 9‘如申請專利範圍第7項所述之一種在積體電路製程中的偏異設計方法, 其中粹取方式更包含至少一個以上的在尺寸差異以及偏異參數之間形成 一個或者多個功能性關係;以及直接利用尺寸差異以及該功能性關係產 生該偏異參數。 10.如申請專利範圍第1項所述之一種在積體電路製程中的偏異設計方法, 其中該累進偏異參數包含可特性化至少一個元件、連結點、以及一個气 者多個連結點區間的電路參數之累進差異。 11·如申請專利範圍第1項所述之一種在積體電路製程中的偏異設計方法, 其中該電路參數包含至少一個電阻、電感、電容、接面電容'閘極、源極 電容、閘極汲極電容、源極-基版電容、閘極基版電容、汲極-基版電容、 以及有效閘極長度。 12.如申請專利範圍第1項所述之—種在積體魏製程中的偏異設計方法, 其中預測該尺寸差異更包含利用累進時間差異來決定間隙延遲時間;以 及分隔該元件及連結點間的該間隙延遲時間。: 13·如申w專利㈣帛12項所述之—種在積體電路製程中的偏異設計方 法,更包含利用該分隔的間隙時間延遲,以產生該累進偏異參數對應到 至少一個元件以及連結點。 14·如申明專利範®第1項所述之—種在積體電路製程中的偏異輯方法, 其中電路汉&amp;十修正更包含利用該預測的累進偏異參數來產生該尺寸差異 規則。 15·如申請專·圍第丨項所述之„種在積體電路製程中的偏狀計方法, 其中该累進時間差異包含該電路的訊號傳遞延遲。 16·如申清專利範圍第丨項所述之—種在積體電路製程中的偏狀計方法, 其中更包含由-電路的形狀驗證分析中,取得該尺寸差異。 Π·如申請專利卿第丨項所述之—種在積體電路製程中的偏異設計方法, 46 200534132 其中該整合至少一個尺寸差異、累進偏異參數、以及累進時間差異的方 法包含將至少一個尺寸差異、累進偏異參數、以及累進時間差異對應到 一實體的電路描述。 18. 如申請專利範圍第π項所述之一種在積體電路製程中的偏異5又5彳方 法,其中該實體描述係至少一個圖像資料,以及一文字檔案。 19. 一種在積體電路製程中的偏異設計方法,其包含: 接收包含複數元件以及連結點的電路設計; 接收對應於該元件與連結點的尺寸差異; 利用該尺寸差異粹取元件以及連結點中的累進偏異參數,其中該偏異操 數包含一個或者多個能特性化至少一個以上元件以及連結點的差異資 訊; 利用至少一個乾尺寸差異以及偏異參數,以產生該設計的累進時間差 異’以及利用該時間差異’以產生對應該設計的偏異參數。 20·整合式设什製程方式,以應用在產出一積體電路,其包含: 接收包含複數元件以及連結點的電路設計; 利用該元件以及連結點的尺寸偏移誤差,來達成元j件模型以及連結點模 型的累進修正; 利用修正的元件以及連結點模型來模型化該積體電路; 利用該模型產生電路的時間偏移誤差資訊; 利用δ玄時間偏移誤差資訊,產生元件以及連結點的偏移誤差;以及 利用该7C件以及連結點的偏移誤差,以產生元件以及連結點的尺寸偏移 誤差規則。 -整口式&quot;又°十製造方法,以應用在產出一積體電路,其包含: 接收包含複數元件以及連結點的電路設計; 利用i曰強的7L件與連結點模型,叫路 其中該增強的元件及辭雜刑^ ㈣獻寸偏二整合了至少一個以上的由元件以及連 的尺寸偏“騎來的電.移誤差、電阻偏鑛差、以及電感偏 47 200534132 移誤差 以及 利用該電路模型產生時間偏移誤差資訊 利用該時間偏移誤差資訊,產生可控制妹 規則,其巾該規職合了至少—個 “〈尺寸誤差的 訊得來的電容偏移誤差、餘偏=的由電路模型的時間偏移誤差資 _ „ 左取且偏#秩差、以及電感偏移誤差。 22· —製造積體電路之系統,其包含: 透過電子方式處_手独錢職子方式儲射訊的手段; 接收一包含許多元件與連結點的電路設計的手段;200534132 10. Scope of patent application: 1. A biased design method in integrated circuit manufacturing process, including: A circuit design including a plurality of components and connection points, which uses the size difference in the circuit to predict at least one of the circuit designs The progressive bias parameter and the progressive time difference, wherein the bias parameter contains one or more information that can characterize at least one component and the parameter of the connection point 'means to use the progressive time difference to predict the difference in circuit design size and use integration At least one of the circuit design has a difference in size, a progressive deviation parameter, and a difference in progressive time, so as to correct the circuit design. 2. A bias design method in an integrated circuit manufacturing process as described in item 1 of the scope of patent application, which further includes using at least one of the size difference, the progressive bias parameter, and the progressive time difference to generate a The model of the circuit design. 3_ As described in item 1 of the scope of patent application—a biased design method in the integrated circuit manufacturing process, which further includes at least one size difference, a progressive bias parameter, and f, a time difference to enhance at least Information about a component and the model of the connection point. 4 · As described in the scope of patent application 1__ A biased design method in the integrated circuit manufacturing process, which further includes adding at least one size difference, progressive bias money :, and the progressive time difference to one or more In the line description, the line description has at least one image data representation and a text file representation. 5. As described in Item 丨 of the patent application—a biased design method in the integrated circuit manufacturing process, which further includes the use of information including at least one size difference, a progressive bias parameter, and a progressive time difference ' To update one or more of the relevant information in the line description. 6. As described in item 1 of the scope of patent application-a biased design method in the integrated circuit manufacturing process, which further includes at least one size difference, progressive bias parameters, and progressive time difference to recharacterize At least one component and connection point. 7 • As described in item 丨 of the scope of patent application-a kind of biased design method in the integrated circuit manufacturing process, wherein the prediction method further includes the use of dimensional differences, and the progressive bias parameters are taken from at least one component and the connection point . 45 200534132 8. A biased design method in the integrated circuit manufacturing process as described in item 7 of the scope of patent application, wherein the method includes correspondingly matching the dimensional difference to the circuit design. 9 'As described in item 7 of the scope of the patent application, a biased design method in an integrated circuit manufacturing process, wherein the selection method further includes at least one or more functions to form one or more functions between the size difference and the bias parameter. Sexual relationship; and directly using the difference in size and the functional relationship to generate the bias parameter. 10. A bias design method in an integrated circuit manufacturing process as described in item 1 of the scope of patent application, wherein the progressive bias parameter includes a characteristic that can characterize at least one component, a connection point, and a plurality of connection points. Progressive differences in circuit parameters for intervals. 11. A biased design method in an integrated circuit manufacturing process as described in item 1 of the scope of the patent application, wherein the circuit parameters include at least one resistor, inductor, capacitor, and junction capacitor 'gate, source capacitor, and gate Drain Capacitor, Source-Base Capacitor, Gate-Base Capacitor, Drain-Base Capacitor, and Effective Gate Length. 12. As described in item 1 of the scope of patent application-a biased design method in the integrated Wei process, wherein predicting the size difference further includes using the difference in progressive time to determine the gap delay time; and separating the component and the connection point This gap delay time. : 13 · As described in the application of Patent No. ㈣ 帛 12-a bias design method in the integrated circuit manufacturing process, further including utilizing the gap time delay of the separation to generate the progressive bias parameter corresponding to at least one component And connection points. 14. As stated in Item 1 of the stated patent—a method of partial variation in the process of integrated circuits, wherein the circuit &amp; ten correction further includes using the predicted progressive deviation parameter to generate the size difference rule . 15. The method of deflection meter in integrated circuit manufacturing as described in the application section, wherein the difference in progressive time includes the signal transmission delay of the circuit. The described method is a deflection meter in the integrated circuit manufacturing process, which further includes obtaining the dimensional difference from the shape verification analysis of the circuit. Biased design method in bulk circuit manufacturing process, 46 200534132 The method of integrating at least one size difference, progressive deviation parameter, and progressive time difference includes corresponding at least one size difference, progressive deviation parameter, and progressive time difference to one The circuit description of the entity. 18. A 5-5 method of deviation in the integrated circuit manufacturing process as described in item π of the patent application scope, wherein the entity description is at least one image data and a text file. 19. A biased design method in an integrated circuit manufacturing process includes: receiving a circuit design including a plurality of components and a connection point; receiving a circuit corresponding to the component and the connection The size difference of the points; using the size difference to obtain the progressive deviation parameter in the component and the connection point, wherein the deviation operand contains one or more difference information capable of characterizing at least one or more components and the connection point; using at least one Dry size difference and bias parameter to generate the progressive time difference of the design 'and use the time difference' to generate the bias parameter corresponding to the design. 20 · Integrated design process method to apply to the production of a product A circuit including: receiving a circuit design including a plurality of components and a connection point; using the component and connection point size offset errors to achieve a progressive correction of the element j model and the connection point model; using the modified component and the connection point model To model the integrated circuit; use the model to generate the time offset error information of the circuit; use the delta time offset error information to generate component and connection point offset errors; and use the 7C component and the connection point offset Error to produce component and connection point size deviation error rules. ° Ten manufacturing methods to apply to produce a integrated circuit, which includes: receiving a circuit design including a plurality of components and a connection point; using a 7L piece and a connection point model that are strong, called the enhanced component and the word Miscellaneous ^ ㈣ 寸 寸 寸 二 寸 整合 Integrates at least one or more of the electric power from components and the size of the "ridden electricity. Shift error, resistance bias ore difference, and inductance bias 47 200534132 shift error and use this circuit model to generate time bias The shift error information uses the time shift error information to generate a controllable rule. The rule fits at least one capacitor offset error obtained from the message of <size error, and the residual time = the time from the circuit model. Offset error information _ „Take the left and offset #rank, and the inductance offset error. 22 · —A system for manufacturing integrated circuits, which includes: means for electronically processing and storing radio signals; means for receiving a circuit design including many components and connection points; 利用對應·設計的尺寸絲,來酬至少—辦路辑的累進偏異來 數I累進時·異辭段,其巾該偏脖數包含—個或者社的參數: 其能特性化至少一個以上的元件以及連結點; 利用累進時間差異來預測電路設計尺寸差異的手段;以及利用整合至少 一個電路設計的尺寸差異、累進偏異參數、以及累進時間差異,以修正 電路設計的手段。 23·如申請專利範圍第22項所述之一製造積體電路之系統,其中更包含利用 至少一個該尺寸差異、累進偏異參數、以及累進時丨間差異,來產生一個 電路設計的模型的手段。 24. 如申請專利範圍第22項所述之一製造積體電路之系統,其中更包含利用 至少一個該尺寸差異、累進偏異參數、以及累進時間差異,來增強至少 一個該元件以及連接點的模型之資訊的手段。 25. 如申請專利範圍第22項所述之一製造積體電路之系統,其中更包含增加 至少一個該尺寸差異、累進偏異參數、以及累進時間差異到一個或者以 上的線路描述中的手段,其中該線路描述係具有至少一個圖像資料表 示,以及一文字檔案表示。 26·如申請專利範圍第22項所述之一製造積體電路之系統,其中更包含利用 至少一個尺寸差異、累進偏異參數、以及累進時間差異,來重新特性化 至少一個元件以及連結點的手段。 48 200534132 範圍第22項所述之—製造積體電路之祕,其中用以預測系 由又更包含一利用該尺寸差異粹取該累進偏異來數的手段。 28.如申請專利範圍第22項 ☆ 貝7乩之裝坆積體電路之系統,其中累進偏異參 數已έ電路參數之累進差里,盆 疋左” 〃、』将性化至少一個元件、連結點、以及 一個或者多個連結點區間。 29·如申請專利範圍第22項所述 制 貝所述之積體電路之系統,其中該電路參數 包含至少一個電阻、雷咸、雷釔、pq 尾谷閘極-源極電容、閘極i極電容、源 極-基版電容基版電容、以及沒極_基版電容。Use the size wire of the corresponding design to pay at least-the progressive deviation of the road series to count the number of progressive time I, the number of partial necks of the towel contains one or the parameters of the company: it can be characterized by at least one or more Components and connection points; means for predicting circuit design size differences using progressive time differences; and means for correcting circuit design by integrating size differences, progressive deviation parameters, and progressive time differences of at least one circuit design. 23. The system for manufacturing an integrated circuit as described in one of the 22nd scope of the patent application, which further includes the use of at least one of the size difference, the progressive deviation parameter, and the time difference to generate a model of the circuit design. means. 24. The system for manufacturing an integrated circuit as described in item 22 of the scope of the patent application, which further includes using at least one of the size difference, a progressive deviation parameter, and a progressive time difference to enhance at least one of the component and the connection point. Means of model information. 25. The system for manufacturing an integrated circuit as described in item 22 of the scope of the patent application, which further includes a means for adding at least one of the size difference, the progressive deviation parameter, and the progressive time difference to one or more of the circuit descriptions, The line description has at least one image data representation and a text file representation. 26. The system for manufacturing an integrated circuit as described in item 22 of the scope of patent application, which further includes using at least one size difference, a progressive deviation parameter, and a progressive time difference to re-characterize at least one component and the connection point. means. 48 200534132 Scope Item 22—The Secret of Manufacturing Integrated Circuits, which is used to predict the reason and also includes a means to use the dimensional difference to get the progressive deviation. 28. If the scope of the patent application is No. 22 ☆ The system of integrated circuit of Bei 7 乩, in which the progressive deviation parameter is already within the progressive difference of the circuit parameter, and the left side "〃," will sexualize at least one component, Junction point, and one or more junction point intervals. 29. The system of the integrated circuit described in the manufacturing method described in item 22 of the scope of patent application, wherein the circuit parameter includes at least one resistor, thunder, thunder, pq Otani Gate-Source Capacitor, Gate-I Capacitor, Source-Base Capacitor Base Capacitor, and Non-Polar_Base Capacitor. 30·如申請專利範圍第22項所述之一制;iL接触士 A 貝所述之Ik積體電路之系統,其中該預測尺寸 差/、的手lx t包含至少—個以上的彻累進時間差異以及元件及連結 點間的間隙延遲時間,來決定間隙延遲時間的手段。該手段更包含利用 該分隔的間隙時間延遲,以產生該累進偏異參數對應到至少一個元件以 及連結點的手段。 3丨.如申請糊«第如項所述之—製造额電路之緖,其帽預測該尺 寸差異的手段,更包含分隔該間隙延遲時間的手段。 32.如申請專利範圍第31項所述之-製造積體電路之系統,更包含利用該分 隔的間隙延遲時間,以產生該尺寸差異的手段。 33·如申請專利範圍第22項所述之—製造積體電路之系統,其中修正該系統 的手段更包括利用預測的累進偏異參數來產生該尺寸差異的規則的手 段0 34·如申晴專利範圍第22項所述之-製造積體電路之祕,其中更包含利用 該電路設計的一形狀偏移誤差分析來得到該尺寸差異的手段。 35·如申請專利範圍第22項所述之一製造積體電路之系統,其中修正該系統 的手*k更包括將至少一個的該尺寸差異、累進偏異參數、以及累進時間 差異對應到該電路的一實體描述。 36. —製造積體電路之裝置,其包含: 接收包含複數元件以及連結點的電路設計的手段; 49 2005341.32 利用電路設計中對應的尺寸差異,來預測該電路中 此特性化至少-個以上元件以及連結點的差異資訊; 夕 利用該累進時間差異來預測線路設計的尺寸差異的 電路設計中至少—個該尺寸«、累進偏異 以修正該線路設計的手段。 μ物間差異’ 37:==指令的機器可讀取接面,其當被-個操作系統執行時,會 接收包S複數7L件以及連結點的線路設計;30. The system described in item 22 of the scope of the patent application; the system of the Ik integrated circuit described in iL contact person A, wherein the predicted size difference /, the hand lx t contains at least one or more progressive time Difference and the gap delay time between components and connection points to determine the gap delay time. The method further includes a method of utilizing the separated gap time delay to generate the progressive deviation parameter corresponding to at least one element and the connection point. 3 丨. As described in the application note «Item # 1—Manufacturing the amount of circuit, the means for predicting the size difference of the cap, and the means for separating the gap delay time are included. 32. The system for manufacturing an integrated circuit as described in item 31 of the scope of patent application, further comprising a means for utilizing the separation delay time of the separation to generate the size difference. 33. As described in item 22 of the scope of the patent application-a system for manufacturing integrated circuits, wherein the means for amending the system further includes a means for generating the rule of the size difference by using the predicted progressive deviation parameter 0 34 · Ru Shenqing The secret of manufacturing integrated circuits is described in item 22 of the patent scope, which further includes a means of using the shape deviation error analysis of the circuit design to obtain the size difference. 35. The system for manufacturing an integrated circuit as described in item 22 of the scope of the patent application, wherein the hand to modify the system * k further includes corresponding at least one of the size difference, the progressive deviation parameter, and the progressive time difference to the A physical description of the circuit. 36. —A device for manufacturing an integrated circuit, comprising: means for receiving a circuit design including a plurality of components and connection points; 49 2005341.32 using the corresponding size difference in the circuit design to predict this characteristic of at least one or more components in the circuit And the difference information of the connection points; at least one of the circuit design using the progressive time difference to predict the size difference of the circuit design, the size «, progressive deviation to correct the circuit design. Difference between μ objects ’37: == The machine-readable interface of the instruction, when executed by an operating system, will receive multiple 7L pieces of package S and the circuit design of the connection point; 利用對應該電路設計的尺寸差異,來預測該電路設計中至少一 it數時間差異’其中該偏異參數包含一個或多個參數的差異 貝訊’其I特性化至少-個以上的元件及連結點; 利用該累進時間差異來預測該線路設計的尺寸差異;以及利用整合線路 5又计中至少-個該尺寸差異、累進偏異參數、以及累進時間差異修 正該線路設計。 一 少 38·-製造積體電路之方法,其包含湘介於該偏異參數與至少—個連結點 的尺寸偏移誤差的-個或者多個功能性關係,直接逢生對應被接收的線 路a又计中’ JL少-個連結點的累進偏異參數,其中該偏異參數包含一個 或者多個電子參數的差異資訊,其麟性傾連結點。 39·-製造積體電路之方法,其包含姻介⑽連結關至少—個尺寸偏移 誤差與-個或者多個電子參數的預先定義資訊的一個或者多個功能性關 係,直接產生對應被接收的線路設計中,至少一個連結點的累進偏異參 數’其中該偏異參數包含該電子參數的差異資訊,其能特性化該連結點。 40· —製造積體電路之方法,其包含利用介於該偏異參數與至少一個元件的 尺寸偏移誤差的一個或者多個功能性關係,直接產生對應被接收的線路 設計中,至少一個元件的累進偏異參數,其中該偏異參數包含一個或者 多個電子參數的差異資訊,其能特性化該元件。 41·一製造積體電路之方法,其包含利用介於該元件的至少一個尺寸偏移誤 50 200534132 差與一個或者多個電子參數的預先定義資訊之一個或者多個功能性關 係,直接產生對應被接收的線路設計中,至少一個元件的累進偏異參數, 其中該偏異參數包含該電子參數的差異資訊,其能特性化該元件。Use the size difference corresponding to the circuit design to predict the time difference of at least one it number in the circuit design 'where the deviation parameter contains the difference of one or more parameters', which has at least one or more components and characteristics Point; using the progressive time difference to predict the size difference of the line design; and using the integrated line 5 to account for at least one of the size difference, the progressive deviation parameter, and the progressive time difference to modify the line design. A method for manufacturing integrated circuits that includes one or more functional relationships between the deviation parameter and the size offset error of at least one connection point, and directly corresponds to the circuit being received. a takes into account the progressive deviation parameter of 'JL less than one connection point', where the deviation parameter contains the difference information of one or more electronic parameters, and its inclination connection point. 39 · -A method of manufacturing an integrated circuit, which includes one or more functional relationships between at least one size offset error and one or more predefined information of electronic parameters, and directly generates corresponding correspondences. In the design of the circuit, the progressive deviation parameter of at least one connection point, wherein the deviation parameter includes difference information of the electronic parameter, which can characterize the connection point. 40 · —A method of manufacturing an integrated circuit, which includes using one or more functional relationships between the deviation parameter and a dimensional offset error of at least one component to directly generate at least one component in a corresponding circuit design that is received The progressive bias parameter, wherein the bias parameter contains difference information of one or more electronic parameters, which can characterize the component. 41. A method of manufacturing an integrated circuit, comprising using one or more functional relationships between at least one dimension offset error between the component and 50200534132 and one or more pre-defined information of electronic parameters to directly generate a correspondence. In the received circuit design, the progressive deviation parameter of at least one element, wherein the deviation parameter contains difference information of the electronic parameter, which can characterize the element. 5151
TW094101967A 2004-04-02 2005-01-24 Delta information design closure in integrated circuit fabrication TWI297446B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US55926704P 2004-04-02 2004-04-02

Publications (2)

Publication Number Publication Date
TW200534132A true TW200534132A (en) 2005-10-16
TWI297446B TWI297446B (en) 2008-06-01

Family

ID=45069097

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094101967A TWI297446B (en) 2004-04-02 2005-01-24 Delta information design closure in integrated circuit fabrication

Country Status (1)

Country Link
TW (1) TWI297446B (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI451281B (en) * 2008-02-28 2014-09-01 Advanced Risc Mach Ltd Characterising circuit cell performance variability in response to perturbations in manufacturing process parameters
US9859277B2 (en) 2006-03-09 2018-01-02 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US9871056B2 (en) 2008-03-13 2018-01-16 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US9905576B2 (en) 2006-03-09 2018-02-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first metal structures
US9917056B2 (en) 2006-03-09 2018-03-13 Tela Innovations, Inc. Coarse grid design methods and structures
TWI623845B (en) * 2007-03-05 2018-05-11 泰拉創新股份有限公司 Semiconductor chip
US10074640B2 (en) 2007-03-05 2018-09-11 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US10230377B2 (en) 2006-03-09 2019-03-12 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US10446536B2 (en) 2009-05-06 2019-10-15 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US10461081B2 (en) 2007-12-13 2019-10-29 Tel Innovations, Inc. Super-self-aligned contacts and method for making the same

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10141335B2 (en) 2006-03-09 2018-11-27 Tela Innovations, Inc. Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures
US10217763B2 (en) 2006-03-09 2019-02-26 Tela Innovations, Inc. Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid
US10141334B2 (en) 2006-03-09 2018-11-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures
US9905576B2 (en) 2006-03-09 2018-02-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first metal structures
US9917056B2 (en) 2006-03-09 2018-03-13 Tela Innovations, Inc. Coarse grid design methods and structures
US10230377B2 (en) 2006-03-09 2019-03-12 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US10186523B2 (en) 2006-03-09 2019-01-22 Tela Innovations, Inc. Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid
US9859277B2 (en) 2006-03-09 2018-01-02 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
TWI623845B (en) * 2007-03-05 2018-05-11 泰拉創新股份有限公司 Semiconductor chip
US10074640B2 (en) 2007-03-05 2018-09-11 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US10734383B2 (en) 2007-10-26 2020-08-04 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US10461081B2 (en) 2007-12-13 2019-10-29 Tel Innovations, Inc. Super-self-aligned contacts and method for making the same
TWI451281B (en) * 2008-02-28 2014-09-01 Advanced Risc Mach Ltd Characterising circuit cell performance variability in response to perturbations in manufacturing process parameters
US9871056B2 (en) 2008-03-13 2018-01-16 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US10020321B2 (en) 2008-03-13 2018-07-10 Tela Innovations, Inc. Cross-coupled transistor circuit defined on two gate electrode tracks
US10651200B2 (en) 2008-03-13 2020-05-12 Tela Innovations, Inc. Cross-coupled transistor circuit defined on three gate electrode tracks
US10658385B2 (en) 2008-03-13 2020-05-19 Tela Innovations, Inc. Cross-coupled transistor circuit defined on four gate electrode tracks
US10727252B2 (en) 2008-03-13 2020-07-28 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US10446536B2 (en) 2009-05-06 2019-10-15 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures

Also Published As

Publication number Publication date
TWI297446B (en) 2008-06-01

Similar Documents

Publication Publication Date Title
TW200534132A (en) Delta information design closure in integrated circuit fabrication
TWI345718B (en) Computer readable media executed for causing a computer to act as a placement and routing tool for an analog ic
TWI509443B (en) Methods, systems, and articles of manufacture for implementing electronic circuit designs with simulation awareness
JP2948437B2 (en) How to create data for logic simulation
CN107533576A (en) The reuse of effect is relied on for the layout of the extraction of the circuit design using master die
KR100855434B1 (en) Delta-information design closure in integrated circuit fabrication
US20140282308A1 (en) Method of radio-frequency and microwave device generation
CN104657537B (en) Design is based on fin formula field effect transistor(FINFET)Circuit method and its implement system
CN105359149B (en) The clock tree synthesis (CTS) of dual structure
TW201005566A (en) Method and technique for analogue circuit synthesis
US20130338991A1 (en) Integrated circuit simulation using analog power domain in analog block mixed signal
Martins et al. AIDA: Automated analog IC design flow from circuit level to layout
JP6801383B2 (en) Design support programs, design support methods, and information processing equipment
CN104504220B (en) Parasitic resistance extraction method based on markov transfer matrix library
US9317638B1 (en) Polymorphic circuit simulation system
TWI833036B (en) Method and system of generating circuit layout
JP2010225056A (en) Semiconductor circuit deterioration simulation method and computer program medium
JP4444732B2 (en) Library generation method and library generation program
US20140059505A1 (en) Method for designing integrated circuits employing correct-by-construction progressive modeling and an apparatus employing the method
TWI253703B (en) Delta-geometry timing prediction in integrated circuit fabrication
CN107016146A (en) System for being laid out related variation analysis
CN102508969B (en) Method for accelerating dummy metal filling based on geometrical isomorphism and electrical isomorphism of region
Filipovic et al. DTCO flow for air spacer generation and its impact on power and performance at N7
CN102521460A (en) Filling method for matted metal
JPH0877243A (en) Load capacity arithmetic method, delay arithmetic method, and method for designing semiconductor integrated circuit

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees