TW200427858A - Atomic layer deposition of high k dielectric films - Google Patents

Atomic layer deposition of high k dielectric films Download PDF

Info

Publication number
TW200427858A
TW200427858A TW092119586A TW92119586A TW200427858A TW 200427858 A TW200427858 A TW 200427858A TW 092119586 A TW092119586 A TW 092119586A TW 92119586 A TW92119586 A TW 92119586A TW 200427858 A TW200427858 A TW 200427858A
Authority
TW
Taiwan
Prior art keywords
nitride
dielectric
metal film
silicon
reaction gas
Prior art date
Application number
TW092119586A
Other languages
Chinese (zh)
Inventor
Sang-In Lee
Yoshihide Senzaki
Original Assignee
Asml Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Us Inc filed Critical Asml Us Inc
Publication of TW200427858A publication Critical patent/TW200427858A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method of processing a semiconductor substrate includes reacting in a reactor a first reactant gas, evacuating the first reactant gas from the reactor, reacting a second reactant gas, and evacuating the second reactant gas. The reacting of the first reactant gas reacts the first reactant gas with an exposed surface of the semiconductor substrate in a reactor to convert the exposed surface into a solid mono-layer. The reacting of the second reactant gas reacts the second reactant gas with the solid mono-layer in the reactor to convert the solid mono-layer into a gaseous compound. The evacuating of the second reactant gas also evacuates the gaseous compound from the reactor.

Description

200427858 ⑴ 玖、發明說明 相關的申請案 本申請案主張在2002年7月19日提出申請之美國臨 時申請案第60/396,723號及在2002年7月19日提出申 請之美國臨時申請案第60/3 96,745號的利益及優先權, 將兩種以其全文倂入本文以供參考。 本申請案係關於在2003年6月23曰以移除原子層之 方法和系統及原子層交換(Method and System for Atomic Layer Removal and Atomic Layer Exchange )爲標題提出 申請之PCT專利申請序案第PCTUS03/ 1 99 82號(代理案 件第FP-7 1 606-PC/MSS號),其主張在2002年6月23 曰提出申請之美國臨時申請案第60/3 9 1,011號的利益, 將其揭述全文倂入本文以供參考;以及在2003年6月23 曰提出申請之PCT專利申請序案第PCTUS03/ 1 9984號( 代理案件第FP-7 1 606-1-PC/MSS號),其主張在2002年 6月23日提出申請之美國臨時申請案第60/391,012號的 利益,將其揭述全文倂入本文以供參考。 【發明所屬之技術領域】 本發明係槪括關於半導體領域。更特定言之,本發明 係關於一種使用原子層交換及移除法形成高介電常數匣極 及電容器絕緣體之方法。 (2) (2)200427858 未來世代的半導體裝置需要以薄介電膜用於金屬氧化 石夕(MOS)導體匣極及電容器介電質。當氧化物膜縮小時 ’則穿隧漏電變明顯及將有用的匣極氧化物範圍限制成約 1 .8奈米或更大。 已將高介電常數(“高-k値”)金屬氧化物視爲氧化矽 (具有約3 · 9之介電常數k )可能的替代材料,提供具有 高電容,但是不折衷漏電的匣極介電材料。已提出金屬氧 化物的報導,如具有約20之介電常數的氧化給(Hf〇2 ) 、具有約20之介電常數的氧化銷(Zr02 )及矽酸Hf和 Zr。但是先前技藝的製造技術(如化學蒸汽沈積方法( CVD ))逐漸不能夠符合形成這些先進薄膜的需求。雖然 CVD法可以適合提供具有改進階梯覆蓋的同形膜,但是 C V D法常需要高的加工溫度,造成倂入高雜質濃縮物及 具有差的先質或反應物應用效率。例如,在製造高-k値 之匣極介電質時的其中一個阻礙係在C V D加工期間的界 面氧化矽層形成作用,如圖1所示。已在工業中廣泛地提 出對於匣極及電容器介電質應用的界面氧化物生長的問題 。該問題已成爲在先進的裝置製造時提供高-k値之材料 的其中一個主要障礙。另一個阻礙係以先前技藝的CV:D 法在晶矽基板上沈積用於高-k値之匣極介電質的超薄膜 (典型係1 〇埃或更薄)時的限制。 原子層沈積方法(ALD )係一種傳統的CVD法的替 代方法,以沈積非常薄的膜。ALD具有許多超越傳統的 C V D技術的優點。可以比較更低的溫度進行A L D,其與 (3) (3)200427858 低溫的工業趨勢相容,,具有高的前驅物應用效率及可以生 產同形薄膜層。更有利的是ALD可以控制原子尺寸之膜 厚度,並可·用於”奈米工程”複合薄膜。因此,非常希望在 ALD有進一步的發展。 【發明內容】 本發明的總論 因此,本發明的槪括目的係提供一種製造性能改進的 電晶體之方法及系統,其係以原子層沈積方法及移除法在 半導體裝置及晶圓上形成膜。 本發明的一個觀點中提供一種在基板表面上形成高-k 値之介電膜的方法,其包含以第一種反應氣體與曝露的半 導體基板表面在反應器中反應,使曝露的表面轉化成固態 單層’抽空反應器的第一種反應氣體,以第二種反應氣體 與固態單層在反應器中反應,使固態單層轉化成氣態化合 物,以及抽空反應器的第二種反應氣體和氣態化合物。曝 露的半導體基板表面係一種化合物,其係由金屬、矽、鍺 及以ΠI族和v族所形成的二-元素半導體的任何其中之 一的氧化物所組成的。在一個具體實施例中,第一種反應 氣體係一種化合物,其係由水蒸氣、甲醇、乙醇、丙醇及 丁醇的任何其中之一所組成的,以及第二種反應氣體係一 種化合物,其係由 C1F3、BF3、BC13、NF3、NCh、HF、 H C 1 '氟及氯的任何其中之一所組成的。在另一個具體實 施例中,第一種反應氣體包含一種含經基化合物及第二種 (4) (4)200427858 反應氣體包含一種含鹵素化合物。在還有的另一個具體實 施例中,第一種反應氣體包含三甲基銘(A1(CH:5) 3)及 第二種反應氣體係臭氧(〇3 ) 。·額外適合的氣體反應物包 括MP A (甲基毗咯烷alane )、改良之TMA (甲基吡咯烷 TMA,乙基六氫吡啶 TMA ) 、DMAH (二甲基氫化鋁 A1 ((CH3 ) 2 ) η )、改良之DMAH (甲基吡咯烷二甲基氫 化鋁、甲基六氫吡啶二甲基氫化鋁、乙基六氫吡啶二甲基 氫化鋁)、TEMAT ( Ti[N ( CH3 ) C2H5]4) 、PEMAT(200427858 玖 发明, invention description related applications This application claims U.S. Provisional Application No. 60 / 396,723 filed on July 19, 2002 and U.S. Provisional Application No. 60 filed on July 19, 2002 / 3 96,745 benefits and priority, both of which are incorporated herein by reference in their entirety. This application is about PCT Patent Application Proceeding No. PCTUS03, filed under the title of Method and System for Atomic Layer Removal and Atomic Layer Exchange on June 23, 2003 / 1 99 82 (Acting Case No. FP-7 1 606-PC / MSS), which claims the benefit of US Provisional Application No. 60/3 9 1,011, filed on June 23, 2002, will The full text of this disclosure is hereby incorporated by reference; and PCT Patent Application Serial No. PCTUS03 / 1 9984 (Acting Case No. FP-7 1 606-1-PC / MSS) filed on June 23, 2003. , Which claims the benefit of US Provisional Application No. 60 / 391,012, filed on June 23, 2002, the disclosure of which is incorporated herein by reference in its entirety. [Technical Field to which the Invention belongs] The present invention relates to the field of semiconductors. More specifically, the present invention relates to a method for forming a high dielectric constant box and a capacitor insulator using an atomic layer exchange and removal method. (2) (2) 200427858 Future generations of semiconductor devices will require thin dielectric films for metal oxide stone (MOS) conductors and capacitor dielectrics. When the oxide film shrinks, the tunneling leakage becomes noticeable and limits the useful box oxide range to about 1.8 nanometers or more. High-dielectric-constant ("high-k 氧化物") metal oxides have been considered as possible alternative materials for silicon oxide (with a dielectric constant k of about 3.9), providing box electrodes with high capacitance but without compromise in leakage Dielectric material. Reports of metal oxides have been proposed, such as oxidation donations (Hf02) with a dielectric constant of about 20, oxidation pins (Zr02) with a dielectric constant of about 20, and silicic acid Hf and Zr. However, the manufacturing techniques of the prior art (such as chemical vapor deposition (CVD)) are gradually unable to meet the requirements for forming these advanced films. Although the CVD method can be adapted to provide a conformal film with improved step coverage, the CVD method often requires high processing temperatures, resulting in the incorporation of high impurity concentrates and poor precursor or reactant application efficiency. For example, one of the obstacles in manufacturing high-k- box dielectrics is the interface silicon oxide layer formation during C V D processing, as shown in Figure 1. The problem of interfacial oxide growth for cartridge and capacitor dielectric applications has been widely raised in the industry. This problem has become one of the major obstacles to the provision of high-k materials in the manufacture of advanced devices. Another hindrance is the limitation of the prior art CV: D method for depositing ultra-thin films (typically 10 angstroms or less) for high-k 値 box dielectrics on crystalline silicon substrates. Atomic layer deposition (ALD) is an alternative to the traditional CVD method to deposit very thin films. ALD has many advantages over traditional C V D technology. A L D can be performed at a lower temperature, which is compatible with the industrial trend of (3) (3) 200427858 low temperature, has high precursor application efficiency, and can produce homomorphic thin film layers. More advantageous is that ALD can control the film thickness of the atomic size, and can be used in "nano engineering" composite films. Therefore, further development in ALD is highly hoped. SUMMARY OF THE INVENTION Therefore, the object of the present invention is to provide a method and a system for manufacturing a transistor with improved performance, which are formed on a semiconductor device and a wafer by an atomic layer deposition method and a removal method. membrane. In one aspect of the present invention, a method for forming a high-k 値 dielectric film on a substrate surface is provided, which comprises reacting a first reaction gas with an exposed semiconductor substrate surface in a reactor to convert the exposed surface into A solid single-layer 'evacuated reactor's first reaction gas, reacting the second reaction gas with the solid monolayer in the reactor to convert the solid monolayer into a gaseous compound, and evacuating the reactor's second reaction gas and Gaseous compounds. The exposed surface of the semiconductor substrate is a compound composed of a metal, silicon, germanium, and an oxide of any one of the two-element semiconductors formed from the group II and the group v. In a specific embodiment, a compound of the first reaction gas system is composed of any one of water vapor, methanol, ethanol, propanol and butanol, and a compound of the second reaction gas system, It is composed of any one of C1F3, BF3, BC13, NF3, NCh, HF, HC1 'fluorine and chlorine. In another specific embodiment, the first reaction gas includes a radical-containing compound and the second (4) (4) 200427858 reaction gas includes a halogen-containing compound. In still another specific embodiment, the first reaction gas includes trimethylamine (A1 (CH: 5) 3) and the second reaction gas system ozone (〇3). Additional suitable gaseous reactants include MP A (methylpyrrolidine alane), modified TMA (methylpyrrolidine TMA, ethylhexahydropyridine TMA), DMAH (dimethyl aluminum hydride A1 ((CH3) 2) ) η), modified DMAH (methylpyrrolidine dimethyl aluminum hydride, methyl hexahydropyridine dimethyl aluminum hydride, ethyl hexahydropyridine dimethyl aluminum hydride), TEMAT (Ti [N (CH3) C2H5 ] 4), PEMAT (

Ta[C2H5N ( CH3) ]5) 、TEMAHf ( Hf[C2H5N ( CH3) 2]4) 、TEMAZr ( Zr[C2H5N ( CH3 ) ]4 ) 、TDMAHf ( Hf[N ( CH3 ) 2]4 ) ' TDMAZr ( Zr[N ( CH3 ) 2]4 ) 、TDEAHf ( Hf [N ( C2H5 ) 2]4 ) 、TDMAZr ( Zr[N ( C2H5 ) 2]4 )、雞尾 酒式BST來源(Ba (庚烷二酮酸四甲酯)2、Sr ((庚烷 二酮酸四甲酯)2)及雞尾酒式S TO來源(Sr (庚烷二酮 酸四甲酯)2、Ti ( i-OPr ) 2 (庚烷二酮酸四甲酯)2 )。 在本發明的另一個觀點中,結構包括使用原子層移除 至少一種氧化物單層所形成的脫氧化基板及在脫氧化基板 上使用原子層沈積至少一種介電質單層所形成的介電膜。 在本發明尙有的觀點中提供一種電晶體裝置,其係由 在基板中所形成的排放區及來源區、限定在介於排放區與 來源區之間的基板中及使用原子層移除至少一層氧化物單 層所形成的脫氧化通道、以及在脫氧化通道上使用原子層 沈積至少一層介電質單層所形成的匣極介電質所組成的。 匣極介電質可以包括數層介電質單層,其中每一層介電質 (5) 200427858Ta [C2H5N (CH3)] 5), TEMAHf (Hf [C2H5N (CH3) 2] 4), TEMAZr (Zr [C2H5N (CH3)] 4), TDMAHf (Hf [N (CH3) 2] 4) 'TDMAZr ( Zr [N (CH3) 2] 4), TDEAHf (Hf [N (C2H5) 2] 4), TDMAZr (Zr [N (C2H5) 2] 4), cocktail-type BST source (Ba (heptanedione acid tetra) Methyl ester) 2, Sr ((heptane diketo tetramethyl ester) 2) and cocktail STO sources (Sr (heptane diketo tetramethyl ester) 2, Ti (i-OPr) 2 (heptane di Tetramethyl keto acid) 2). In another aspect of the present invention, the structure includes a deoxidized substrate formed by removing at least one oxide monolayer using an atomic layer and using atomic layer deposition on the deoxidized substrate to form at least one dielectric A dielectric film formed by a single layer of a dielectric substance. In an aspect of the present invention, a transistor device is provided, which is composed of an emission region and a source region formed in a substrate, and is limited between the emission region and the source region. A deoxidation channel formed by removing at least one oxide monolayer using an atomic layer in a substrate, and a box dielectric formed by depositing at least one dielectric monolayer using an atomic layer on the deoxidation channel Mass thereof. Cartridge electrode may include a dielectric layer of a dielectric single number, wherein each dielectric layer (5) 200 427 858

單層包含一種化合物·,其係 A1203、Ti02、Hf02、Ce02、 Zr〇2、Ta205 及 Li、Be、N&、Mg、K、Ca、Sc、V、Cr、 Mn、Fe、C0、Ni、Cu、Ga、Ge、Rb、Sr、Y、Nb、Mo、 Tc、Pd、Ag、Cd、In、Sn、Sb ' Cs、Ba、La、W、Re、 Pt、Au、Hg、T1、Pb、Bi、Po、Fr、Ra、Ac、Pr、Nd、 Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu 和 Th 的其中之一的氧化物的其中之一。電晶體可以進一步包括 在匣極介電質上所形成的阻障金屬膜。The single layer contains a compound, which is A1203, Ti02, Hf02, Ce02, Zr〇2, Ta205 and Li, Be, N &, Mg, K, Ca, Sc, V, Cr, Mn, Fe, C0, Ni, Cu, Ga, Ge, Rb, Sr, Y, Nb, Mo, Tc, Pd, Ag, Cd, In, Sn, Sb 'Cs, Ba, La, W, Re, Pt, Au, Hg, T1, Pb, One of the oxides of Bi, Po, Fr, Ra, Ac, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and Th. The transistor may further include a barrier metal film formed on the box dielectric.

在本發明的另一個觀點中提供一種電容器裝置,其係 由在基板中所形成的溝槽和在基板上所形成的堆疊的脫氧 化表面、使用原子層移除至少一種氧化物單層所形成的脫 氧化通道、以及在脫氧化表面上使用原子層沈積至少一種 介電質單層所形成的電容器介電質所組成的。電容器可以 包括在電容器介電質上所形成的阻障金屬層。阻障金屬膜 包括數層以原子層沈積方法所形成的金屬膜單層,以及每 一層金屬膜單層係一種化合物,其係由氮化鈦、氮化鉅、 氮化鎢、氮化鈦鎢、氮化鉅鎢、氮化鎢鋁、氮化鈦矽、氮 化鉅砂、氮化鎢砂及Ru、Rh、Os和Ir的其中之一的導電 氧化物的其中之一所組成的。 【實施方式】 本發明係提供使用原子層沈積法及移除法形成用於半 導體電晶體及電容器之介電質絕緣體之新穎方法及系統。 未來電晶體性能的增加需要增加匣極絕緣體的介電常 (6) 200427858 數及可能改進的匣極電極材料。在一個具體實 其中將慣用的Si02絕緣體以氮化物(例如, 化物堆疊或氮化物·氧化物製成的先進絕緣體 數絕緣體(如 Al2〇3、Ce02、Hf02 及 Zr02, 及Zr之矽酸鹽(Hf、Y及Zr加上SiOx),惑 La及Zr之鋁酸鹽(Hf、Y、La及Zr加上 StriP3代替的匣極裝置。將用於匣極電極之摻 石夕(多)或多Si-Ge以金屬砂化物(如Cozy; 蓋。在另一個具體實施例中,將多層或Sage 金屬匣極電極(如錫、鉅、WIN和 W )及以 電極(如 Pt、Ru、Rue〕、Ire、Ni、Ti、Mo 和 替。 因爲電路特徵的尺寸縮小,故匣極絕緣體 緣體的厚度也必須縮小。在各種薄絕緣體中, 希望的直接穿隧。除了穿隧之外,電流可能經 體或電容器絕緣體漏電。爲了避免該現象,故 材料的等效氧化物厚度(EAT)維持大於20 △ 常數大於3.9 - 8。需要具有低漏電流(每平方 安培)及具有高電壓崩潰強度之介電質。在絕 材料之間的界面應該具有低密度的補獲阱,以 電子。 高性能電晶體及電容器需要具有介電常數 EAT小於15 △之匣極絕緣體。應該將越過介彳 特電位的匣極漏電流維持在每平方公分小於] r - 9 - 施例中提供 S,i3N4 )及氧 及高介電常 或如Hf、Y ,如 Hf、Y、 Aloex )及 雜質多結晶 泛Nisei )加 多層以單一 雙金屬匣極 類似物)代 及電容器絕 可能發生不 由匣極絕緣 習慣將介電 ,其中介電 公分小於1 緣體與其它 補獲電孔或 大於1 〇及 i質的1伏 安培,其中 (7)200427858 帶隙能量Egg大於5eve。將許多候選的介電質陳列在表1 中。.In another aspect of the present invention, a capacitor device is provided, which is formed by a trench formed in a substrate and a deoxidized surface of a stack formed on the substrate, and using an atomic layer to remove at least one oxide monolayer And a capacitor dielectric formed by depositing at least one dielectric monolayer on the deoxidized surface using an atomic layer. The capacitor may include a barrier metal layer formed on the capacitor dielectric. The barrier metal film includes several metal film single layers formed by an atomic layer deposition method, and each metal film single layer is a compound, which is composed of titanium nitride, giant nitride, tungsten nitride, and titanium tungsten nitride. Consisting of giant tungsten nitride, tungsten aluminum nitride, titanium silicon nitride, giant nitride silicon nitride, giant nitride sand, tungsten nitride sand, and one of the conductive oxides of Ru, Rh, Os, and Ir. [Embodiment] The present invention provides a novel method and system for forming a dielectric insulator for a semiconductor transistor and a capacitor using an atomic layer deposition method and a removal method. Future increases in transistor performance will require increasing the dielectric constant of the box insulator (6) 200427858 and possibly improved box electrode materials. In one embodiment, the conventional Si02 insulator is made of nitride (for example, an advanced metal insulator or nitride · oxide advanced insulator number insulator (such as Al203, Ce02, Hf02 and Zr02, and Zr silicate ( Hf, Y, and Zr plus SiOx), and aluminates of La and Zr (Hf, Y, La, and Zr plus StriP3 instead of the box electrode device. Will be used for box electrode electrode doped (more) or more Si-Ge is covered with a metal sand (such as Cozy; in another specific embodiment, a multilayer or Sage metal box electrode (such as tin, giant, WIN and W) and an electrode (such as Pt, Ru, Rue) , Ire, Ni, Ti, Mo, and Ti. Because the size of the circuit features is reduced, the thickness of the box insulator insulator must also be reduced. In various thin insulators, it is desirable to directly tunnel. In addition to tunneling, current may be Leakage current through the body or capacitor insulator. In order to avoid this phenomenon, the equivalent oxide thickness (EAT) of the material is maintained greater than 20 △ constant is greater than 3.9-8. It is necessary to have a low leakage current (amperes per square ampere) and a high voltage collapse strength Dielectrics. The boundary between insulating materials It should have a low-density trap for electrons. High-performance transistors and capacitors need a box insulator with a dielectric constant EAT of less than 15 △. The box leakage current across the dielectric potential should be maintained at less than ] r-9-In the examples, S, i3N4) and oxygen and high dielectric constant or Hf, Y, such as Hf, Y, Aloex) and impurity polycrystalline pan Nisei) plus multiple layers are similar to a single bimetal box Dielectrics and capacitors may never occur without the insulation of the box pole. The dielectric is less than 1 centimeter and other recharge holes or 1 volt ampere greater than 10 and i quality. (7) 200427858 with Gap energy Egg is greater than 5eve. Many candidate dielectrics are listed in Table 1.

^ 10 ~ (8) 200427858 表1-介電質候選名單 介電質材料 介電質常數 A 1 2 〇 3 8-12 (Ba,Sr)Ti03* 200-300 B e a 1 2 〇 4 8.3-9.4 C e 0 2 16.6-26 C h e f 4 10-20 E qu al 3 22.5 Hf02 26-30 H f- S i 1 i c a t e 11 L a2 〇 3 18-20.8 L e a 1 3 23-28 L a s s o 3 30 M e a 1 2 〇 3 8.3-9.4 N o d a 13 22.5 Palo3 25 Si3N4 7 S m a 113 19 S t r i p 3 * 1 5 0-25 0 Ti02 86-95 Y2O3 1 4 Y203 - Zr02 30 Zr02 22.2-28 Zr-Al-0 12-18 Zr - S i1i c at e 11-12.6 (Zr,Sn)Ti04 40-60^ 10 ~ (8) 200427858 Table 1-Dielectric candidate list Dielectric constant A 1 2 〇3 8-12 (Ba, Sr) Ti03 * 200-300 B ea 1 2 〇4 8.3-9.4 C e 0 2 16.6-26 C hef 4 10-20 E qu al 3 22.5 Hf02 26-30 H f- S i 1 icate 11 L a2 〇3 18-20.8 L ea 1 3 23-28 L asso 3 30 M ea 1 2 〇3 8.3-9.4 N oda 13 22.5 Palo3 25 Si3N4 7 S ma 113 19 S trip 3 * 1 5 0-25 0 Ti02 86-95 Y2O3 1 4 Y203-Zr02 30 Zr02 22.2-28 Zr-Al-0 12 -18 Zr-S i1i c at e 11-12.6 (Zr, Sn) Ti04 40-60

*說明以BST,Strip3與Si在大於8 0 0 °C之溫度下反應 也說明Ta2 0 5與Si不相容及因此需要Si3N4阻障層。 (9) (9)200427858 較佳的介電質材料包括Al2〇3、Hf〇2、Hf-矽酸鹽、 Zr〇2、Zr-矽酸鹽、Hf-鋁酸鹽及Zr-鋁酸鹽。 在氧化鋁ai2o3中形成固定,,電孔,,及電子之補獲阱。 在沈積時,則以水爲主之氧化鋁ai2o3膜(例如,以水蒸 氣與TMA,A1 ( CH3 ) 3所形成的)展現電孔及電子補獲 P井兩種。但是,在80CTC之氮退火循環30分鐘之後,電 子補獲阱幾乎消失。殘存電孔補獲阱。 曝露的S i表面在空氣中傾向自行氧化及形成稱爲倶 生氧化物之薄膜。將氧化矽表面稱爲親水性表面。以漏電 及其它電特性爲角度,倶生氧化物係品質差的絕緣體,因 此通常會移除倶生氧化物。爲了移除氧化物,故典型以 HF在膜上加工,並以該加工留下以氫原子終止之Si表面 及形成稱爲疏水性表面。 不易在疏水性S i表面上形成以水爲主之氧化鋁A 12 0 3 膜生長。該生長必須先完成保溫,或在開始沈積氧化銘之 前,先以保溫期開始。例如,使用以下所述的 ALD法, 在氧化鋁Al2〇3膜開始生長之前,需要約1 5次ALD循環 。在以h2o爲主之氧化鋁ai2o3膜保溫期間通常以* Indicates that with BST, Strip3 reacts with Si at temperatures greater than 800 ° C. It also indicates that Ta205 is incompatible with Si and therefore requires a Si3N4 barrier layer. (9) (9) 200427858 Preferred dielectric materials include Al203, Hf02, Hf-silicate, Zr02, Zr-silicate, Hf-aluminate, and Zr-aluminate . A fixed, electrical hole, and electron trap is formed in alumina ai2o3. During sedimentation, water-based alumina ai2o3 films (for example, formed by water vapor and TMA, A1 (CH3) 3) exhibit two types of pores and electron replenishment P wells. However, after a nitrogen annealing cycle of 80CTC for 30 minutes, the electron recovery trap almost disappeared. Residual pores make up the well. The exposed Si surface tends to oxidize itself in the air and form a thin film called a halide oxide. The silicon oxide surface is called a hydrophilic surface. From the perspective of leakage and other electrical characteristics, the inferior oxide is a poor quality insulator, so it is usually removed. In order to remove oxides, HF is typically processed on the film, and this processing leaves the Si surface terminated with hydrogen atoms and forms a surface called a hydrophobic surface. It is not easy to form a water-based alumina A 12 0 3 film on the hydrophobic S i surface for growth. This growth must be completed by incubation, or begun with an incubation period before the deposition of oxidized deposits begins. For example, using the ALD method described below, about 15 ALD cycles are required before the aluminum oxide Al203 film begins to grow. H2O-based alumina ai2o3 film is usually

Si + Al ( CH3 ) 3 + H20— Si + Al + 0 + 0H- + CH4 ( 1 ) —Si(0H) + Al(0H) + A10 + ··· ( 2) 提供反應化學性。這種1 0埃或更薄的氧化鋁膜的 A D L沈積物是不可能的,因爲在實際的氧化鋁開始生長 之前,在保溫期的沈積物已長至該厚度。此外,比4 〇埃 更厚的氧化鋁膜具有漏電傾向。 -12- (10) 200427858 接著一旦完成保溫期時,則氧化鋁生長的化學性 如下: 2A1 ( CH3) b + 3 H20~> AI2O3 + 3 CH4 ( 3) 例如’在約3 0 0 °C之溫度範圍下以三甲基鋁a 1 < )3 (也稱爲TMA )及水蒸氣作爲前驅物進行單層生 例如’如以下討論的原子層沈積方法ADL )。每 ALD循環增加約〇·85 △介電材料。但是在以tmA ( 基銘)加上水作爲前驅物之A L D法基本上也會發生 反應: A1 ( CH3 ) 3 + 3H20-> Al ( OH ) 3 + 3 CH4 (4) 留下包括一些ai(oh3)3之介電膜。Al(OH3) 向使介電膜特性變弱。以h2o爲主之氧化鋁ai2o3膜 發生剝離,或許係由於在表面中的針孔缺陷。 使用TMA及臭氧生長的氧化鋁膜具有比以水爲 氧化鋁膜更多特定的優點。在沈積時,以Ο 3爲主之 鋁 A12 Ο 3膜係純氧化物,不具有〇 η -鍵及不受任何保 象所苦。在C-V曲線產生少許或沒有任何滯後現象 在膜中產生的碳較少。這些膜展現改進的漏電流特徵 會產生氧不足的Α1203層。可在不同的材料及結構上 相同的氧化鋁αι2ο3膜。通常以 2Α1 ( CH3) 3 + 〇3 — ΑΙ2Ο3 + 3C2H6 ( 5 ) 提供以〇3爲主之氧化鋁Α12〇3膜的反應化學性。 鋁酸鹽(包括Α12〇3 ) 、Hf02及矽酸鹽形成好的 體。使用上述以臭氧爲主之前驅物法抑制在匣極介電 進行 ch3 長( 一次 三甲 以下 3傾 可能 主之 氧化 溫現 ,並 ,不 產生 絕緣 質中 13 (11) 200427858 的 Ο ΗΓ形成作用。以層原子層沈積生長法的層在大 區域上提供極佳的覆蓋及提供極佳的階梯覆蓋。以 主之原子層沈積方法係使用低的熱預算之層(爲了 先形成的結構中的擴散)及引入少量雜質的方式建 體層。低的熱預算使界面氧化物的生長降至最低, 進一步的討論。 將反應氣體引入反應室中,以經由所謂的蓮蓬 ’使氣體均勻分布。可以使用各種本技藝已知的反 在以該臭氧爲主之方法中,最好以蓮蓬頭型反應器 驅物。在美國專利第6,5 7 9,3 72號及第6,5 73,184 兩種適合進行本發明的反應室及系統的實例。 在說明本文所述的方法及結構時常使用ALD 明本方法的原子層沈積觀點。在說明本方法的原子 觀點時,常使用 ALR縮寫,並在說明本方法的原 換觀點時,常使用ALR縮寫。 一個在達到高性能及非常薄的介電膜時的殘存 在介電質與在下層的半導體表面之間會產生界面氧 可能的該氧化物來源可以來自未移除的倶生氧化物 電膜沈積期間形成的界面氧化物。如果移除倶生氧 (例如’在剛沈積介電膜之前,以H F移除),則 電膜的方法具有其本身的氧來源,以形成界面氧化 如’在產生A:h〇3膜的ALD法中,以來自水或臭 驅氣體)與Si表面結合,形成Si02。 界面氧化物必須受到抑制,以達到低的E〇T。 的基板 臭氧爲 減低在 構絕緣 如以下 頭較佳 應室。 引入前 號說明 縮寫說 層移除 子層交 問題係 化物。 或在介 化物時 沈積介 物。例 氧(前 爲了使 -14 - (12) 200427858 界面氧化物降到最低,故在沈積介電質之前,幾乎移 留下少於4層的氧化物單層,以一層單層較佳)或完 除倶生氧化物。而且· ’ :,使在沈積介電質之方法中所使 溫度降至最低。 但是,該界面二氧化砂完全不可避免,並傾向長 5至9埃或更厚的EOT,並常生長在較厚的一面上。 不易在表面上生長高介質常數材料的理由。 所形成的倶生氧化物的厚度無法受到控制,其與 本發明的半導體晶圓的歷史及處理無緊密的關係。較 移除倶生氧化物,並以厚度受到控制的氧化物代替。 ,與蒸氣或臭氧在預定的溫度下以預定的時間反應的 的晶矽將產生厚度受到控制的氧化物。但是該厚度對 的高性能介電質的應用而言常常太厚。因此,以重複 所述的原子層移除(ALR)法應用,直到在沈積介電 前,幾乎移除(留下少於4層的氧化物單層,以一層 較佳)或完全移除厚度受到控制的氧化物爲止,使厚 到控制的該氧化物層變得更薄。 在移除或幾乎移除倶生氧化物之後,在基板上形 屬氧化物層。在一個具體實施例中,使用臭氧及金屬 物(例如,Hf醯胺或Hf ( O-t-Bu ) 4,其中 O-t-Bu 丁氧基陰離子)作爲前驅物在約3 5 0 °C的溫度下進行 法。在該具體實施例中,使Hf02層生長成約80埃之 ,具有非常低的漏電現象。如果8 0埃對標的應用而 厚,則以本文所述的原子層移除法(ALR )以預定的 除( 全移 用的 成從 其係 根據 佳係 例如 曝露 先進 本文 質之 單層 度受 成金 有機 係特 ALD 厚度 言太 次數 15 * (13) (13)200427858 重複應用於Hf〇2介電層,直到獲得預定的厚度爲止。 雖然氧化銘形成作用(與水或臭氧)形成5至9埃厚 度之界面氧化物,但是Hf〇2的A LD形成作用不會形成與 氧化鋁的厚度一樣的界面氧化物。因此,藉由使用如本文 所g寸g命的原子層移除法(A L R )使倶生氧化物變薄,或移 除倶生氧化物,及以受控制的氧化物代替,接著使用如本 文所討論的原子層移除法(ALR)使其變薄,可在變薄的 氧化物上形成高性能介電質。 在形成高性能匣極絕緣體或電容器絕緣體時,則以具 有EOT小於12埃(即u奈米)之高k値(代表約1〇 或更大的介電常數)介電材料較佳。爲了形成介電質,故 習慣在已經淸理或以HF調理之疏水性Si02表面上形成小 於·5埃(即〇,5奈米)之薄的疏水性si〇2界面層。接著 使用ALD技術使介電材料在薄的si〇2界面層上生長。 如本文的討論,本發明係提供原子層移除(ALR )法 及系統。以ALR法跟隨在連續的ALD步驟之後。將在基 板表面上具有膜(如倶生氧化物或厚度受到控制的氧化物 )的基板放入反應器中。將第一種反應氣體引入反應器中 ,與膜的第一層反應,將第一層轉化成單層固態化合物。 接著將第二種反應氣體引入反應器中,與單層固態化合物 反應,形成氣態化合物,將其自反應器移除。 最好可以使用本發明移除晶圓表面的一或多層原子層 。特別可以使用該方法移除倶生氧化物或厚度受到控制的 氧化物,其中倶生氧化物或厚度受到控制的氧化物係任何 -16 - (14) (14)200427858 金屬、矽、鍺及自ΠI族和.V族所形成的二-元素半導體 之氧化物。 此外,原子層移除法係自行終止序列的原子層移除法 -。可在沈積法之前使用該方法移除晶矽表面或其它半導體 · 表面上的任何氧化物。可以進一步使用本發明減少沈積的 導電或介電膜厚度,達到預期的最終的膜厚度。這些只是 本發明的一些應用而已。 許多以ALD沈積介電質所使用的方法係使用使前驅 鲁 物在其上反應的氧化物。因此,在沈積介電質之前不希望 移除所有的氧化物。在該情況中,最好移除倶生氧化物及 以厚度受到控制的氧化物代替。但是,這種厚度受到控制 的氧化物可以比預期的厚度更厚。因此,以重複預定次數 的原子層移除步驟幾乎移除厚度受到控制的氧化物(留下 少於4層的氧化物單層,以一層單層較佳)。Alr的重複 次數係憑厚度受到控制的氧化物厚度而定。接著以ALD 或其它方式沈積介電膜。 φ 在要求特定的介電質時,如包括Ta2 0 5之介電質,則 * 希望在半導體材料與介電質之間插入氮化物膜。在該情況 中,以HF處理或重複足以移除所有氧化物的次數的原子 層移除步驟完全移除倶生氧化物或厚度受到控制的氧化物 。接著將曝露的半導體表面與氨反應,形成厚度受到控制 的氮化物膜。在此氮化物膜也可以比預期的厚度更厚。爲 了使氮化物膜變薄,故以重複預定次數的原子層移除步驟 幾乎移除厚度受到控制的氮化物膜(留下少於4層的氮化 (15) 200427858 物單層,以一或兩層單層較佳,足以作爲阻障層) 的重複次數係憑厚度受到控制的氧化物厚度而定。 以氮化物表面與含鹵素氣體(如氟)反應。這種以 (氮化物膜)的反應將產生成爲產物之NF3及SiF4 係氣體’可將彼等自反應室移除)。控制反應時間 ’ UV活化脈衝時間)及其它因素(例如,溫度、 )’所以每一次循環只移除預定的氮化物厚度(以 層較佳)。以那些熟悉本技藝的人可以慣例的實驗 些變數° ALR的重複次數係憑厚度受到控制的氮化 而定。接著以ALD或其它方式沈積介電膜(例如 Ta205 之膜)。 在形成金屬絕緣體金屬(MIM)電容器時,第 成的金屬係直接沈積在可以任何金屬、矽、鍺及以 和 V族所形成的二-元素半導體形成的半導體基板 的阻障金屬膜。該半導體基板也包括任何金屬、矽 以 ΠΙ族和V族所形成的二-元素半導體經摻雜之 形式(常稱爲多晶體)。在該情況中,以HF處理 足以移除所有氧化物的次數的原子層移除(ALR ) 全移除任何倶生氧化物或厚度受到控制的氧化物。 曝露的半導體表面上以ALD沈積阻障金屬膜。阻 膜包括一種化合物,其係以氮化鈦、氮化鉬、氮化 化鈦鋁、氮化鉅鋁、氮化鎢鋁、氮化鈦矽、氮化鉅 化鎢矽及Ru、Rh、Os和Ir的其中之一的導電氧化 中之一較佳。接著在阻障金屬膜上以 ALD或其它 。ALR 較佳係 Si3N4 (兩者 (例如 壓力等 1層單 測定這 物厚度 ,包括 一種形 III族 上沈積 、鍺及 多晶體 或重複 步驟完 接著在 障金屬 鎢、氮 石夕、氮 物的其 方式沈 -18 - (16) (16)200427858 積介電膜。因爲阻障金屬膜提供與在下層的半導體好的電 接觸,故將其當作MIM (或MIS)電容器的一個電極。; 同樣可在被等的基板上以任何方式沈積厚度受到控制 的介電膜。接著以下述的 ALR法使膜變薄,成爲預定的 厚度。 在本發明的一個具體實施例中,更特別係提供一種在 基板上使用原子層移除法(ALR )沈積薄的介電膜的方法 。根據本發明,將具有沈積在基板表面上的膜之基板放入 反應器中。該膜典型係由多重原子層所組成的。將第一種 反應氣體引入反應器中,與膜的第一層反應,將第一層轉 化成單層固態化合物。以惰性沖洗氣體自反應器抽空過量 的第一種反應氣體。然後將第二種反應氣體引入反應器中 ,與單層固態化合物反應,形成氣態化合物。接著自反應 器移除氣態化合物及過量的第二種反應氣體。選擇使得第 二種反應氣體不與原來的膜反應的化學性。以該方式只會 移除一層原子層。以重複以上的步驟可以移除膜的第二層 、第三及更多層,直到在基板上殘留預期的層數量爲止。 通常將反應氣體經足以與膜的一層單層(即原子層)反應 的時間引入反應器中。 現在以參考圖1-3更詳細說明本發明的ALR法。在 2 003年6月 23日提出申請之PCT專利申請序案第 PCTUS 03/ 1 99 8 2 號(代理案件第 FP- 7 1 6 06-PC/MSS 號) 說明ALR方法的更多說明,其主張在2002年6月23日 提出申請之美國臨時申請案第60/3 9 1 ;01 1號的利益,將 (17) (17)200427858 兩者的揭述全文倂入本文以供參考。圖1係以.圖式展示具 有沈積在,基板表面上的膜A之基板。雖然以例證爲目的 在圖1中展示膜A的三層,但是在基板表面上預沈積之 層數量可憑特殊應用而有廣泛的變化。欲移除的膜A可 以具有在半導體加工中所使用的任何膜型式,如任何匣極 介電質或電容器介電質或陶瓷,包括金屬氧化物、鋁酸鹽 '矽酸鹽、氮化物、純金屬或矽、鍺或以III族和V族所 形成的二-元素半導體的任何其中之一的氧化物。 鲁 在圖2中,將第一種反應氣體B與膜A的頂層反應 ’使頂層轉化成單層固態化合物D。第一種反應氣體B係 使膜A的原子層轉化成早層固態化合物D之化學試劑, 可將該化合物與第二種反應氣體C進一步反應,如以下所 述。第一種反應氣體B的選擇係憑膜A的分子組成物而 定。經選擇的第一種反應氣體B最好使所形成的單層固態 化合物D需要比在單層固態化合物D之下的下一層膜A 裒少的能量與第二種反應氣體C (如以下所述)進一步反 β 應。第二種反應氣體C與固態單層D的反應最好需要比 健第二種反應氣體C與在固態單層D之下的表面Α反應 所需要的活化能量更少的活化能量。可以使用的第一種反 應氣體B前驅物之實例包括(但不限於此)臭氧及氨。 在圖3中,將單層固態化合物D接著與第二種反應 氣體C反應,形成氣態化合物E。以任何適合的方式自反 應器移除所形成的該氣態化合物E,如以沖洗氣體的輔助 下泵抽。在一個實例中,與轉化的單層固態化合物D反 -20 . (18) (18)200427858 應的第二種反應氣體C可以係含鹵素之化合物。含鹵素之 化合物的實例包括(但不限於此)C1 F 3、B F 3、B C13·、N F 3 、:N Cl3、HF、HC1、氟及氯。 · 可將本發明的A L R法的該具體實施例的以上步騾總 結在以下的方程式中: A (固態)+ B (氣態)—d (固態) (6 ) D (固態)+ C (氣態)—E (氣態)丨 (7 ) 可以重複步驟(6 )及(7 ),直到自基板移除任何預 期的膜A之層數量或全部的層數量。 以圖4-6例證本發明的另一個具體實施例。在該具體 實施例的圖4中,膜或晶圓表面係由兩種元素AB之化合 物所組成的。例如,晶圓表面可以包括膜,或可以只包括 具有或以氫終止(即親水性表面)或在其上所形成的倶生 氧化物(即疏水性表面)之晶矽。在該實例中,發生原子 層交換法。 在圖5中,將晶圓曝露於氣態前驅物CD。表面反應 及物種的交換法發生在晶圓上的頂層。在該實例中,以表 面反應使頂層轉化成單層固態化合物AD (參考圖6 ), 並形成氣態化合物CB,將其自反應室移除或沖洗。 可將該具體實施例的步驟總結在以下的方程式中: AB (固態)+ CD (氣態)-> AD(固態)+CB(氣態)个 (8 ) 本發明的方法可以使用許多型式的氣態前驅物或反應 器,並部份係以膜的化學組成物爲基礎做選擇。額外的氣 態前驅物實例包括(但不限於此):MPA (甲基吡咯院 (19) (19)200427858 alane )、改良之TMA (甲基吡咯烷tmA,乙基六氫吡啶 TMA ) 、DMAH (二甲基氫化鋁 A1 ( ( CH3〇 2 ) Η )、改 良之DMΑΗ (甲基吡咯烷二甲基氫化鋁、甲基六氫吡啶二 甲基氫化鋁、乙基六氫吡啶二甲基氫化鋁)、TEMAT ( Ti [N ( CH3 ) C2H5]4 )、PEMAT ( Ta[C2H5N ( CH3 ) ]5 )、 TEMAHf ( Hf [C2H5N ( CH3 ) 2]4 )、T E M A Z r ( Z r [ C 2 Η 5 N ( CH3 ) ]4 ) 、TDMAHf ( Hf[N ( CH3 ) 2]a ) 、TDMAZr (Si + Al (CH3) 3 + H20—Si + Al + 0 + 0H- + CH4 (1) —Si (0H) + Al (0H) + A10 + ··· (2) Provides reaction chemistry. Such an AD deposit of 10 angstroms or less of an alumina film is not possible because the deposit during the incubation period has grown to this thickness before the actual alumina begins to grow. In addition, an aluminum oxide film thicker than 40 angstroms has a tendency to leak electricity. -12- (10) 200427858 Once the incubation period is completed, the chemical properties of alumina growth are as follows: 2A1 (CH3) b + 3 H20 ~ > AI2O3 + 3 CH4 (3) For example, 'at about 3 0 0 ° C In the temperature range, monolayer formation is performed using trimethylaluminum a 1 <) 3 (also referred to as TMA) and water vapor as a precursor, for example, 'the atomic layer deposition method ADL as discussed below). Each ALD cycle adds about 0.85 delta dielectric material. But in the ALD method with tmA (Geming) plus water as the precursor, the reaction basically also occurs: A1 (CH3) 3 + 3H20- > Al (OH) 3 + 3 CH4 (4) left to include some ai (oh3) 3 dielectric film. Al (OH3) tends to weaken the dielectric film characteristics. H2O-doped alumina ai2o3 film peeled off, probably due to pinhole defects in the surface. Alumina films grown using TMA and ozone have more specific advantages than water-based alumina films. At the time of sedimentation, the Al 2 O 3 film, which is dominated by 0 3, is a pure oxide, does not have 0 η-bond and is not subject to any warranty. There is little or no hysteresis in the C-V curve and less carbon is produced in the membrane. These films exhibit improved leakage current characteristics and produce an oxygen-deficient A1203 layer. The same alumina α2ο3 film can be used on different materials and structures. Usually 2Α1 (CH3) 3 + 〇3 — ΑΙΟ203 + 3C2H6 (5) provides the reaction chemistry of the aluminum oxide A12〇3 film, which is mainly composed of 〇3. Aluminate (including A1203), Hf02 and silicate form a good body. The above-mentioned ozone-based precursor method is used to suppress the ch3 length at the dielectric of the cartridge (at a time, three-dimension below three-three-degrees may be the main temperature of oxidation, and does not cause the formation of 〇 ΗΓ of 13 (11) 200427858 in the insulator. The layer-by-layer atomic layer deposition growth method provides excellent coverage over a large area and provides excellent step coverage. The main atomic layer deposition method uses a layer with a low thermal budget (for diffusion in the structure formed first) ) And build a body layer by introducing a small amount of impurities. Low thermal budget minimizes the growth of interface oxides, further discussion. Introduce the reaction gas into the reaction chamber to evenly distribute the gas via the so-called shower. You can use a variety of In the method known in the art, in the method mainly based on ozone, it is preferable to use a shower head type reactor to drive the object. In US Patent Nos. 6,5 7 9,3 72 and 6,5 73,184, two kinds are suitable. Examples of carrying out the reaction chamber and system of the present invention. In explaining the methods and structures described herein, ALD is often used to illustrate the atomic layer deposition viewpoint of the method. In explaining the atomic viewpoint of the method, The ALR abbreviation is used, and the ALR abbreviation is often used when explaining the original idea of this method. An interface between the residual dielectric and the underlying semiconductor surface when a high-performance and very thin dielectric film is reached The possible source of this oxide of oxygen may be from the interfacial oxide formed during the deposition of the unremoved dysprosium oxide electrical film. If the dysprosium oxygen is removed (eg 'removed with HF just before the dielectric film is deposited) The electrical film method has its own source of oxygen to form interfacial oxidation such as' in the ALD method to produce an A: h03 film, from water or odor drive gas) to combine with the Si surface to form SiO 2. Interfacial oxides must be suppressed to achieve low EOT. The substrate of ozone is reduced in the structural insulation such as the following. Introduce the previous description Abbreviation layer removal sublayer intersection problem system. Or deposit the dielectric. Example oxygen (previously in order to minimize -14-(12) 200427858 interfacial oxides, so before depositing dielectrics, almost leaving less than 4 layers of oxide monolayer, preferably a single monolayer) or Complete removal of phyto-oxidants. In addition, the temperature is minimized in the method of depositing dielectric materials. However, this interface sand is completely inevitable, and tends to grow EOT 5 to 9 angstroms or thicker, and often grows on the thicker side. Reasons why it is not easy to grow high dielectric constant materials on the surface. The thickness of the formed halide oxide cannot be controlled, and it is not closely related to the history and processing of the semiconductor wafer of the present invention. Remove the hafnium oxide and replace it with an oxide of controlled thickness. Crystalline silicon that reacts with vapor or ozone at a predetermined temperature for a predetermined time will produce oxides with a controlled thickness. But this thickness is often too thick for high-performance dielectric applications. Therefore, it is applied by repeating the atomic layer removal (ALR) method described above, until almost all of the oxide monolayer is removed (leaving less than 4 layers, preferably one layer) or the thickness is completely removed before the dielectric deposition. The controlled oxide makes the thickness of the controlled oxide layer thinner. After removing or almost removing the halide oxide, an oxide layer is formed on the substrate. In a specific embodiment, the use of ozone and metals (for example, Hf amine or Hf (Ot-Bu) 4 in which Ot-Bu butoxy anion) as a precursor is performed at a temperature of about 3 50 ° C law. In this embodiment, the Hf02 layer is grown to about 80 angstroms, which has a very low leakage phenomenon. If 80 Angstroms is thicker than the target application, then the atomic layer removal method (ALR) described herein is used to remove it by a predetermined division. The thickness of gold-based organic ALD is too many times 15 * (13) (13) 200427858 Repeatedly applied to the HfO2 dielectric layer until a predetermined thickness is obtained. Although the oxidizing effect (with water or ozone) forms 5 to 9 Angstrom thickness of the interfacial oxide, but the formation of H 〇 2 A LD will not form the same thickness of the interfacial oxide of aluminum oxide. Therefore, by using the atomic layer removal method (ALR) ) Thinning or removing the oxide and replacing it with a controlled oxide, followed by thinning using atomic layer removal (ALR) as discussed herein, can be made thinner High-performance dielectrics are formed on the oxides. When forming high-performance box insulators or capacitor insulators, they have a high k 値 (representing a dielectric of about 10 or greater) with an EOT of less than 12 angstroms (that is, u nanometers). Dielectric constant) dielectric materials are preferred. Dielectric, so it is customary to form a thin hydrophobic SiO2 interface layer of less than 5 Angstroms (ie, 0.5 nm) on the surface of hydrophobic SiO2 that has been conditioned or HF-conditioned. Then use ALD technology to make The electrical material is grown on a thin SiO2 interface layer. As discussed herein, the present invention provides an atomic layer removal (ALR) method and system. The ALR method follows a continuous ALD step. It will have on the substrate surface The substrate of the membrane (such as oxidized oxide or oxide with controlled thickness) is placed in the reactor. The first reaction gas is introduced into the reactor and reacts with the first layer of the membrane to convert the first layer into a single layer Solid compounds. The second reaction gas is then introduced into the reactor to react with a single layer of solid compounds to form a gaseous compound and remove it from the reactor. It is best to use the present invention to remove one or more atoms on the surface of a wafer. In particular, this method can be used to remove oxidized oxides or oxides with controlled thickness, where the oxidized oxides or oxides with controlled thickness are any of the -16-(14) (14) 200427858 metals, silicon, germanium And from ΠI family The oxide of two-element semiconductors formed by Group V. In addition, the atomic layer removal method is the atomic layer removal method that terminates the sequence by itself.-This method can be used to remove the surface of crystalline silicon or other semiconductors before the deposition method. Any oxide on the surface. The present invention can be further used to reduce the thickness of the deposited conductive or dielectric film to the desired final film thickness. These are just some of the applications of the present invention. Many methods used to deposit dielectrics by ALD Uses an oxide that causes the precursor to react on it. Therefore, it is not desirable to remove all the oxides before depositing the dielectric. In this case, it is best to remove the halide oxide and control the thickness by Oxide instead. However, such controlled thickness oxides can be thicker than expected. Therefore, the oxide with a controlled thickness is almost removed by repeating the atomic layer removal step a predetermined number of times (leaves less than 4 oxide monolayers, preferably a single monolayer). The number of Alr repetitions depends on the thickness of the controlled oxide. A dielectric film is then deposited by ALD or other means. φ When a specific dielectric is required, such as a dielectric containing Ta205, it is desirable to insert a nitride film between the semiconductor material and the dielectric. In this case, the HF treatment or repeating the atomic layer removal step a sufficient number of times to remove all oxides completely removes the oxidized oxide or the oxide having a controlled thickness. The exposed semiconductor surface is then reacted with ammonia to form a nitride film with a controlled thickness. The nitride film can also be thicker than expected here. In order to make the nitride film thin, the controlled thickness of the nitride film is almost removed by repeating the atomic layer removal step a predetermined number of times (leaving less than 4 layers of nitride (15) 200427858 single layer, one or Two single layers are preferred, sufficient to act as a barrier layer. The number of repetitions depends on the thickness of the controlled oxide. The nitride surface reacts with a halogen-containing gas (such as fluorine). This reaction with (nitride film) will produce NF3 and SiF4 series gases' which become products, which can be removed from the reaction chamber). Control the reaction time ‘UV activation pulse time) and other factors (for example, temperature,)’ so that only a predetermined nitride thickness is removed per cycle (preferably with a layer). Those skilled in the art can routinely experiment with these variables. The number of repetitions of the ALR depends on the thickness of the controlled nitriding. Next, a dielectric film (such as a film of Ta205) is deposited by ALD or other methods. When forming a metal insulator metal (MIM) capacitor, the first metal system is directly deposited on a barrier metal film that can be formed of any metal, silicon, germanium, and a two-element semiconductor formed of a group V and a group V. The semiconductor substrate also includes any metal, silicon doped forms of two-element semiconductors formed in Groups II and V (often referred to as polycrystalline). In this case, atomic layer removal (ALR) treated with HF a sufficient number of times to remove all oxides completely removes any oxidized oxides or oxides with controlled thickness. A barrier metal film is deposited by ALD on the exposed semiconductor surface. The barrier film includes a compound consisting of titanium nitride, molybdenum nitride, titanium aluminum nitride, giant aluminum nitride, tungsten aluminum nitride, titanium nitride silicon, nitrided tungsten silicon, and Ru, Rh, Os. Among them, one of Ir and Ir is preferable. Then ALD or other on the barrier metal film. ALR is preferably Si3N4 (both (for example, pressure, 1 layer, etc.) to determine the thickness of this object, including a type III deposit, germanium and polycrystals, or repeating the steps followed by the barrier metal tungsten, nitrogen stone, nitrogen and other Method Shen-18-(16) (16) 200427858 accumulated dielectric film. Because the barrier metal film provides good electrical contact with the underlying semiconductor, it is treated as an electrode of a MIM (or MIS) capacitor; the same A dielectric film having a controlled thickness can be deposited in any manner on the substrate to be waited for. The film is then thinned to a predetermined thickness by the ALR method described below. In a specific embodiment of the present invention, it is more particularly to provide a A method for depositing a thin dielectric film on a substrate using atomic layer removal (ALR). According to the present invention, a substrate having a film deposited on the surface of the substrate is placed in a reactor. The film is typically composed of multiple atomic layers The first reaction gas is introduced into the reactor and reacts with the first layer of the membrane to convert the first layer into a single layer of solid compounds. The inert flushing gas is used to evacuate the excess of the first reaction gas from the reactor. The second reaction gas is then introduced into the reactor to react with a single layer of solid compounds to form a gaseous compound. Then the gaseous compound and excess second reaction gas are removed from the reactor. The second reaction gas is selected so that Chemical reaction with the original film. In this way, only one atomic layer will be removed. Repeat the above steps to remove the second, third and more layers of the film until the expected number of layers remains on the substrate The reaction gas is usually introduced into the reactor for a time sufficient to react with a single layer of the membrane (ie, the atomic layer). The ALR method of the present invention will now be described in more detail with reference to FIGS. 1-3. On June 23, 2003 PCT Patent Application Proceeding No. PCTUS 03/1 99 8 2 (Acting Case No. FP- 7 1 6 06-PC / MSS) filed in Japan on June 22, 2002 For the benefit of US Provisional Application No. 60/3 9 1; 01 1 filed on the date of Japan, the full disclosure of both (17) (17) 200427858 is incorporated herein for reference. Figure 1 is shown in .schema Base with film A deposited on substrate surface Although the three layers of film A are shown in FIG. 1 for the purpose of illustration, the number of layers pre-deposited on the substrate surface can vary widely depending on the particular application. The film A to be removed can have Any film type used, such as any box dielectric or capacitor dielectric or ceramic, including metal oxides, aluminates' silicates, nitrides, pure metals or silicon, germanium or group III and V An oxide of any one of the two-element semiconductors formed by the group. In FIG. 2, the first reaction gas B is reacted with the top layer of the film A to convert the top layer into a single-layer solid compound D. The first reaction gas B is a chemical reagent that converts the atomic layer of the film A into an early solid compound D, and this compound can be further reacted with the second reaction gas C, as described below. The selection of the first reaction gas B depends on the molecular composition of the membrane A. The first selected reaction gas B is preferably such that the formed single-layer solid compound D requires less energy than the next layer A below the single-layer solid compound D and the second reaction gas C (as shown below) (Described) further reaction to β. The reaction of the second reaction gas C with the solid monolayer D preferably requires less activation energy than that required for the second reaction gas C to react with the surface A below the solid monolayer D. Examples of the first reactive gas B precursor that can be used include, but are not limited to, ozone and ammonia. In Fig. 3, a single-layer solid compound D is then reacted with a second reaction gas C to form a gaseous compound E. The gaseous compound E formed is removed from the reactor in any suitable manner, such as by pumping with the aid of a flushing gas. In one example, the second reaction gas C corresponding to the converted single-layer solid compound D can be a halogen-containing compound. Examples of halogen-containing compounds include, but are not limited to, C1 F 3, B F 3, B C13 ·, N F 3,: N Cl3, HF, HC1, fluorine, and chlorine. · The above steps of this specific embodiment of the ALR method of the present invention can be summarized in the following equation: A (solid state) + B (gaseous state)-d (solid state) (6) D (solid state) + C (gaseous state) —E (Gaseous) 丨 (7) Steps (6) and (7) can be repeated until any desired number of layers of film A or the total number of layers is removed from the substrate. 4-6 illustrate another specific embodiment of the present invention. In FIG. 4 of the specific embodiment, the film or wafer surface is composed of a compound of two elements AB. For example, the wafer surface may include a film, or may include only crystalline silicon with or terminated with hydrogen (i.e., a hydrophilic surface) or a formed oxide (i.e., a hydrophobic surface) formed thereon. In this example, an atomic layer exchange method occurs. In Figure 5, the wafer is exposed to a gaseous precursor CD. Surface reactions and species exchange occur on the top layer of the wafer. In this example, the top layer is converted into a single-layer solid compound AD (see Fig. 6) with a surface reaction, and a gaseous compound CB is formed, which is removed or rinsed from the reaction chamber. The steps of this specific embodiment can be summarized in the following equations: AB (solid state) + CD (gaseous state)-> AD (solid state) + CB (gaseous state) (8) The method of the present invention can use many types of gaseous states Precursor or reactor, and part of the choice is based on the chemical composition of the membrane. Examples of additional gaseous precursors include (but are not limited to): MPA (methylpyrrole (19) (19) 200427858 alane), modified TMA (methylpyrrolidine tmA, ethylhexahydropyridine TMA), DMAH ( Dimethyl aluminum hydride A1 ((CH3〇2) Η), modified DMA Η (methylpyrrolidine dimethyl aluminum hydride, methyl hexahydropyridine dimethyl aluminum hydride, ethyl hexahydropyridine dimethyl aluminum hydride ), TEMAT (Ti [N (CH3) C2H5] 4), PEMAT (Ta [C2H5N (CH3)] 5), TEMAHf (Hf [C2H5N (CH3) 2] 4), TEMAZ r (Z r [C 2 Η 5 N (CH3)] 4), TDMAHf (Hf [N (CH3) 2] a), TDMAZr (

Zr[N ( CH3 ) 2]4 ) 、TDEAHf ( Hf[N ( C2H5 ) 2]4 )、 TDMAZr (Zr[N (C2H5) 2]4)、雞尾酒式 BST 來源(Ba( 庚烷二酮酸四甲酯)2、Sr((庚烷二酮酸四甲酯)2)及 雞尾酒式 STO來源(Sr (庚烷二酮酸四甲酯)2、Ti(i-ΟΡΟ 2(庚烷二酮酸四甲酯)2)。 如以上所述,原子層交換法發生在自由基或在氣相中 的分子與在晶圓表面上的分子之間。可以許多參數控制經 由晶圓表面擴散這些氣態前驅物。這些參數包括溫度(例 如,對氧化鋁而言,以在200-400 °C之間改變最好)、脈 衝時間(例如,以活化來源(如UV照射)限定的反應之 時間間隔表示,並可在1至1 0秒之間改變最好)、室壓 (可以小於1 〇托最好)、反應氣體的分子尺寸、在反應 時的分子選擇性,全部係爲了避免多層原子交換法。 在本發明的另一個具體實施例中,關於圖4 - 6,以原 子層移除法(A L R )跟隨在以上討論的原子層交換法( ALEx )之後。 如以上關於圖4 _ 6的討論’根據以下的方程式’先進 (20) (20) 前驅 可以 方式 圖 6 態副 6所 AD 驅物 下的 室時 的方 提出 理案 的更 臨時 r~[~j Ξ 主 甲δ円 的揭 反應 10) 200427858 行原子層交換法’以修改膜表面的化學性: AB (固態)+ CD(氣態)—AD(固態)+ CB (氣態Η ( 先將氣態前驅物c D輸送至反應室中。一 /旦氣態 物在反應室中時,則使前驅物活化。如以上的討論, 各種方式發生活化作用,如以溫度、能量脈衝及類似 。一旦活化時,則發生與膜頂層的原子層交換法,如 所示,並沖洗反應室,所以自反應室移除所形成的氣 產物C Β。現在已將膜的頂層轉化成化合物A D,如圖 示。 接著進行原子層移除法,移除配置在膜上的單層 ,如圖7及8所示。在該實例的圖7中,選擇氣態前 X Y,並將單層AD與XY反應及使膜AB (在AD之 膜之層)不與XY反應。當氣態前驅物XY進入反應 ,則使前驅物活化。如以上的討論,以能量脈衝之類 式或其它方式可以活化前驅物。在2003年6月23日 申請之PCT專利申請序案第PCTUS03/ 1 99 84號(代 件第FP- 7 1 60 6-卜PC/MSS號)說明以能量輔助的方法 多說明,其主張在2002年6月23日提出申請之美國 申請案第60/391,012號及在2002年7月19日提出 之美國臨時申請案第60/3 96,743號的利益,將所有 述全文倂入本文以供參考。在該實例中,在氣相中的 產物係:‘ AD(固態)+ XY(氣態)—DX(氣態)+ AY(氣態) ( 發生反應及形成產物DX和A Υ,並自反應室淸除 (21) 200427858 如圖8所示。因此移除膜的一層原 原子層’故可將這些步驟重複如預 在例證的實例中提供氮化鉅層 的方法及系統達成移除預期的厚虔 佳的匣極電極阻障層材料。爲了在 ’故可在匣極介電質表面上預沈積 根據本發明的該具體實施例, 頂層原子層轉化成單層二氧化鉬 Ti〇2層與氟化氫(HF)蒸氣進一 鉅(TiF)及水蒸氣,將其自反應 方法可自反應器移除一層TiN原子 晶矽基板上達成或”沈積”具有預期 對以本發明的原子層移除法可移除 制。 在預沈積或以另外的方式形成 膜的另一個具體實施例中,可以使 預沈積之二氧化矽(Si02 )膜反應 基板的頂層表面上形成單層氫氧4 用氟化氫(HF )作爲與固態氫氧/ 氣體,形成氣態氟化矽(SiF4 )及 抽空。 可以使用本發明的方法作爲在 法特別有利。例如,先前技藝的沈 厚度(如3 △的厚度)的介電膜有 子層。爲了移除更多的 期一樣多次。 t ( TiN ),並以本發明 :。氮化鉅(TiN )係較 基板上沈積薄的TiN膜 相對厚的TiN膜。 引入臭氧,使TiN膜的 (Ti02 )。接著將固態 步反應,形成氣態氟化 器抽空。每循環一次該 層。以重複該方法可在 厚度的超薄TiN膜。未 的T i N層數量有任何限 倶生氧化物的晶矽二極 用乙醇作爲與在基板上 的第一種反應氣體。在 匕矽(SiOH )。可以使 ί七矽反應的第二種反應 水蒸氣,將其自反應室 基板上沈積超薄膜的方 積技術對沈積具有超薄 限制。以本發明的方法 -2a - (22) 200427858 可在基板表面上先沈積具有更厚的介電膜(例 。接著可以使用如以上所述的原子層移除法自 電膜的層。未對可以移除的層數量有任何限制 果要求具有3 △厚度之介電膜時,則以重複如 步騾可以移除7△厚度的介電膜層,在基板表Ϊ 3 △厚度的膜。 本發明的原子層沈積方法及移除法具有廣 例如,在其它應用之中,可以使用本發明蝕刻 質,產生光微影蝕刻光罩及改進液晶顯示器的 ,在形成匣極電極之前,可以使用本發明的原 縮減最終的膜厚度及/或移除非預期的粗糙表 發明的原子層交換法與低溫的ALD高-k値之 一起控制晶矽-高&値之介電質界面。 有額外以高-k値之介電質之應用及利益 具有多層膜及膜內表面的奈米疊層使匣極絕緣 緣體產生更多非預期的界面補獲阱的機會。因 以使用在原子層移除法之後的膜之體型應用形 本發明的另一個具體實施例係提供超薄的 例如,可以形成9埃厚度之二氧化矽層。這係 先進的絕緣體介電質的總厚度相當大的部份。 的最終厚度只有1 2埃時,則該9埃的該二氧 係75%之容許厚度,只留予高介電常數之介電 許厚度。但是,在該具體實施例中,使用原子 二氧化矽厚度縮減成只有]· 2埃或]0 %之容許 如,1 〇 △) 基板移除介 。因此,如 以上所述之 δ上留下 泛的應用。 金屬及介電 解析。此外 子層移除法 面。可以本 介電質方法 。例如,以 體及電容絕 此,最好可 戎超薄膜。 氧化物層。 可以配置成 如果介電質 化矽膜可以 質2 5 %之容 層移除法使 厚度而已。 25 (23) (23)200427858 該超薄的二氧化矽膜作爲控制在界面的移動。將非常高的 JI電吊數値之絕緣體以原子沈積方法沈積在該超薄的二氧 化5夕膜上。因此·總介電質配合在1 2埃之容許厚度之內, 並由 1 0。/。二氧化矽及 9 0 % A 12 0 3、T i Ο 2、H f Ο 2、C e 〇 2 ' ΖΓ〇2或不論是否使用高-k介電質所組成的。 例如,在動態隨機存取記憶體(DRAMs )中使用的積 體電路電容器的性能趨勢係遵循自具有二氧化矽絕緣體的 平面電池向溝槽型電容器(深深蝕刻成半導體表面)及堆 疊型電容器(在半導體表面上堆積)兩者生長。 未來電容器性能的增加將憑縮減這些電容器尺寸的能 力及移轉成電容器技術而定,如MIM (金屬-絕緣體-金屬 )及MIS (金屬-絕緣體-晶矽)。在堆疊型及溝槽型兩種 電容器中,以移轉成MIM技術可以.明顯縮減SIS (晶矽-絕緣體-晶矽)電容器及MIS電容器的物理尺寸,並還產 生相同的電容。但是,當得到的尺寸越小時,則絕緣體介 電質必須變得更薄。例如,對約25飛法拉之相對大,但 是先進的電容器(例如,堆疊高度>1微米或溝槽深度大 於〇·1微米)而言,SIS或MIS電容器之等效氧化物厚度 (EOT)可能必須是30或35埃。但是,具有先進的介電 質的先進的MIS或MIM電容器可能需要在5至10埃之範 圍內的Ε Ο T。以奈米疊層加工先進的介電質可以獲得這些 介電絕緣體,如以 Si3N4、Al2〇3、Ta205、Hf02、Zr02、 Ti02、La和Y鋁酸鹽、其它的奈米疊層(如ATO/AHO、 S 丁Ο )及鈣鈦礦(如BST/PZT )。使用先進的電極技術可 (24) (24)200427858 以增強電容器性能,如以HSG (半球型粒化)多晶矽、具 有或不具有多晶矽之TiN/TaN、三元化合物(如JiAIN或Zr [N (CH3) 2] 4), TDEAHf (Hf [N (C2H5) 2] 4), TDMAZr (Zr [N (C2H5) 2] 4), Cocktail BST source (Ba (heptanedione acid tetra) Methyl ester) 2, Sr ((heptane diketo tetramethyl ester) 2) and cocktail STO sources (Sr (heptane diketo tetramethyl ester) 2, Ti (i-ΟΡΟ 2 (heptane diketo acid) Tetramethyl ester) 2). As mentioned above, the atomic layer exchange method occurs between free radicals or molecules in the gas phase and molecules on the surface of the wafer. Many gaseous precursors can be diffused through the surface of the wafer by controlling many parameters. These parameters include temperature (e.g., for alumina, it is best to change between 200-400 ° C), pulse time (e.g., the time interval between reactions defined by the activation source (such as UV irradiation), It can be changed between 1 and 10 seconds), the chamber pressure (which can be less than 10 Torr is the best), the molecular size of the reaction gas, and the molecular selectivity during the reaction, all in order to avoid the multilayer atom exchange method. In another specific embodiment of the present invention, with reference to Figures 4-6, the atomic layer exchange method (ALR) is used to follow the atomic layer exchange discussed above. (ALEx). As discussed above with regard to Figure 4_6, according to the following equation 'Advanced (20) (20) The precursor can be modified in the manner shown in Figure 6 when the chamber under the AD drive in Figure 6 is proposed. Temporary r ~ [~ j 円 Uncover reaction of main δ 円 10) 200427858 Atomic layer exchange method was used to modify the chemical properties of the membrane surface: AB (solid state) + CD (gaseous state)-AD (solid state) + CB (gaseous state) (The gaseous precursor CD is first delivered to the reaction chamber. Once the gaseous substance is in the reaction chamber, the precursor is activated. As discussed above, activation occurs in various ways, such as with temperature, energy pulses, and the like Once activated, an atomic layer exchange process with the top layer of the membrane occurs, as shown, and the reaction chamber is flushed, so the gaseous product C Β formed is removed from the reaction chamber. The top layer of the membrane has now been converted to the compound AD, As shown in the figure, then the atomic layer removal method is performed to remove the single layer disposed on the film, as shown in Figures 7 and 8. In Figure 7 of this example, the gaseous front XY is selected, and the single layers AD and XY are selected. React and keep membrane AB (layer of AD membrane) from reacting with XY. When gas The state precursor XY enters the reaction, so that the precursor is activated. As discussed above, the precursor can be activated by energy pulses or other methods. PCTUS03 / 1, PCT Patent Application Proceeding, filed on June 23, 2003 No. 99 84 (Substitute No. FP- 7 1 60 6-Bu PC / MSS) explains the energy-assisted method. It claims that US Application No. 60 / 391,012, filed on June 23, 2002, and The entire benefit of US Provisional Application No. 60/3 96,743, filed July 19, 2002, is incorporated herein by reference in its entirety. In this example, the product system in the gas phase: 'AD (solid) + XY (gaseous)-DX (gaseous) + AY (gaseous) (reactions and formation of products DX and A Υ, and removed from the reaction chamber (21) 200427858 As shown in Figure 8. Therefore, the original atomic layer of the film is removed. Therefore, these steps can be repeated as previously provided in the exemplified example. The method and system for nitriding the giant layer are achieved to achieve the desired thickness. The material of the barrier electrode barrier layer. In order to pre-deposit on the surface of the barrier dielectric according to this embodiment of the present invention, the top atomic layer is converted into a single layer of molybdenum dioxide Ti02 layer and hydrogen fluoride ( (HF) Steam is fed into a giant (TiF) and water vapor, and its self-reaction method can be removed from the reactor to achieve or "deposit" a layer of TiN atomic crystalline silicon substrate. It is expected that the atomic layer removal method of the present invention can be removed. In another specific embodiment of pre-depositing or otherwise forming a film, a pre-deposited silicon dioxide (SiO 2) film can be formed on the top surface of the substrate to form a single layer of hydrogen 4 using hydrogen fluoride (HF) as the Forms gaseous state with solid hydrogen / oxygen Silicon silicon (SiF4) and evacuation. The method of the present invention can be used as an in-process method. For example, a dielectric film with a thick thickness (such as the thickness of 3 △) of the prior art has a sub-layer. The same is true to remove more period Many times t (TiN), and according to the present invention: The nitrided giant (TiN) is a TiN film that is relatively thicker than the TiN film deposited on the substrate. The introduction of ozone makes the TiN film (Ti02). Then the solid step The reaction forms a gaseous fluorinator to evacuate. This layer is cycled once. This method can be repeated to produce ultra-thin TiN films with a thickness. The number of non-T i N layers with any limit is limited to the crystalline silicon diodes that generate oxides. And the first reaction gas on the substrate. In silicon silicon (SiOH). The second reaction water vapor that can make VII silicon react, and it is deposited from the reaction chamber substrate by the square product technology. Thin limitation. With the method of the present invention-2a-(22) 200427858, a thicker dielectric film can be deposited on the surface of the substrate first (for example. Then, the layer of the electrical film can be removed using the atomic layer removal method described above). .Nothing about the number of layers that can be removed When a dielectric film with a thickness of 3 △ is required, the dielectric film layer with a thickness of 7 △ can be removed by repeating the steps, and the film with a thickness of 3 △ is displayed on the substrate. The atomic layer deposition method and the method of the present invention The division method has a wide range. For example, in other applications, the etching material of the present invention can be used to produce a photolithographic etching mask and improve the liquid crystal display. Before forming the box electrode, the original film thickness of the present invention can be used to reduce the final film thickness. And / or remove unexpected rough surface atomic layer exchange methods together with low temperature ALD high-k 値 to control the crystalline silicon-high & 高 dielectric interface. There is additional high-k 値 dielectric The application and benefits of high-quality nano-layers with multilayer films and inner surfaces of the film make the box insulator edge have more opportunities for unexpected interface replenishment wells. Therefore, the application form of the film after the atomic layer removal method is used. Another embodiment of the present invention provides an ultra-thin, for example, a silicon dioxide layer having a thickness of 9 angstroms. This is a considerable portion of the total thickness of advanced insulator dielectrics. When the final thickness is only 12 angstroms, then the allowable thickness of the dioxin of the 9 angstroms is 75%, leaving only a high dielectric permittivity. However, in this specific embodiment, the use of atomic silicon dioxide thickness reduction to allow only] · 2 angstroms or] 0% allowable (for example, 10 △) substrate removal media. Therefore, the general application of δ as described above is left. Analysis of metals and dielectrics. In addition, the sublayer removes the normal. Can this dielectric method. For example, it is best to use ultra-thin films for bulk and capacitors. Oxide layer. It can be configured that if the dielectric silicon film can be 25% thicker, the thickness can be reduced by the layer removal method. 25 (23) (23) 200427858 This ultra-thin silicon dioxide film is used to control the movement at the interface. A very high JI electric insulator is deposited on the ultra-thin oxide film by atomic deposition. Therefore, the total dielectric material fits within the allowable thickness of 12 angstroms, and is reduced by 10. /. It is composed of silicon dioxide and 90% A 12 0 3, T i 〇 2, H f Ο 2, C e 〇 2 'ZΓ〇2, or whether or not a high-k dielectric is used. For example, the performance trends of integrated circuit capacitors used in dynamic random access memory (DRAMs) are following from flat cells with silicon dioxide insulators to trench-type capacitors (deeply etched into semiconductor surfaces) and stacked capacitors (Stacked on semiconductor surface) Both grow. Future increases in capacitor performance will depend on the ability to reduce the size of these capacitors and transfer to capacitor technology, such as MIM (Metal-Insulator-Metal) and MIS (Metal-Insulator-Crystalline). In the stack type and trench type capacitors, the transfer to MIM technology can significantly reduce the physical size of SIS (crystalline silicon-insulator-crystalline silicon) capacitors and MIS capacitors, and also produce the same capacitance. However, the smaller the size obtained, the thinner the insulator dielectric must be. For example, the equivalent oxide thickness (EOT) of a SIS or MIS capacitor is relatively large for approximately 25 femtofarads, but for advanced capacitors (e.g., stack height> 1 micron or trench depth greater than 0.1 micron) May have to be 30 or 35 angstroms. However, advanced MIS or MIM capacitors with advanced dielectrics may require E0T in the range of 5 to 10 Angstroms. These dielectric insulators can be obtained by processing advanced dielectrics with nano-layers, such as Si3N4, Al203, Ta205, Hf02, Zr02, Ti02, La, and Y aluminates, other nano-layers (such as ATO / AHO, S but O) and perovskite (such as BST / PZT). The use of advanced electrode technology can (24) (24) 200427858 to enhance capacitor performance, such as HSG (hemispherical granulation) polycrystalline silicon, TiN / TaN with or without polycrystalline silicon, ternary compounds (such as JiAIN or

TaSiN )、貴金屬(如 Ru或Ru〇2 )或鈣鈦礦(如 s r0/LSC0 )。 實例-電容器裝置 在一個形成具有溝槽深度小於1 0 0奈米之溝槽型電容 器1 00的方法實例中,以慣用方式形成溝槽1 02 (例如, 以反應性離子蝕刻),接著在溝槽頂端形成慣用的頸圈 1 04。參考圖9。 將在頸圈之下的溝槽在稱爲”接種”的方法中產生具有 介於非晶矽與多結晶矽(常以C V D )之間的顆粒的摻雜 質多晶矽的溫度下以摻雜的晶矽沈積方法塗佈。接著將溝 槽在超高真空下退火。”接種及退火”法典型係以UHV C V D爲基礎。以非晶矽表面在低壓下以等溫曝露於矽來 源氣體及接著退火,傾向於使較低的電極變粗糙,以增加 表面積及增加相對於在溝槽型電容器中的平滑表面之電容 。這些增加的表面積可以多達2:1。退火法在表面中留下 具有微視”隆起物或褶層之下電極,褶層具有約20 奈米之尺寸。將這些方法稱爲HSG (半球型粒化)晶矽。 參考圖1 0。 使用堆積成EOT小於3.6奈米之層的原子層沈積方 法(A L D )在粗糙的表面上沈積在該表面積增加的先進的 介電質]0 8 (如A 12 0 3 )上。該方法的步驟可以包括移除 〇7 (25) (25)200427858 任何倶生氧化物及以厚度受到控制的氧化物代替’接著以 A L R移除氧化物,直到達成非常薄的氧化物(例如,少於 4層的氧化物單層,以一層單層較佳)。接著以"ALD沈 積先進的介電質。最後以多層110沈積在先進的介電質上 ,當作上電容器電極,並完成SIS電容器。參考圖11。 這種電容器可以達成25飛法拉之電容及具有以每一 個電容器電池計小於1飛安培之漏電流。在S I S電容器中 的 Α12 Ο 3絕緣體形成作用使半導體裝置在不超過3 5 0 °C之 溫度下曝露不超過4分鐘。在該曝露期間,在HSG晶矽 與先進的介電質之間形成最少量的界面二氧化矽,因爲在 A 1 2 03中的一些氧移轉,與在下層的晶矽鍵結。但是,因 爲維持低的溫度預算,故維持極薄的界面氧化物。 在圖12中,在沈積多層之前,將阻障金屬膜U2沈 積在先進的介電質上。阻障金屬膜1 1 2與溝槽的粗糙表面 一致。接著以金屬覆蓋在阻障金屬膜,在阻障金屬膜上形 成金屬膜114。參考圖12。 最後以摻雜之多結晶矽1 1 6塡充殘留在溝槽中的 任何空隙,然後將晶圓進行化學機械拋光,移除過量 的覆蓋材料。參考圖13。在該方式中,電容器的一個 電極係形成S I Μ電容器之金屬。 以H S G晶矽表面形成高度小於1 3 0奈米的2 5飛 法拉之堆疊型電容器,其係使用厚度(EOT)小於3.6 奈米之 ai2〇3先進的介電質,接著以多沈積方法,形 成 SIS電容器。或者以HSG晶矽表面形成高度小於 (26) (26)200427858 130奈米的25飛法拉之堆疊型電容器,其係使用厚度 (EOT)小於3· 7奈米之T a? 〇5先進的介電質’接著以 多沈積方法,形成MiS電容器。在SIS電谷器中的 A 1 203絕緣體形成作用使半導體裝置在不超過3 5 0 °C之溫 度下曝露4分鐘而已,並在MIS電容器中的Ta2〇5絕緣體 形成作用使半導體裝置在不超過750C之溫度下曝餘不超 過3 0分鐘。 在上述高度小於130奈米的25飛法拉之堆疊型電 容器(以HSG晶矽表面使用Al2〇3先進的介電質所形成 的)的變數中,以本文所述使用堆積成EOT小於2 · 8奈 米之層(取代小於3.6奈米)的原子層沈積方法(ALD ) 形成介電質,並在該方法之後,以TiN沈積方法形成MIS 電容器之電極。這種電容器可以達到25飛法拉之電容及 具有以每一個具有高達1.5伏特之操作電壓的電容器電池 計小於1飛安培之漏電流。如以上的討論,在該曝露期間 ,在H S G晶矽與先進的介電質之間形成界面二氧化矽, 但是,因爲維持低的溫度預算,故維持薄的界面氧化物( 約8至9埃)。 使用假ALD法形成TiN。使用作爲前驅物的TiCl4沈 積TiN的慣用的c V D法傾向具有差的階梯覆蓋(約2 5 % )。在H S G晶矽表面上配合形成電容器介電質時,該傾 向特別有問題。使用ALD法(代替CVD )具有極佳的階 梯覆盖’但是具有低的生長率。以假A L D法摻合兩種方 法的混合方法,達到更好的90%之階梯覆蓋與低氯含量( ^ 29' ^ (27) (27)200427858 來自前驅物的原子)的紅利。而且,以ALD及假ALD法 生產具有改良電容及漏電特徵之電容器。 ' 可以使用三元阻障金屬達到高結晶溫度、平滑的形態 # 學(由於膜的非晶形特徵)、好的擴散阻障性及好的抗氧 · 化性。 最後可將先進的介電質(在ALD法以層堆積的層 )以交替的奈米疊層的介電質代替。例如,以1 5埃之 Ti02膜(例如,1 5-20次ALD循環),接著以20埃 _ 之Al2〇3膜(例如,20-25次ALD循環),接著以15 埃之Ti〇2膜(例如,1 5-20次ALD循環)可以形成介 電質。這些交替的奈米疊層可以係符合EOT需求、介 電常數需求及漏電需求的慣例設計。可將兩種型式白勺 奈米覺層父替堆暨’或可將賴過兩種型式以上的奈米 疊層的混合層形成任何預期厚度的堆疊。可以使用上 述用於溝槽型電容器的絕緣體形成法形成堆疊的電g 器結構,反之亦然。 Φ 實例-匣極裝置 在圖14中開始形成匣極200之形成法。在具有限 定在排放區與來源區之間的通道的排放區及來源!g 204之基板202中形成匣極200。將絕緣體材料206沈 積在基板上、圖像化,並蝕刻在通道上的凹陷處,形 成絕緣體2 0 8及形成多匣極電極2 1 0。 圖1 5係在晶圓上長出氧化膜220 (典型係以慣用 30 (28) (28)200427858 的C VD法)之後的匣極2 0.0截面圖示。圖1 6係在已 進行拋光(例如,化學機械拋光).之後的晶圓截面圖 示。圖1 7展示在E移除倣製的匣極電極2 1 0及絕緣體 2 0 8 (例如,以蝕刻)之後的匣極2 0 0。圖 1 8係已在 匣極上長出先進的介電質 222 (如以上的討論)之後 的匣極200截面圖示。圖19係已在先進的介電質222 上形成阻障金屬 224 (例如,WN或N )之後的匣極 200截面圖示。圖20係已在阻障金屬224上覆蓋金屬 226之後的匣極200截面圖示。圖21係在已進行拋光 (例如,化學機械拋光)之後的匣極2 0 0截面圖示。 已說明使用原子層沈積方法及移除法(希望以其 作爲例證,而非限制)形成半導體電晶體及電容器之 新穎方法及系統的較佳的具體實施例及實例,値得注 意的是以熟悉本技藝的人依照以上的指導可以完成修 改及變化。因此應瞭解可在以所附之申請專利範圍定 義的本發明的範圍內所揭述之本發明特殊的具體實施 例中完成改變。例如,可以使用臭氧及或(特丁醇)4 給或二院基酿胺鈴作爲前驅物生長二氧化給單餍。 因此已以專利法律需求、在所附之申請專利範圍 陳述以專利證保護申請及要求的細節及特點說明本發 明。 【圖式簡單說明】 以參考以下圖形的本發明的以下說明詳細說明本發明 (29) (29)200427858 圖1 - 3係例證根據本發明的一個具體實施例的原子層 移除法的基本步驟的圖式。 圖4 - 6係例證根據本發明的另一個具體實施例的原子 層交換法的步驟的圖式。 圖7及8係例證在根據本發明的另一個具體實施例的 圖4 - 6所例證的原子層交換法之後的原子層移除法的基本 步驟的圖式。 圖9 -1 3係展示根據本發明形成溝槽型電容器的步驟 之截面圖。 圖14-21係展示根據本發明形成電晶體匣極的步驟之 截面圖。 $件對照表 1〇〇 :溝槽型電容器 102 :溝槽 104 :頸圈 106 :微視隆起物 108:先進的介電質 1 1 0 :多層 Π 2 :阻障金屬膜 1 1 4 :金屬膜 1 1 6 :摻雜之多結晶矽 2 00 :匣極 (30)200427858 202 :基板TaSiN), precious metals (such as Ru or RuO2), or perovskites (such as sr0 / LSC0). Example-Capacitor Device In an example of a method of forming a trench-type capacitor 100 having a trench depth of less than 100 nanometers, a trench 10 02 is formed in a conventional manner (for example, by reactive ion etching) followed by a trench The top of the groove forms the customary collar 104. Refer to Figure 9. The trench under the collar is doped at a temperature known as "seeding" to produce a doped polycrystalline silicon with particles between amorphous silicon and polycrystalline silicon (commonly CVD). Crystal silicon deposition method coating. The trench is then annealed under ultra-high vacuum. The "inoculation and annealing" method is typically based on UHV C V D. Amorphous silicon surface exposed to silicon source gas at low temperature and isothermally, and then annealed, tends to roughen the lower electrode to increase surface area and capacitance relative to a smooth surface in a trench capacitor. These increased surface areas can be up to 2: 1. Annealing leaves electrodes with microscopic "bumps" or pleats in the surface. The pleats have a size of about 20 nanometers. These methods are called HSG (hemispherical granulation) crystalline silicon. See Figure 10. An atomic layer deposition method (ALD) stacked into a layer with an EOT of less than 3.6 nm is deposited on a rough surface on an advanced dielectric with an increased surface area] 0 8 (such as A 12 0 3). Steps of the method This may include removing 07 (25) (25) 200427858 any oxidizing oxide and replacing it with a controlled thickness oxide and then removing the oxide with ALR until a very thin oxide is reached (eg, less than 4 layers Oxide single layer, preferably a single layer). Then "ALD" is used to deposit advanced dielectrics. Finally, multilayer 110 is deposited on the advanced dielectrics to serve as upper capacitor electrodes and complete SIS capacitors. Refer to Figure 11. This capacitor can achieve a capacitance of 25 fly farads and has a leakage current of less than 1 fly amp per battery cell. The A12 0 3 insulator in the SIS capacitor forms a semiconductor device at no more than 3 5 0 ° C temperature The lower exposure does not exceed 4 minutes. During this exposure, a minimal amount of interfacial silicon dioxide is formed between the HSG crystal silicon and the advanced dielectric, because some of the oxygen transfer in A 1 2 03 is the same as that in the lower layer. Crystalline silicon bonding. However, because of maintaining a low temperature budget, very thin interfacial oxides are maintained. In Figure 12, a barrier metal film U2 is deposited on an advanced dielectric before depositing multiple layers. The metal film 1 1 2 is consistent with the rough surface of the trench. Then, the barrier metal film is covered with metal, and a metal film 114 is formed on the barrier metal film. Refer to FIG. 12. Finally, doped polycrystalline silicon 1 1 6 塡Fill any gaps left in the trenches, and then chemical-mechanically polish the wafer to remove excess covering material. Refer to Figure 13. In this method, one electrode system of the capacitor forms the metal of the SIM capacitor. It is crystallized by HSG. Stacked capacitors with a height of less than 130 nanometers and 25 fly farads are formed on the surface of silicon. They use advanced dielectrics with thicknesses (EOT) less than 3.6 nanometers ai203, and then use multiple deposition methods to form SIS capacitors. ... or HSG crystal The surface forming height is less than (26) (26) 200427858 130 nanometers 25 flying fara stacked capacitors, which use a thickness (EOT) of less than 3.7 nanometers T a? 〇5 advanced dielectrics' followed by Multi-deposition method to form MiS capacitors. The formation of A 1 203 insulator in the SIS trough device exposes the semiconductor device to a temperature of not more than 350 ° C for 4 minutes, and it is a Ta205 insulator in the MIS capacitor. The formation effect allows the semiconductor device to be exposed at a temperature of not more than 750C for not more than 30 minutes. Among the variables of the above-mentioned 25-Farad stacked capacitors (formed with H2O3 advanced dielectric on the surface of HSG crystals) of less than 130 nanometers, the EOT used in this article is stacked to less than 2 · 8 A nano-layer (replacement of less than 3.6 nanometers) atomic layer deposition (ALD) method forms a dielectric, and after this method, a TiN deposition method is used to form an electrode for a MIS capacitor. This capacitor can reach a capacitance of 25 femtofarads and has a leakage current of less than 1 femtoampere per capacitor battery with an operating voltage of up to 1.5 volts. As discussed above, during this exposure, interfacial silicon dioxide is formed between the HSG crystalline silicon and the advanced dielectric. However, because a low temperature budget is maintained, a thin interfacial oxide (about 8 to 9 angstroms) is maintained. ). TiN is formed using a pseudo ALD method. The conventional c V D method using TiCl4 as a precursor to deposit TiN tends to have poor step coverage (about 25%). This tendency is particularly problematic when the capacitor dielectric is formed on the surface of H S G crystal silicon. The use of the ALD method (instead of CVD) has excellent step coverage 'but has a low growth rate. Mixing the two methods with the false A L D method, achieving a better 90% step coverage and a low chlorine content (^ 29 '^ (27) (27) 200427858 atom from the precursor). Furthermore, capacitors with improved capacitance and leakage characteristics are produced by the ALD and pseudo-ALD methods. '' Ternary barrier metal can be used to achieve high crystallization temperature, smooth morphology # due to the amorphous nature of the film, good diffusion barrier and good oxidation resistance. Finally, the advanced dielectrics (layers stacked in layers by the ALD method) can be replaced by alternating nano-layered dielectrics. For example, a Ti02 film with 15 angstroms (eg, 15-20 ALD cycles), followed by an Al203 film with 20 angstroms (eg, 20-25 ALD cycles), followed by a Ti02 with 15 angstroms A film (eg, 15-20 ALD cycles) can form a dielectric. These alternate nano stacks can be custom designed to meet EOT requirements, dielectric constant requirements, and leakage requirements. The two types of nano-perceived nano-layers can be stacked and stacked, or the mixed layers that rely on more than two types of nano-layers can be formed into a stack of any desired thickness. A stacked capacitor structure can be formed using the above-described insulator formation method for a trench capacitor, and vice versa. Φ Example-Cassette pole device The formation method of the cassette pole 200 is started in FIG. 14. In a discharge zone and source with a passage defined between the discharge zone and the source zone! A box electrode 200 is formed in a substrate 202 of g204. The insulator material 206 is deposited on the substrate, imaged, and etched in the recess on the channel to form an insulator 208 and a multi-cassette electrode 210. Figure 15 is a cross-section diagram of the cartridge pole 20.0 after the oxide film 220 (typically the conventional C VD method of 30 (28) (28) 200427858) is grown on the wafer. Figure 16 shows a cross-section of a wafer after it has been polished (for example, chemical mechanical polishing). FIG. 17 shows the box electrode 2 0 after the imitation box electrode 2 10 and the insulator 2 8 are removed (for example, by etching). Figure 18 is a cross-sectional view of the box pole 200 after the advanced dielectric 222 (as discussed above) has been grown on the box pole. FIG. 19 is a cross-sectional view of a cartridge 200 after a barrier metal 224 (eg, WN or N) has been formed on an advanced dielectric 222. FIG. 20 is a cross-sectional view of the box electrode 200 after the barrier metal 224 has been covered with the metal 226. FIG. 21 is a cross-sectional view of a cartridge pole 200 after it has been polished (for example, chemical mechanical polishing). Preferred specific embodiments and examples of novel methods and systems for forming semiconductor transistors and capacitors using atomic layer deposition methods and removal methods (hopefully to be exemplified, but not limited) have been described. Those skilled in the art can complete modifications and changes in accordance with the above guidance. It should therefore be understood that changes may be made in the specific embodiments of the invention disclosed within the scope of the invention as defined by the scope of the appended patent application. For example, ozone and or (tert-butanol) 4 can be used as a precursor to grow dioxin to monoamidine. Therefore, the present invention has been described in terms of the legal requirements of the patent, and the details and features of the patent protection application and requirements in the scope of the attached patent application. [Brief description of the drawings] The following description of the present invention will be described in detail with reference to the following figures. (29) (29) 200427858 Figures 1-3 illustrate the basic steps of the atomic layer removal method according to a specific embodiment of the present invention. Scheme. 4-6 are diagrams illustrating the steps of an atomic layer exchange method according to another embodiment of the present invention. 7 and 8 are diagrams illustrating basic steps of the atomic layer removal method after the atomic layer exchange method illustrated in FIGS. 4 to 6 according to another embodiment of the present invention. Figs. 9 to 13 are sectional views showing the steps of forming a trench capacitor according to the present invention. 14-21 are cross-sectional views showing a step of forming a transistor box according to the present invention. Table of comparisons 100: trench capacitor 102: trench 104: neck collar 106: microscopic bump 108: advanced dielectric 1 1 0: multilayer Π 2: barrier metal film 1 1 4: metal Film 1 1 6: Doped polycrystalline silicon 2 00: Box electrode (30) 200427858 202: Substrate

2 0 4 :排放區與來源區 2 0 6 :絕緣體材料 2 0 8 :絕緣體 2 1 〇 :多匣極電極 2 2 〇 :氧化物膜 2 2 2 :先進的介電質 2 2 4 :阻障金屬 226 :金屬 A :膜 B :第一種反應氣體 C:第二種反應氣體 D :固態化合物 E :氣態化合物 X、Y:氣體中之元素2 0 4: Emission area and source area 2 0 6: Insulator material 2 0 8: Insulator 2 1 0: Multi-cassette electrode 2 2 0: Oxide film 2 2 2: Advanced dielectric 2 2 4: Barrier Metal 226: Metal A: Film B: First reaction gas C: Second reaction gas D: Solid compound E: Gaseous compound X, Y: Elements in the gas

Claims (1)

200427858 ⑴ 拾、申請專利範圍 1 · 一種加工半導體基板的方法,其包含: 將第一種反應氣體與曝露的半導體基板表面在反應 器中反應,使曝露的表面轉化成固態單層;抽空反應器的 第一種反應氣體; 將第二種反應氣體與固態單層在反應器中反應,使固 態單層轉化成氣態化合物;及 抽空反應器的第二種反應氣體和氣態化合物。 2 ·如申請專利範圍第1項之方法,其尙包含重複 預定次數的第一種反應氣體的反應,抽空第一種反應 氣體,第二種反應氣體的反應,以及抽空第二種反應氣體 和氣態化合物。 3 .如申請專利範圍第1項之方法,其中第二種反 應氣體與固態單層的反應需要比第二種反應氣體與在固態 單層之下的表面反應所需要的活化能量更少的活化能量。 4 ·如申請專利範圍第1項之方法,其中以至少一 個選自包括溫度、照射脈衝時間、室壓力、第一種和 第二種反應氣體的分子尺寸及在反應時的分子形成熱之加 工因素控制第一種反應氣體及第二種反應氣體的至少其 中之一擴散至曝露的表面中的深度,以避免多層原子 交換。 5.如申請專利範圍第1項之方法,其中曝露的表 面包含一種係金屬、较、鍺及以111族和V族所形的 二-元素半導體的其中之一的氧化物之化合物。. (2) (2)200427858 6 ·如申請專利範圍第1項之方法,其中第一種反 應氣體包含一種包括羥基之化合物。 7.如申請專利範圍第1項之方法,其中第一種反 應氣體包含一種化合物,其係水蒸氣、甲醇、乙醇、丙醇 及丁醇的其中之一。 8 .如申請專利範圍第1項之方法,其中第二種反 應氣體包含一種含鹵素化合物。 9. 如申請專利範圍第1項之方法,其中第二種反 應氣體包含一種化合物,其係C1F3、BF3、BC13、NF3、 NC13、HF、HC1、氟及氯的其中之一。 10. 如申請專利範圍第 8項之方法,其中第一種 反應氣體包含一種包括經基之化合物。 1 1 .如申請專利範圍第1項之方法,其中曝露的 表面包含一種係金屬、矽、鍺及以ΙΠ族和V族所形 成的二-元素半導體的其中之一的氧化物之化合物,該 方法尙包含: 重複第一種反應氣體的反應,抽空第一種反應氣體 ,第二種反應氣體的反應,以及抽空第二種反應氣體和 氣態化合物,直到移除曝露的表面上所有的氧化物及曝露 出基底爲止,該基底包括金屬、矽、鍺及以ΙΠ族和V 族所形的二-元素半導體的其中之一;及 以原子層沈積方法在基底上形成氮化物膜。 12.如申請專利範圍第1 1項之方法,其尙包含: 將第三種反應氣體與曝露的氮化物膜表面在反應器 (3) (3)200427858 中反應,使曝露的表面轉化成氣態化合物;及 抽空反應器的第三種反應氣體和氣態化合物。 1 3 .如申請專利範圍第1 2項之方法,其尙包含重 複預定次數的第三種反應氣體的反應,以及抽空第三種 反應氣體和氣態化合物。 14·如申請專利範圍第1 3項之方法,其中以至少 —個選自包括溫度、照射脈衝時間、室壓力、第一種 和第二種反應氣體的分子尺寸及在反應時的分子形成熱之 加工因素控制第三種反應氣體擴散至曝露的表面中的深 度,以避免多層原子交換。 1 5 ·如申請專利範圍第1 3項之方法,其中第三種 反應氣體包含一種含鹵素化合物。 16.如申請專利範圍第 1項之方法,其中曝露的 表面包含一種係金屬、矽、鍺及以III族和V族所形 的二-元素半導體的其中之一的氧化物之化合物,該方 法尙包含: 重複第一種反應氣體的反應,抽空第一種反應氣體 ,第二種反應氣體的反應,以及抽空第二種反應氣體和 氣態化合物,直到移除曝露的表面上所有的氧化物及曝露 出基底爲止,該基底包括金屬、矽、鍺及以III族和V 族所形的二-元素半導體的其中之一;及 以原子層沈積方法在基底上形成阻障金屬膜。 17·如申請專利範圍第I 6項之方法,其中阻障金 屬膜包含一種化合物,其係氮化鈦、氮化鉅、氮化鎢、 36 (4) (4)200427858 氮化欽銘、氮化鉅鋁、氮化鎢鋁、氮化鈦矽、氮化鉅矽、 氮化鎢矽及Ru、Rh、〇 S和I r的其中之一的導電氧化物的 其中之一。 · 1 8. 如申 請專 利範 :圍第 16 項之 方法 5 其尙包 含 以 原 子 層沈 積方 法形成介電質單層, 其中: 介‘ 電質單 層 包 含 ---- 種化 合物 ,其係 A 1 2 〇 3 丨、Ti02 、 HfO: > Λ C e 0 2、 Zr02 、 Ta 2 0 5 及 L i 、Be 、Na 、Mg 、K 、Ca 、Sc、 V 、Cr、 Μη Fe X Co、 Ni、 Cu、 Zn、 G a、 G e、 Rb、 Sr、 Y 、Nb、 Mo Tc X Pd、 Ag、 Cd、 In、 Sn、 Sb、 Cs、 B a、 La、W、 Re X Pt A u、 Hg、 ΤΙ、 Pb、 Bi、: P o、 F r、 Ra、 Ac 、P r、 Nd % Pm、 S m、 Eu、 Gd、 Tb、 D y、 Ho, ‘ E r、 T m、 Y b、L u 和 Th 的 其 中之 一的氧化物的其中之 -► 〇 19. 一種 結構 ,其‘ 包含: 使用 原子 層移 除至 少一 層氧 化物 單層 所 形成的 脫 氧 化基板;及 在脫氧化基板上使用原子層沈積至少一層介電質單層 所形成的介電層。 20·如申請專利範圍第1 9項之結構,其中脫氧化 基板包含: 包括金屬、矽、鍺及以ΠΙ族和V族所形的二-元 素半導體的其中之一的基底;及 少於4層配置在基底上的氧化物單層。 2 1 ·如申請專利範圍第1 9項之結構,其中: 介電膜包括數層介電質單層;及 -37 - · (5) (5)200427858 每一層介電質單層包含一種化合物,其係A】2〇3、 Ti 0 2 、Hf02 、 C e 0 2 、Zr〇2 、丁 a2〇5 及Li 、B e、 Na·、 Mg、K N C a 、Sc 、V 、C r、 Mn、 F e、 Co 、Ni、 Cu、 Zn、 G a、G e Rb 、Sr 、Y 、Nb、 Mo、 Tc、 Pd 、Ag、 Cd、 In、 Sn、Sb Cs 、B a 、L a、W 、Re、 Pt、 Au 、Hg、 Tl、 Pb、 Bi、Po F r 、Ra 、A c、P r 、Nd、 Pm 、S] m、Eu 、Gd 、Tb 、D y、 Ho、 Er、 T m、 Yb、 Lu和 Th 的其中之- -的氧化物 的其中 之 一 o 22. 如申請專 利範圍第 1 9項之結 構, 其尙 包含在 介 電 膜上 形成的阻 障金屬膜 ο 2 3 .如申請專利範圍第22項之結構,其中: 阻障金屬膜包括數層以原子層沈積方法所形成的 金屬膜單層;及 每一層金屬膜單層包含一種化合物,其係氮化鈦 、氮化鉅、氮化鎢、氮化鈦鋁、氮化鉅鋁、氮化鎢鋁、氮 化鈦矽、氮化鉬矽、氮化鎢矽及RU、Rh、Os和Ir的其中 之一的導電氧化物的其中之一。 2 4 ·如申g靑專利範圍第2 2項之結構,其尙包含以 金屬覆蓋在阻障金屬膜上所形成的金屬膜。 2 5 ·如申請專利範圍第〗9項之結構,其中脫氧化 基板包含: 包括金屬、矽、鍺及以III族和V族所形的二-元 素半導體的其中之一的基底;及 在以基底與氨的反應移除所有的氧化物單層之後 -38 - ^ (6) (6)200427858 在基板上形成的氮化矽膜,以原子層移除至少一層氮 化矽單層使其變成少於四層氮化物單層的薄層。 2·6.如申請專利範圍第25項之結構,其、中: 介電膜包括數層介電質單層;及 每一層介電層單層包含Ta205。 27. —種結構,其包含: 重複使用原子層移除氧化物單層,直到未殘存任何 氧化物單層時所形成的脫氧化基板; 鲁 在脫氧化基板上使用原子層沈積至少一層金屬膜單層 所形成的第一層阻障金屬膜;及 在第一層阻障金屬膜上使用原子層沈積至少一層介電 質單層所形成的介電膜。 28·如申請專利範圍第27項之結構,其中脫氧化 基板包含基底,其包括金屬、矽、鍺及以III族和 V 族所形的二-元素半導體的其中之一。 2 9.如申請專利範圍第2 7項之結構,其中: I 阻障金屬膜包括數層以原子層沈積方法所形成的 金屬膜單層;及 每一層金屬膜單層包含一種化合物,其係氮化鈦 、氮化鉅、氮化鎢、氮化鈦鋁、氮化鉅鋁、氮化鎢鋁、氮 化鈦矽、氮化鉅矽 '氮化鎢矽及Ru、Rh、Os和lr的其中 之一的導電氧化物的其中之一。 3 0·如申請專利範圍第2 7項之結構,其中: 介電膜包括數層介電質單層;及 _39 (8) (8)200427858 在脫皐化通道上使用原子層沈積至少一層介電質 單層所形成的匣極介電質。 35. 如申請專利範圍第3 4項之電晶體,其尙包含 在匣極介電質上所形成的阻障金屬膜。 36. 如申請專利範圍第3 5項之電晶體,其中: 阻障金屬膜包括數層以原子層沈積方法所形成的 金屬膜單層;及 每一層金屬膜單層包含一種化合物,其係氮化鈦 、氮化鉬、氮化鎢、氮化鈦鋁、氮化鉅鋁、氮化鎢鋁、氮 化鈦矽、氮化鉬矽、氮化鎢矽及Ru、Rh、Os和Ir的其中 之一的導電氧化物的其中之一。 37. 如申請專利範圍第3 5項之電晶體,其尙包含 以金屬覆蓋在阻障金屬膜上所形成的金屬匣極。 38. 如申請專利範圍第3 4項之電晶體,其中: 匣極介電質包括數層介電質單層;及 每一層介電質單層包含一^種化合物,其係Al2〇3、 Ti 〇2 、Hf02 、 Ce02 、Zr〇2 、Ta2〇5 及Li、 Be、 Na、 Mg、 K X Ca 、Sc 、V、 Cr、 Mn、 F e、 Co 、Ni、 Cu、 Zn、 G a、 Ge N Rb 、Sr 、Y、 Nb、 Mo、 Tc、 Pd 、Ag、 Cd、 In、 Sn、 Sb 、 Cs 、B a 、La 、W 、Re、 Pt、 Au 、Hg、 Tl、 Pb、 Bi、 Po \ Fr 、Ra 、Ac 、Pr 、Nd、 Pm 、S m、E u 、Gd 、Tb 、D y > Ho、 Er、 T ni、 Yb、 Lu和 Th 的其中之- -的氧化物 的其 中 之 —· o 39. 如申 請專 利範圍第 3 8項之電 晶體 ,其 尙包 含 200427858 Ο) 在匣極介電質上所形成的阻.障金屬膜,其中: 阻障金屬膜包括數層以原子層沈積方法所形成的 4 金屬膜單層;及. 每一層金屬膜單層包含一種化合物,其係氮化鈦 、氮化鉅、氮化鎢、氮化鈦鋁、氮化鉅鋁、氮化鎢鋁、氮 化鈦矽、氮化鉬矽、氮化鎢矽及Ru、Rh、Os和Ir的其中 之一的導電氧化物的其中之一。 40· —種電容器,其包含: Φ 在基板中所形成的溝槽及在基板上所形成的堆疊 的其中之一的脫氧化表面,使用原子層移除至少一層 氧化物單層所形成的脫氧化表面;及在脫氧化表面上 使用原子層沈積至少一層介電質單層所形成的電容器 介電質。 4 1 ·如申請專利範圍第4〇項之電容器,其尙包含 在電容器介電質上所形成的阻障金屬膜。 4 2 ·如申請專利範圍第4 1項之電容器,其中: _ 阻障金屬膜包括數層以原子層沈積方法所形成的 金屬膜單層;及 每一層金屬膜單層包含一種化合物,其係氮化鈦 、氮化鉬、氮化鎢、氮化鈦鋁、氮化鉅鋁、氮化鎢鋁、氮 化鈦矽、氮化鉅矽、氮化鎢矽及Ru、Rh、Os和Ir的其中 之一的導電氧化物的其中之一。 4 3 ·如申請專利範圍第4 1項之電容器,其尙包含 以金屬覆蓋在阻障金屬膜上所形成的金屬匣極。 A1 (7) (7)200427858 每一層介電質單層包含一種化合物,其係A120 3、 Ti Ο 2 、Hf02 、 Ce02 、Zr〇2 、Ta2 〇 5 及 L i、 Be、 Na、 Mg、 K 、 Ca 、Sc 、 V 、 Cr、 Μη ’、 F e、 C ο、N i、 Cu、 Zn、 G a、 Ge Rb 、Sr、Y、 Nb 、Μ 〇、 Tc、 P d、A g ' Cd、 In、 Sn、 Sb 、 Cs 、B a、La 、W 、Re、 Pt、 Au、Hg、 ΤΙ、 Pb、 Bi、 Po Fr 、Ra、A c 、Pr 、Nd、 Pm 、S m、E u 、Gd 、Tb 、D y Ho、 E r、T m、 Yb、 Lu和 Th 的其中之- -的氧化物 的其 中 之 — o 3 1 . 如申 請專 利範圍第 1 9項之結 構, 其尙 包含 在 介 電 膜上形成 的第 二層阻障金屬膜。 3 2 . 如申 請專 利範圍第 3 1項之結 構, 其中 : 第二層阻 障金 :屬膜包括 數層以原 子層 沈積 方法 所 形 成 的金屬膜 單層 •’及 每一層金 屬膜單層> 包含 一種化合 物, 其係 氮化 1 太 、氮化鉅、氮化鎢、氮化鈦鋁、氮化鉬鋁、氮化鎢鋁、氮 化鈦矽、氮化鉅矽、氮化鎢矽及Ru、Rh、Os和Ir的其中 之一的導電氧化物的其中之一。 3 3 .如申請專利範圍第3 1項之結構,其尙包含以 金屬覆蓋在阻障金屬膜上所形成的金屬膜。 3 4 . —種電晶體,其包含: 在基板中形成的排放區及來源區; 限定在介於排放區與來源區之間的基板中及使用 原子層移除至少一層氧化物單層所形 成的脫氧化通道;及 (10) (10)200427858 44 ·如申請專利範圍第40項之電容器,·其中: 電容器介電質包括數層介電質單層;及 每 -- 層介電質 單層包含_ 一種化合物,其係 Al2〇3 Ti〇2 Hf02、 C e 0 2 ' Z r 0 2 、丁〇 5 及 Li、 Be、 Na、 Mg、 K N Ca 、 Sc 、V 、C r、 Mn、 Fe、 Co 、 Ni 、 Cu、 Zn、 G a、 Ge X Rb 、 Sr 、Y 、Nb 、Μ o、 Tc、 P d、A g、 Cd、 In、 S n、 Sb 、 Cs 、 Ba 、L a、W 、Re、 Pt、 Au 、 Hg 、 T1、 Pb、 Bi、 Po X Fr Ra 、A c、Pr 、Nd、 Pm 、S m、E u 、Gd 、Tb 、Dy Λ Ho、 Er 、 T m、 Yb、 Lu和 Th 的其中之- -的氧化物的其 中 之 一 ο 45 . 如申請專 利範圍第 4 4項之電 容器 ,其 尙包 含 在電容器介電質上所形成的阻障金屬膜,其中: 阻P早金屬膜包括數層以原子層沈積方法所形成的 金屬膜單層;及 每一層金屬膜單層包含一種化合物,其係氮化欽 、氮化鉅、氮化鎢、氮化鈦鋁、氮化鉅鋁、氮化鎢鋁、氮 化欽砂、氣化趣砂、氮化鎢砂及R X!、r h、〇 s和I r的其中之 一的導電氧化物的其中之一。 -43 -200427858 拾 Pickup, patent application scope 1 · A method for processing a semiconductor substrate, comprising: reacting a first reaction gas with an exposed semiconductor substrate surface in a reactor to convert the exposed surface into a solid single layer; evacuating the reactor The first reaction gas; reacting the second reaction gas with the solid monolayer in the reactor to convert the solid monolayer into a gaseous compound; and evacuating the second reaction gas and the gaseous compound in the reactor. 2. The method according to item 1 of the scope of patent application, which comprises repeating the reaction of the first reaction gas a predetermined number of times, evacuating the reaction of the first reaction gas, the reaction of the second reaction gas, and evacuating the second reaction gas and Gaseous compounds. 3. The method according to item 1 of the patent application range, wherein the reaction of the second reaction gas with the solid monolayer requires less activation energy than the activation energy required for the reaction of the second reaction gas with the surface below the solid monolayer. energy. 4 · The method according to item 1 of the scope of patent application, wherein at least one process selected from the group consisting of temperature, irradiation pulse time, chamber pressure, molecular size of the first and second reactive gases, and molecular formation heat during the reaction Factors control the depth to which at least one of the first reactive gas and the second reactive gas diffuses into the exposed surface to avoid multilayer atomic exchange. 5. The method of claim 1, wherein the exposed surface comprises a compound of a metal, an oxide of germanium, and an oxide of one of the two-element semiconductors of Group 111 and Group V. (2) (2) 200427858 6 · The method according to item 1 of the patent application range, wherein the first reaction gas contains a compound including a hydroxyl group. 7. The method of claim 1 in which the first reaction gas comprises a compound which is one of water vapor, methanol, ethanol, propanol, and butanol. 8. The method of claim 1 in which the second reaction gas comprises a halogen-containing compound. 9. The method of claim 1 in which the second reaction gas contains a compound which is one of C1F3, BF3, BC13, NF3, NC13, HF, HC1, fluorine and chlorine. 10. The method according to item 8 of the patent application, wherein the first reaction gas comprises a compound including a radical. 1 1. The method according to item 1 of the scope of patent application, wherein the exposed surface comprises a compound of a metal, silicon, germanium, and an oxide of one of the two-element semiconductors formed by Groups II and V, which Method 尙 includes: repeating the reaction of the first reaction gas, evacuating the reaction of the first reaction gas, the reaction of the second reaction gas, and evacuating the second reaction gas and gaseous compounds until all oxides on the exposed surface are removed Until the substrate is exposed, the substrate includes one of metal, silicon, germanium, and two-element semiconductors shaped in groups III and V; and a nitride film is formed on the substrate by an atomic layer deposition method. 12. The method according to item 11 of the patent application scope, which comprises: reacting a third reaction gas with the surface of the exposed nitride film in the reactor (3) (3) 200427858 to convert the exposed surface into a gaseous state Compounds; and a third reaction gas and gaseous compounds in the evacuated reactor. 13. The method according to item 12 of the scope of patent application, which comprises repeating a reaction of a third reaction gas for a predetermined number of times, and evacuating the third reaction gas and a gaseous compound. 14. The method according to item 13 of the scope of patent application, wherein at least one selected from the group consisting of temperature, irradiation pulse time, chamber pressure, molecular size of the first and second reactive gases, and molecular formation heat during the reaction Processing factors control the depth to which the third reactive gas diffuses into the exposed surface to avoid multilayer atom exchange. 15 · The method according to item 13 of the patent application scope, wherein the third reaction gas contains a halogen-containing compound. 16. The method of claim 1, wherein the exposed surface comprises a compound of a metal, silicon, germanium, and an oxide of one of the two-element semiconductors of group III and group V. The method尙 Contains: repeating the reaction of the first reaction gas, evacuating the reaction of the first reaction gas, the reaction of the second reaction gas, and evacuating the second reaction gas and gaseous compounds until all oxides on the exposed surface and Until the substrate is exposed, the substrate includes one of metal, silicon, germanium, and two-element semiconductors shaped in groups III and V; and a barrier metal film is formed on the substrate by an atomic layer deposition method. 17. The method according to item 16 of the scope of patent application, wherein the barrier metal film comprises a compound, which is titanium nitride, giant nitride, tungsten nitride, 36 (4) (4) 200427858 Nitrid nitride, nitrogen One of the conductive oxides of Aluminium, Tungsten Aluminum Nitride, Titanium Silicon Nitride, Titanium Nitride, Titanium Nitride, and Ru, Rh, OS, and Ir. · 1 8. If you apply for a patent: Method 16 around item 5 which includes the formation of a dielectric monolayer by atomic layer deposition, where: The dielectric monolayer contains ---- compounds, which are A 1 2 〇3 丨, Ti02, HfO: > Λ C e 0 2, Zr02, Ta 2 0 5 and Li, Be, Na, Mg, K, Ca, Sc, V, Cr, Μη Fe X Co, Ni , Cu, Zn, G a, Ge, Rb, Sr, Y, Nb, Mo Tc X Pd, Ag, Cd, In, Sn, Sb, Cs, B a, La, W, Re X Pt A u, Hg , ΤΙ, Pb, Bi ,: Po, Fr, Ra, Ac, Pr, Nd% Pm, Sm, Eu, Gd, Tb, Dy, Ho, 'Er, Tm, Yb, L One of the oxides of one of u and Th-► 〇19. A structure comprising: a deoxidized substrate formed by removing at least one oxide monolayer using an atomic layer; and used on the deoxidized substrate A dielectric layer formed by atomic layer deposition of at least one dielectric monolayer. 20. The structure according to item 19 of the scope of patent application, wherein the deoxidized substrate comprises: a substrate including one of metal, silicon, germanium, and one of the two-element semiconductors of the III and V groups; and less than 4 A layer of an oxide monolayer disposed on a substrate. 2 1 · The structure according to item 19 of the scope of patent application, wherein: the dielectric film includes several layers of dielectric single layer; and -37-· (5) (5) 200427858 Each layer of dielectric single layer contains a compound , Its system A] 203, Ti 0 2, Hf02, C e 0 2, Zr 0 2, D a 2 05 and Li, Be, Na ·, Mg, KNC a, Sc, V, C r, Mn , F e, Co, Ni, Cu, Zn, G a, G e Rb, Sr, Y, Nb, Mo, Tc, Pd, Ag, Cd, In, Sn, Sb Cs, B a, La, W, Re, Pt, Au, Hg, Tl, Pb, Bi, Po Fr, Ra, Ac, Pr, Nd, Pm, S] m, Eu, Gd, Tb, Dy, Ho, Er, Tm, One of the oxides of Yb, Lu, and Th-22. As in the structure of the scope of patent application No. 19, which includes a barrier metal film formed on the dielectric film 2 3. The structure of the scope of application for patent No. 22, wherein: the barrier metal film includes several metal film single layers formed by an atomic layer deposition method; and each metal film single layer includes a compound, which is titanium nitride, nitride huge, Tungsten nitride, titanium aluminum nitride, giant aluminum nitride, tungsten aluminum nitride, titanium nitride silicon, molybdenum silicon nitride, tungsten nitride silicon, and conductive oxides of one of RU, Rh, Os, and Ir one of them. 24. The structure of item 22 of the patent scope of claim g, which includes a metal film formed by covering the barrier metal film with a metal. 25. The structure according to item 9 of the scope of the patent application, wherein the deoxidized substrate comprises: a substrate including one of metal, silicon, germanium, and one of the two-element semiconductors shaped in groups III and V; and in After the reaction between the substrate and ammonia removes all the oxide monolayers -38-^ (6) (6) 200427858 A silicon nitride film formed on the substrate. At least one silicon nitride monolayer is removed with an atomic layer to make it into A thin layer of less than four nitride single layers. 2.6. The structure according to item 25 of the scope of patent application, wherein: the dielectric film includes several layers of dielectric single layer; and each layer of dielectric layer includes Ta205. 27. A structure comprising: a deoxidized substrate formed when an oxide layer is repeatedly removed using an atomic layer until no oxide monolayer remains; and at least one metal film is deposited using the atomic layer on the deoxidized substrate A first barrier metal film formed by a single layer; and a dielectric film formed by depositing at least one dielectric single layer on the first barrier metal film using an atomic layer. 28. The structure of claim 27, wherein the deoxidized substrate includes a substrate including one of metal, silicon, germanium, and two-element semiconductors shaped in groups III and V. 2 9. The structure according to item 27 of the scope of patent application, wherein: I the barrier metal film includes a plurality of metal film single layers formed by an atomic layer deposition method; and each metal film single layer includes a compound, which is Titanium Nitride, Giant Nitride, Tungsten Nitride, Titanium Aluminum Nitride, Titanium Nitride, Titanium Nitride, Titanium Nitride Silicon, Titanium Nitride 'Tungsten Nitride Silicon and Ru, Rh, Os, and lr One of the conductive oxides. 30. The structure according to item 27 of the scope of patent application, wherein: the dielectric film includes several layers of dielectric single layer; and _39 (8) (8) 200427858 uses atomic layer to deposit at least one layer on the defluorination channel Cassette dielectric formed by a single dielectric layer. 35. The transistor of claim 34, for example, which includes a barrier metal film formed on the box dielectric. 36. The transistor as claimed in claim 35, wherein: the barrier metal film includes a plurality of metal film single layers formed by an atomic layer deposition method; and each metal film single layer includes a compound, which is nitrogen Titanium nitride, molybdenum nitride, tungsten nitride, titanium aluminum nitride, giant aluminum nitride, tungsten aluminum nitride, titanium silicon nitride, molybdenum silicon nitride, tungsten nitride silicon, and among Ru, Rh, Os, and Ir One of the conductive oxides. 37. For example, the transistor of claim 35 includes a metal box formed by covering a barrier metal film with a metal. 38. For example, the transistor of the scope of application for patent No. 34, wherein: the cassette dielectric includes several layers of dielectric single layer; and each layer of dielectric single layer contains a plurality of compounds, which are Al203, Ti 〇2, Hf02, Ce02, Zr〇2, Ta205 and Li, Be, Na, Mg, KX Ca, Sc, V, Cr, Mn, F e, Co, Ni, Cu, Zn, Ga, Ge N Rb, Sr, Y, Nb, Mo, Tc, Pd, Ag, Cd, In, Sn, Sb, Cs, B a, La, W, Re, Pt, Au, Hg, Tl, Pb, Bi, Po \ Fr, Ra, Ac, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy > Ho, Er, Tni, Yb, Lu, and Th Among them--Among the oxides- O 39. For example, the transistor in the 38th scope of the patent application, which includes 200427858 0) barrier metal film formed on the box dielectric, wherein: the barrier metal film includes several layers with atomic layers 4 metal film single layers formed by the deposition method; and each metal film single layer contains a compound that is a titanium nitride, a nitride nitride, a tungsten nitride, a titanium aluminum nitride, a nitride nitride , Wherein the conductive oxide is one of one of aluminum, tungsten nitride, titanium silicon nitride, silicon nitride, molybdenum, tungsten and silicon nitride, Ru, Rh, Os and Ir. 40 · A capacitor comprising: a deoxidized surface of one of a groove formed in a substrate and a stack formed on the substrate, wherein the deoxidation formed by removing at least one oxide monolayer using an atomic layer An oxidized surface; and a capacitor dielectric formed by depositing at least one dielectric monolayer using an atomic layer on a deoxidized surface. 4 1 · The capacitor according to item 40 of the patent application scope, which includes a barrier metal film formed on the capacitor dielectric. 4 2 · The capacitor according to item 41 of the scope of patent application, wherein: _ the barrier metal film includes several metal film single layers formed by an atomic layer deposition method; and each metal film single layer contains a compound, which is a Titanium nitride, molybdenum nitride, tungsten nitride, titanium aluminum nitride, giant aluminum nitride, tungsten aluminum nitride, titanium silicon nitride, giant nitride silicon, tungsten nitride silicon, and Ru, Rh, Os, and Ir One of the conductive oxides. 4 3 · The capacitor according to item 41 of the patent application scope, which includes a metal box electrode formed by covering the barrier metal film with a metal. A1 (7) (7) 200427858 Each dielectric single layer contains a compound, which is A120 3, Ti Ο 2, Hf02, Ce02, Zr〇2, Ta205, and Li, Be, Na, Mg, K , Ca, Sc, V, Cr, Mη ', Fe, Cο, Ni, Cu, Zn, Ga, Ge Rb, Sr, Y, Nb, M0, Tc, Pd, Ag'Cd, In, Sn, Sb, Cs, Ba, La, W, Re, Pt, Au, Hg, Ti, Pb, Bi, Po Fr, Ra, A c, Pr, Nd, Pm, S m, Eu, Gd , Tb, Dy Ho, Er, Tm, Yb, Lu, and Th Among them--Among the oxides-o 3 1. As the structure of the scope of the patent application No. 19, its 尙 is included in the reference A second barrier metal film formed on the electrical film. 3 2. The structure of item 31 in the scope of patent application, where: The second layer of barrier gold: the metal film includes several layers of metal film single layer formed by atomic layer deposition method and each metal film single layer & gt Contains a compound which is 1N, 1N, 1N, tungsten nitride, titanium aluminum nitride, aluminum molybdenum nitride, tungsten aluminum nitride, titanium nitride silicon, silicon nitride giant silicon, tungsten nitride silicon, and One of the conductive oxides of Ru, Rh, Os, and Ir. 33. The structure according to item 31 of the scope of patent application, which comprises a metal film formed by covering the barrier metal film with a metal. 3 4. —A transistor comprising: an emission region and a source region formed in a substrate; formed by limiting the substrate between the emission region and the source region and removing at least one oxide monolayer using an atomic layer Deoxidation channels; and (10) (10) 200427858 44 · If the capacitor in the scope of patent application No. 40, where: the capacitor dielectric includes several layers of dielectric single layer; and each-layer of dielectric single layer The layer contains a compound that is Al2O3, Ti0, 2 Hf02, Ce 0 2 'Z r 0 2, D0 5 and Li, Be, Na, Mg, KN Ca, Sc, V, C r, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge X Rb, Sr, Y, Nb, Mo, Tc, Pd, Ag, Cd, In, Sn, Sb, Cs, Ba, La, W, Re, Pt, Au, Hg, T1, Pb, Bi, Po X Fr Ra, A c, Pr, Nd, Pm, S m, Eu, Gd, Tb, Dy Λ Ho, Er, T m, Yb One of the oxides of-, Lu, and Th-45. As for the capacitor in the scope of patent application No. 44, its 尙 is included in the capacitor dielectric Barrier metal film, wherein: the early P metal film includes a plurality of single metal film layers formed by an atomic layer deposition method; and each single metal film single layer contains a compound , Tungsten nitride, titanium aluminum nitride, giant aluminum nitride, tungsten aluminum nitride, silicon nitride, gasification fun sand, tungsten nitride sand, and one of RX !, rh, 0s, and Ir One of the conductive oxides. -43-
TW092119586A 2002-07-19 2003-07-17 Atomic layer deposition of high k dielectric films TW200427858A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39672302P 2002-07-19 2002-07-19
US39674502P 2002-07-19 2002-07-19

Publications (1)

Publication Number Publication Date
TW200427858A true TW200427858A (en) 2004-12-16

Family

ID=30772974

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092119586A TW200427858A (en) 2002-07-19 2003-07-17 Atomic layer deposition of high k dielectric films

Country Status (3)

Country Link
AU (1) AU2003254047A1 (en)
TW (1) TW200427858A (en)
WO (1) WO2004008827A2 (en)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6987063B2 (en) 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
DE102004058958B4 (en) * 2004-12-08 2006-10-26 Forschungszentrum Jülich GmbH Semiconductor device made of a high band gap material and dielectric constant
US8809195B2 (en) 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9396956B1 (en) 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US20190067003A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR20200039827A (en) * 2017-09-11 2020-04-16 어플라이드 머티어리얼스, 인코포레이티드 Selective in-situ cleaning of high-K films from processing chamber using reactive gas precursor
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
CN111613523A (en) * 2020-05-20 2020-09-01 上海交通大学 Method for improving dielectric constant of atomic layer deposition dielectric film
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6351036B1 (en) * 1998-08-20 2002-02-26 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with a barrier film and process for making same

Also Published As

Publication number Publication date
AU2003254047A8 (en) 2004-02-09
WO2004008827A3 (en) 2004-06-03
WO2004008827A2 (en) 2004-01-29
AU2003254047A1 (en) 2004-02-09

Similar Documents

Publication Publication Date Title
TW200427858A (en) Atomic layer deposition of high k dielectric films
US7087482B2 (en) Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
KR100555543B1 (en) Method for forming high dielectric layer by atomic layer deposition and method for manufacturing capacitor having the layer
US7183186B2 (en) Atomic layer deposited ZrTiO4 films
JP3912990B2 (en) Integrated circuit structure and manufacturing method thereof
KR100705926B1 (en) Method of manufacturing a capacitor in a semiconductor device
KR100838436B1 (en) Manufacturing method of semiconductor apparatus
US6897106B2 (en) Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100519800B1 (en) method of fabricating Lanthanum oxide layer and method of fabricating MOSFET transistor and capacitor using the same
US7446053B2 (en) Capacitor with nano-composite dielectric layer and method for fabricating the same
US20080085610A1 (en) Ald of metal silicate films
US20060205143A1 (en) DRAM with high K dielectric storage capacitor and method of making the same
JP2001200363A (en) Conformal thin film on capacitor electrode subjected to texture working
KR100867046B1 (en) Semiconductor integrated circuits and fabrication method thereof
US20080182427A1 (en) Deposition method for transition-metal oxide based dielectric
JP2008258623A (en) Zirconium oxide capacitor and manufacturing method therefor
JP2004056142A (en) Substance forming method using atomic layer deposition method and semiconductor device capacitor forming method using the above method
US20120075767A1 (en) Method for Producing a Metal-Insulator-Metal Capacitor for Use in Semiconductor Devices
KR20060006133A (en) Method for forming tin and method for manufacturing capacitor used the same
WO2011159691A2 (en) Chemical vapor deposition of ruthenium films containing oxygen or carbon
US20070032013A1 (en) Methods of forming a metal oxide layer including zirconium oxide and methods of forming a capacitor for semiconductor devices including the same
US20120289063A1 (en) Methods For Manufacturing High Dielectric Constant Films
KR20120009893A (en) Capacitor for semiconductor device and method of fabricating the same
US8633114B2 (en) Methods for manufacturing high dielectric constant films
Gutsche et al. Atomic layer deposition for advanced DRAM applications