SU938282A1 - Device for binary number multiplication - Google Patents

Device for binary number multiplication Download PDF

Info

Publication number
SU938282A1
SU938282A1 SU802902465A SU2902465A SU938282A1 SU 938282 A1 SU938282 A1 SU 938282A1 SU 802902465 A SU802902465 A SU 802902465A SU 2902465 A SU2902465 A SU 2902465A SU 938282 A1 SU938282 A1 SU 938282A1
Authority
SU
USSR - Soviet Union
Prior art keywords
bit
full
input
inputs
adder
Prior art date
Application number
SU802902465A
Other languages
Russian (ru)
Inventor
Александр Иванович Березенко
Феликс Леонидович Гладыш
Сергей Евгеньевич Калинин
Лев Николаевич Корягин
Алексей Михайлович Репетюк
Евгений Михайлович Репетюк
Original Assignee
Предприятие П/Я В-8117
Предприятие П/Я В-2892
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Предприятие П/Я В-8117, Предприятие П/Я В-2892 filed Critical Предприятие П/Я В-8117
Priority to SU802902465A priority Critical patent/SU938282A1/en
Application granted granted Critical
Publication of SU938282A1 publication Critical patent/SU938282A1/en

Links

Landscapes

  • Complex Calculations (AREA)

Description

(54) УСТРОЙСТВО ДЛЯ УМНОЖЕНИЯ ДВОИЧНЫХ ЧИСЕЛ(54) DEVICE FOR MULTIPLICATION OF BINARY NUMBERS

t. .t. .

Изобретение относитс  к вычислительной технике и может найти при . менение в электроншлх вычислительных мапшнах и быстродействукидих процессорах обработки данных.The invention relates to computing and can be found at. change in electronic computational masses and high-speed data processing processors.

Известно устройство, содержащее три ступени логических  чеек, на основе которых возможны построени  умножителей любой разр дности 111.A device is known that contains three stages of logical cells, on the basis of which it is possible to build multipliers of any size 111.

Недостатком устройства  вл етс  широка  номенклатура модулей дл  построени  умножителей с расширенной разр дностью сомножителей, а также низка  степень интеграции модулей , что обуславливает неэффективность реализации их в виде больших интегральных схем (ВИС).The drawback of the device is the wide range of modules for building multipliers with extended factor multipliers, as well as a low degree of module integration, which makes them inefficient in the form of large integrated circuits (VIS).

Наиболее близким к изобретению по техническому решению  вл етс  устройство, содержащее матрицу N x(N-1) полных одноразр дных сумматоров (где N - разр дность операндов ), матрицу NxN элементов И, 2N- разр дный сумматор, причем выходпереноса полного одноразр дного сумматора j-ro столбца i-й строки соединен с входом переноса полного одноразр дного сумматора (j+l)-ro сталбца (|+1)-й строки, где ,...,M-l,The closest to the invention according to the technical solution is a device containing a matrix of N x (N-1) full one-bit adders (where N is the operand width), a matrix of NxN elements, And 2N-bit adder, and the output of the full one-bit adder The j-ro column of the i-th row is connected to the transfer input of the full one-bit adder (j + l) -ro of the steel column (| +1) -th row, where, ..., Ml,

(N-1), выход ууммы а,Ь-го полного одноразр дного сумматора соединен с входом суммл (а+1)у Ь-го полного одноразр дного сумматора (где ,...,N-1, ,...,М-1), выход реноса полного одноразр дного сумматора (N-1) -и строки с-го столбца соединен с входом переноса полного одноразр дного сумматора строки (N-1), the output of the aum, a, b-th full one-digit adder is connected to the input of the summ (a + 1) of the b-th full one-digit adder (where, ..., N-1,, ..., M-1), the output of the full one-bit adder (N-1) output and rows from the ith column is connected to the transfer input of the full one-bit row adder

,5 (с+1)-го столбца, где ,...,М-1, первые входы элементов И соединены соответственно с разр дными входными шинами множимого устройства, вторые входы элементов И соединен) со-, 5 (s + 1) -th column, where, ..., M-1, the first inputs of the elements And are connected respectively to the bit input buses of the multiplicable device, the second inputs of the elements And connected)

20 ответственно с разр дными входными шинами множител  устройства, выходы элементов И ( +1)-й диагонали матрицы элементов И (где ,...2N-2) соединены соответственно с входа а{ первых К полных одноразр дных суЧматрров У-го столбца (где ,.,., .. j М), где % iJTL: h жайшее целое меньшее или равноеan- вес разр да произведени , шходы 2Н-разр дного сумматора  вл ют с  вькодами устройства t21. Недостатками зтого устройства  в л ютс : -избыточное количество основных и дополнительных выводов устройства -недостаточное быстродействиеj отсутствие возможности вынесени  из устройства 2М-разр дного сум waTopa в качестве внешнего автономного узла, что позвол ет сзкономить количество выводов в устройстве. Цель изобретени  - расширение функциональных возможностей за счет выполнени  операции суммировани  2М-разр дного слагаемого, повышение быстродействи . Поставленна  цель достигаетс  тем, что в устройство введены 2N элементов пам ти, причем выход пере носа полного одноразр дного сумматора (Н-2)-й строки d-ro столбца соединен с входом переноса полного одноразр дного сумматора (М-1)-й строки (d+l)-ro столбца {где d М/2-1 ,... ,М-2) , выход переноса пол ного одноразр дного сумматора (Н-З) строки е-го столбца соединен с входом cyMhbi полного одноразр дного сумматора (N-l)-u строки (е+1)-го столбца (где ,...,М-3), выходы выходных полных одноразр дных сумматоров соединены соответственно с входами (2N-1) старших элементов пам ти, выход элемента И первой диагонали матрищл элементов И соединен с входом младшего элемента пам ти, выходы 2N элементов пам ти соединены соответственно с входами первой группы 2М-разр дного сумматора, входы второй группы которого  вл ютс  входами суммировани  устройства, вход переноса полного одноразр дного сумматора последней строки (М/2+1)-го столбца  вл етс  входом округлени  устройства . На фиг. 1 представлена функциональна  схема восьмиразр дного устр ства дл  умножени  двоичных чисел, на 4мг. 2 - то же, матрицы умножеНИН , на фиг. 3 - структурна  схема ,. иллюстрирующа  работу устройства . Устройство содержит элементы И 1, матрицу 2 полных одноразр дных сумматоров 3, 2М-разр дный сумматор 4, шины 5 множимого, шины 6 множител , вход 7 управлени , входы 8 суммировани , вход 9 округлени , выходы 10 устройства, элементы 11 пам ти. Устройство дл  умножени  шестнадцатиразр дных чисел состоит из четырех модулей умножителей 12-15 восьмиразр дных чийел, ка :дь1й из которых содержит матрицу 16, включающую элементы И, регистры 17, шестнадцатиразр дный сумматор 4, выходной регистр 18, выход 19 переноса и вход 20 управлени . Матрица 16, регистр 17 и сумматор 4 разделены , пунктиром на старшую и младшую части. В устройстве выход переноса полного одноразр дного сумматора 3j-го столбца i-и строки соединен с входом переноса полного одноразр дного сумматора 3 (j+l)-ro столбца (1+1)-й СТРОКИ гдеj 1,...,М-1, (N-1), ,...,N выход суммы а,Ь-го полного одноразр дного сумматора 3 соединен с входом суммы (а+1), Ь-го полного сумматора 3 (где ,..., N-1, ,...,М-1), выход переноса полного одноразр дного сумматора 3 (N-1)-й строки С-го столбца сое- динен с входом переноса полного одноразр дного сумматора 3 N-й строки (с+1)-го столбца где ,...,М-1 первые входы элементов И 1 соединены соответственно с разр дными вход№лмк шинами 5 множимого устройства , вторые входы элементов И 1 соеданены соответственно с разр дными входными шинами 6 множител  устройства , выходы элементов И I (q-fl)-й диагонали матрицы элементов И 1 (где ,...,2N-2) соединены соответственно с входами первых К полных одноразр дных сумматоров 3 V-ro столбца (где ...,M), где K liiLr nr 1«. ..lUl ближайшее целое меньшее или равное п/2, an- вес разр да произведени , выходы 2М-разр дного сумматора 4  вл ютс  выходами 10 устройства, выход переноса полного одноразр дного сумматора 3 (М-2)-й строки d-ro столбца соединен с20 responsibly with the device input multiplier bus widths, the outputs of the elements AND (+1) diagonal of the matrix of elements AND (where, ... 2N-2) are connected respectively from the input of a {first K to the full one-bit SUNmatr of the U-th column ( where,.,., .. j M), where% iJTL: h is the coolest whole less than or equal to the weight of the product, the 2H-bit totalizer moves are with the t21 device codes. The disadvantages of this device include: - Excessive number of main and additional terminals of the device - Insufficient performance and the inability to remove the waTopa from the 2M-capacity bag as an external autonomous node, which allows saving the number of terminals in the device. The purpose of the invention is to expand the functionality by performing the operation of summing the 2M-bit term, increasing the speed. The goal is achieved by introducing 2N memory elements into the device, with the transfer output of the full one-bit adder (H-2) -th row of the d-th column connected to the transfer input of the full one-bit adder (M-1) -th row (d + l) -ro column {where d M / 2-1, ..., M-2), the transfer output of the full one-bit adder (H – 3) of the row of the e-th column is connected to the input cyMhbi of the full one-bit adder (Nl) -u row (е + 1) -th column (where, ..., М-3), the outputs of the output full one-bit adders are connected respectively to the inputs (2N-1) of the senior elements of the pa The mi, the output of the element And the first diagonal of the matrix elements And are connected to the input of the lowest memory element, the outputs of the 2N memory elements are connected respectively to the inputs of the first group of the 2M-bit adder, the inputs of the second group of which are the summation inputs of the device, the transfer input of the full one-bit This totalizer of the last row (M / 2 + 1) of the column is the input to round the device. FIG. Figure 1 shows a functional diagram of an eight-bit device for multiplying binary numbers by 4 mg. 2 - the same, the matrix multiply, in FIG. 3 - structural scheme,. illustrating the operation of the device. The device contains elements AND 1, a matrix 2 full single-digit adders 3, 2M-bit adder 4, multiplicative tires 5, multiplier tires 6, control input 7, summation inputs 8, rounding input 9, device outputs 10, memory elements 11. The device for multiplying sixteen-digit numbers consists of four modules of multipliers 12-15 eight-bit chips: each of which contains a matrix 16, including AND elements, registers 17, a sixteen-digit adder 4, output register 18, transfer output 19 and control input 20 . Matrix 16, register 17 and adder 4 are divided, dotted into the older and younger parts. In the device, the transfer output of the full one-bit adder of the 3j-th column of the i-th row is connected to the transfer input of the full one-bit adder 3 (j + l) -ro of the column (1 + 1) -th Row where j 1, ..., M- 1, (N-1), ..., N the output of the sum of a, b of the full one-bit adder 3 is connected to the input of the sum (a + 1), of the b of the full adder 3 (where, ..., N -1, ..., M-1), the transfer output of the full one-bit adder 3 (N-1) -th row of the Cth column is connected to the transfer input of the full one-bit adder 3 of the Nth row (s + 1) -th column where, ..., M-1 are the first inputs of the elements AND 1 are connected respectively with p As complex inputs 5 of the device multiplier 5 buses, the second inputs of the And 1 elements are connected respectively to the input input buses 6 of the device multiplier, the outputs of the And I elements (q-fl) are the diagonal of the matrix of the And 1 elements (where, ..., 2N -2) are connected respectively to the inputs of the first K full one-bit adders 3 V-ro columns (where ..., M), where K liiLr nr 1 “. ..lUl is the nearest integer less than or equal to p / 2, an is the weight of the product's discharge, the outputs of the 2M-bit adder 4 are the device outputs 10, the transfer output of the full one-bit adder 3 (M-2) -th row d-ro column connected to

входом переноса полного одноразр дного С5тматора 3 (М-1)-й строки ( столбца (где d :M/2-1 ,..., М-2), выход переноса полного одноразр дного сумматора 3 (М-З)-й, строки е-го столбца соединен с входом суммы полного одноразр дного сумматора 3 (М-1)-й строки (е+1)-го стопица (где ,...,М-3), выходы выходных полных одноразр дных сумматоров 3 соединены соответственно с входами (2N-1) старших элементов 11 пам ти, выход элемента И 1 первой диагонали матрицы элементов И 1 соединен с входом младшего элемента 11 пам ти, ш 1ходы 2N элементов 11 пам ти соединены соответственно с входами первой группы 2М-разр дного сумматора 4, входы 8 второй группы которого  вл ютс  входами суммировани  устройства, вход переноса полного одноразр дного сумматора последней строки (M/2+t)-ro столбца  вл етс  входом 9 округлени  устройства.the transfer input of the full one-bit C5matter 3 (M-1) -th row (column (where d: M / 2-1, ..., M-2), the output of the transfer of the full one-bit adder 3 (M-3) -th , the rows of the e-th column are connected to the input of the sum of the full one-bit adder 3 (M-1) -th row (e + 1) -th stop (where, ..., M-3), the outputs of the output full one-bit adders 3 connected respectively to the inputs (2N-1) of the higher memory elements 11, the output of the And 1 element of the first diagonal of the matrix of the And 1 elements is connected to the input of the lower memory element 11, w 1 inputs 2N of the memory elements 11 are connected respectively to the inputs of the first group of the 2M-bit adder 4, the inputs 8 of the second group of which are the summing inputs of the device, the transfer input of the full one-bit adder of the last row (M / 2 + t) -ro of the column is the input 9 of the rounding device.

Реализаци  устройства может быть выполнена в виде модул . В матрице 2 полных одноразр дных сумматоров 3 непосредственно выполн етс  перемножение двух N-разр дных чисел, котора  может быть вьщелена в виде автономного устройства, а 2Ы-разр дный сумматор 4 может быть либо включен в состав устройства умножени  при его реализации в виде БИС с целью исключени  дополнительного оборудовани  при объединении модулей, либо вьщелен из БИС с целью экономии выводов.The implementation of the device can be implemented as a module. In matrix 2 of full one-bit adders 3, two N-bit numbers are multiplied directly, which can be allocated as an autonomous device, and a 2Y-bit adder 4 can be either included in the multiplication device when it is implemented as an LSI with in order to exclude additional equipment when modules are combined, or derived from an LSI in order to save conclusions.

Введение элементов 11 пам ти в сочетании с размещением дополнительных входов по кра м матрицы 1 позвол ет легко осуществить конвейерный режим умножени  при объединении модулей с целью расширени  разр дной сетки сомножителей.The introduction of memory elements 11 in combination with the placement of additional entrances along the edges of matrix 1 makes it possible to easily implement a conveyor multiplication mode when combining modules in order to expand the discharge grid of factors.

Работа устройства иллюстрируетс  примеров выполнени  перемножени  шестнадцатиразр дных чисел в конвейерном режиме.The operation of the device is illustrated with examples of performing the multiplication of sixteen-digit numbers in a conveyor mode.

На вход модул  12 поступают X-J.Q и разр ды сомножителей, на вход модул  13 X-j.QH Yvg.g, на вход модул  14 и Y, и на вход модул  15 и Y|g-. В каждой матрице 16 на элементах И выполн етс  логическое умножение разр дов сомножителей , после чего непосредственно в матрице 2 полных одноразр дныхThe input of module 12 is X-J.Q and the bits of the factors, the input of module 13 X-j.QH Yvg.g, the input of module 14 and Y, and the input of module 15 and Y | g-. In each matrix 16, on the elements of AND, a logical multiplication of the factors factors is performed, after which directly in the matrix 2 complete single-digit

сумматоров 3 выполн етс  поразр дное сложение логических произведений и распространение сигнала переноса вдоль матрицы 2 за врем , равное 2(N-1)f где f г- врем  распространени  сигнала в полном одноразр дном сумматоре 3.adders 3 performs a bitwise addition of logical products and propagation of a transfer signal along matrix 2 in a time equal to 2 (N-1) f where f r is the signal propagation time in a full one-bit adder 3.

Дл  разр дов зто составит 14. По первому такту, поступающему на вход 7-1 , полученные произведени  Р,, Pg. , P;5to Pif-o з п°минаютс  в регистрах 17. С выходов регистров 17 произведени  поступают на соответствующие входы сумматоровFor bits, this will amount to 14. In the first beat, which enters input 7-1, the products obtained are P ,, Pg. , P; 5to Pif-o z n ° are minimized in registers 17. From the outputs of registers 17, the products are fed to the corresponding inputs of adders

4 с сдвигом влево частичных произведений , обусловленным алгоритмом перемножени . При сложении частичных произведений в сумматорах 4 сигнал переноса с выхода 19 образуетс  только в сумматоре 4 модул  14. Сигнал переноса на выходе 19 модул  13 отсутствует, так как в нем производитс  сложение старшей части произведени , сформированной моДулем 12, и младшей части произведени , формируемой модулем 13.4 with a shift to the left of the partial products due to the multiplication algorithm. When adding partial products in adders 4, the transfer signal from output 19 is formed only in adder 4 of module 14. The transfer signal at output 19 of module 13 is absent, because it adds the highest part of the product formed by module 12 and the lower part of the product formed by module 13.

При этом в выходном сумматоре 4 устройства при формировании произведени  сигнал переноса отсутству-In this case, in the output adder 4 of the device, when forming the product, the transfer signal is absent

ет. В устройстве сигнал переноса возникает в выx. сумматоре 4 только в момент сложени  частичных произведений при объединении модулей , при этом сигнал переноса с выхода 19 модул  14 поступает на вход 8 модул  15.em. In the device, a transfer signal occurs at the output. adder 4 only at the moment of addition of partial products when modules are combined, and the transfer signal from output 19 of module 14 is fed to input 8 of module 15.

По второму такту на входе 20 результат сложени  в виде тридцатидвухразр дного произведени  запоминаетс  в регистре 18. При поступлении новых операндов с темпом, равным одному такту работы устройства, полный цикл работы повтор етс .In the second clock cycle at the input 20, the result of the addition in the form of a thirty-two-bit product is stored in register 18. When new operands arrive with a rate equal to one device operation cycle, the full cycle of operation is repeated.

Объединений модулей осуществл етб  подключением выходов 10 старших разр дов произведени  модул  12 к входам 8 модул  13, при этом выходы 10 модул  13 соединены с входами 8 модул  14 и т.д.The module combines by connecting the outputs 10 of the highest production bits of module 12 to the inputs 8 of module 13, while the outputs 10 of module 13 are connected to inputs 8 of module 14, etc.

В отличие от известного в предлагаемом устройстве -существует направленность передачи данных промежуточных вычислений при объединении модулей и отсутствует обратна  св зь между модул ми, что позвол ёт легко организовать конвейерный принцип вычислени .Unlike what is known in the proposed device, there is a direction of data transmission of intermediate calculations when combining modules and there is no feedback between the modules, which makes it easy to organize the conveyor principle of calculation.

Claims (2)

Конвейерна  структура шестнадцатиразр дного умножител , составленнал из восьмиразр дных модулей, тре бует равенства временных соотношений в ступен х конвейера. Поэтому, с целью выравнивани  переходного процесса в ступен х, суммйтоуы 4 могут быть выполнены по схеме с гру повым перенос 4. Таким образом, в результате новой организации св зей в матрице по ных одноразр дных сумматоров, введе ни  дополнительных входов в 2М-разр дный румматор, общее количество выходов и входов становитс  на два меньше, чем в известном устройстве, уменьшаетс  врем  умножени  на врем  задержки одноразр дного сумматора , осуществлен конвейерный принцип умножени  при объединении модулей, получена возможность дополнительного сложени  в М-разр дном умножителе 2М-разр дного   сла с 2N-pa3р дным произведением. При объединенш модулей получена возможность уменьшени  щ емени умножени . Модульна  структура устройства дл  умножени  позвол ет ориентировать реализацию устройства в виде вис; : Формула изобретени  Устройство дл  умножени  двоичных чисел, содержащее матрицу NX x(N-l) полных одноразр дных сумматоров (где N - разр дность операндов ), матрицу NxMJ элементов И, 2Nразр д|&1й сумматор,спричем выход переноса полного одноразр дного сум матора j-ro столбца соединен с входом переноса полного одноразр дного сумматора (j+1) -го столбца, где ,...,M-1, M-2(N-1), выход сумьш аЬ-го полного одноразр дного сумматора соединен с входом суммы {а+1) Ь-го полного одноразр дного сумматора (где ae1,,..,N-1, ,.. М-1), выход переноса полного одноразр дного сумматора (М-1)-й строки с-го столбца соединен с входом пере носа полного одноразр дного сумма-, тора N-й строки (с+1)-го столбца, где ,... ,М-1), первые входы эл ментов И соединены соответственно с разр дшлми входными шинами миожимого устройства, вторые входы элементов И соединены соответственно с разр дными входными шинами множител  устройства, выходы элементов И (q+1)-й диагонали матрицы элементов И (где ,...,2N+1) соединены соответственно с входами первых К полных одноразр дных сумматоров V-ro столбца (где ,..., nsi М), где К ,,жайшее целое меньшее или равное п/2, an- вес разр да произведени , выходы 2К-разр дного сумматора  вл ютс  .выходами устройства, отличающеес  тем, что, с целью расширени  функциональных возможностей за счет выполнени  операции суммировани  2Н-разр дного слагаемого, повьшхени  быстродействи  в устройство введены 2N элементов пам ти, причем выход переноса полного одноразр дного сумматора (N-2) -и строки столбца соединен с входом переноса полного одноразр дного сумматора (М-1)-й строки (d+1)-ro столбца (где d M/2-1, ..,М-2), выход переноса полного одноразр дного cy waтopa (Н-З)-й строки е-го столбца соединен с входом суммы полного одноразр дного сумматора (N-1)-й строки (е+1)-го столбца (где ,,.. ,М-3), выходы выходных полных одноразр дных сумматоров соедасиены соответственно с входами (2N-I) старших элементов пам ти, выход элемента И первой диагонали матрицы элементов И соединен с входом младшего элемента пам ти , выходы 2N элементов пам ти соединены соответственно с входами первой группы 2Н-разр дного сумматора , входы второй группы которого  вл ютс  входами суммировани  устройства , вход переноса полного од-, поразр дного сумматора последней строки (М/2+1)-го столбца  вл етс  входом округлени  устройства. Источники информации, прин тые во внимание при экспертизе U Патент ОПА № 3914589, кл. G 06 F 7/39, опублик. 1975. The conveyor structure of a sixteen-bit multiplier made up of eight-bit modules requires equal time ratios in the steps of the conveyor. Therefore, in order to align the transition process in the steps, summation 4 can be performed according to a scheme with a rough transfer 4. Thus, as a result of the new organization of links in the matrix of single-digit adders, the introduction of additional inputs into the 2M-bit the rummator, the total number of outputs and inputs becomes two less than in the known device, the time of multiplication by the delay time of a single-bit adder is reduced, the conveyor principle of multiplication is implemented when modules are combined, the possibility of additional th adding in an M-bit multiplier bottom 2M-bit weakly with 2N-pa3r dnym product. When modules are combined, the possibility of reducing multiplication is obtained. The modular structure of the multiplier allows orienting the implementation of the device in the form of a hang; : Claims An apparatus for multiplying binary numbers containing a matrix NX x (Nl) of full one-bit adders (where N is the size of operands), a matrix of NxMJ elements I, 2N bit d | & 1 adder, match the transfer output of the full one-bit sum The j-ro column is connected to the transfer input of the full one-bit adder (j + 1) -th column, where, ..., M-1, M-2 (N-1), the output of the sum of the ab-th full one-bit adder is connected with the input of the sum (a + 1) of the Lth full one-bit adder (where ae1 ,, .., N-1,, .. М-1), the output of the transfer of the full one-bit summat pa (M-1) -th row of the th column is connected to the input of the transfer of a full one-bit sum-, torus of the N-th row (c + 1) of the th column, where, ..., M-1), the first the inputs of the elements I are connected respectively to the discharge input buses of the myogee device, the second inputs of the elements AND are connected respectively to the input input buses of the device multiplier, the outputs of the elements AND (q + 1) -th diagonal of the matrix of elements AND (where, ..., 2N +1) are connected respectively to the inputs of the first K full one-bit adders of the V-ro column (where, ..., nsi М), where K ,, is the tiniest integer less than or equal to n / 2, An output weight, outputs of a 2K-discharge adder, are outputs of the device, characterized in that, in order to expand the functionality by performing a 2H-discharge summation operation, a 2N memory elements are inserted into the device, the transfer output of a full one-bit adder (N-2) -and column rows is connected to the transfer input of a full one-digit adder (M-1) -th row (d + 1) -ro column (where d M / 2-1,. ., M-2), the output of the transfer of the full one-bit cy cytopa (N-3) -th line of the e-th st The Album is connected to the input of the sum of a full one-bit adder (N-1) -th row (e + 1) -th column (where ,, .., М-3), the output of the output one-digit adders connect with the inputs (2N- I) the highest memory elements, the output of the element And the first diagonal of the matrix of elements And are connected to the input of the junior memory element, the outputs of the 2N memory elements are connected respectively to the inputs of the first group of a 2H-bit adder, the inputs of the second group of which are the summing inputs of the device, transfer input of a full one-bit adder The last row (M / 2 + 1) of the column is the input rounding the device. Sources of information taken into account in the examination of U Patent OPA No. 3914589, cl. G 06 F 7/39, published. 1975. 2. Патент США В 3752971, кл. G 06 F 7/39, опублик. 1975 (прототип).2. US Patent B 3752971, cl. G 06 F 7/39, published. 1975 (prototype). «о,"about, . " ЗРZR i-Si-s 5)38282 t: Ж К5) 38282 t: Ж К
SU802902465A 1980-04-03 1980-04-03 Device for binary number multiplication SU938282A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
SU802902465A SU938282A1 (en) 1980-04-03 1980-04-03 Device for binary number multiplication

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
SU802902465A SU938282A1 (en) 1980-04-03 1980-04-03 Device for binary number multiplication

Publications (1)

Publication Number Publication Date
SU938282A1 true SU938282A1 (en) 1982-06-23

Family

ID=20886436

Family Applications (1)

Application Number Title Priority Date Filing Date
SU802902465A SU938282A1 (en) 1980-04-03 1980-04-03 Device for binary number multiplication

Country Status (1)

Country Link
SU (1) SU938282A1 (en)

Similar Documents

Publication Publication Date Title
US9372665B2 (en) Method and apparatus for multiplying binary operands
US4965762A (en) Mixed size radix recoded multiplier
US3795880A (en) Partial product array multiplier
US6065033A (en) Wallace-tree multipliers using half and full adders
US4769780A (en) High speed multiplier
US4142242A (en) Multiplier accumulator
SU938282A1 (en) Device for binary number multiplication
US4013879A (en) Digital multiplier
US5283755A (en) Multiplier employing carry select or carry look-ahead adders in hierarchical tree configuration
US5883825A (en) Reduction of partial product arrays using pre-propagate set-up
JPH05173761A (en) Binary integer multiplier
GB2230627A (en) Recursive processor for multiplication
SU1043642A1 (en) Conveyer multiplying device
SU1108087A1 (en) Device for multiplication with accumulation
SU1670685A1 (en) Multiplier unit
SU999044A1 (en) Matrix multiplication device
SU1626252A1 (en) Multiplier
SU1254471A1 (en) Matrix device for multiplying numbers with respect to modulo two raised to the power n minus one
SU1481747A1 (en) Number multiplier
SU1413625A1 (en) Series-parallel number-multiplying device
SU974370A1 (en) Device for multiplication
SU1578711A1 (en) Multiplying device
SU987618A1 (en) Accumulating multiplier
SU1322265A1 (en) Multiplying device
SU960804A1 (en) Multiplication device