SG115693A1 - Method for coating a substrate for euv lithography and substrate with photoresist layer - Google Patents

Method for coating a substrate for euv lithography and substrate with photoresist layer

Info

Publication number
SG115693A1
SG115693A1 SG200402728A SG200402728A SG115693A1 SG 115693 A1 SG115693 A1 SG 115693A1 SG 200402728 A SG200402728 A SG 200402728A SG 200402728 A SG200402728 A SG 200402728A SG 115693 A1 SG115693 A1 SG 115693A1
Authority
SG
Singapore
Prior art keywords
substrate
coating
photoresist layer
euv lithography
euv
Prior art date
Application number
SG200402728A
Inventor
Ingen Schenau Koen Van
Marcel Mathijs Theodo Dierichs
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of SG115693A1 publication Critical patent/SG115693A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
SG200402728A 2003-05-21 2004-05-17 Method for coating a substrate for euv lithography and substrate with photoresist layer SG115693A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP03076531 2003-05-21

Publications (1)

Publication Number Publication Date
SG115693A1 true SG115693A1 (en) 2005-10-28

Family

ID=33522345

Family Applications (1)

Application Number Title Priority Date Filing Date
SG200402728A SG115693A1 (en) 2003-05-21 2004-05-17 Method for coating a substrate for euv lithography and substrate with photoresist layer

Country Status (6)

Country Link
US (1) US20050008864A1 (en)
JP (1) JP4036849B2 (en)
KR (1) KR100713190B1 (en)
CN (1) CN1573541A (en)
SG (1) SG115693A1 (en)
TW (1) TWI265381B (en)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG129259A1 (en) * 2002-10-03 2007-02-26 Asml Netherlands Bv Radiation source lithographic apparatus, and device manufacturing method
JP4718893B2 (en) * 2005-05-13 2011-07-06 株式会社東芝 Pattern formation method
US20070166640A1 (en) * 2006-01-19 2007-07-19 Yayi Wei Defect reduction in immersion lithography
US20070231751A1 (en) * 2006-03-31 2007-10-04 Bristol Robert L Photoresist top coat out-of-band illumination filter for photolithography
JP4716027B2 (en) * 2006-08-11 2011-07-06 信越化学工業株式会社 Resist protective film material and pattern forming method
CN101681114B (en) * 2007-06-12 2013-05-08 皇家飞利浦电子股份有限公司 Optical device and method of in situ treating an EUV optical component to enhance a reduced reflectivity
JP2009111186A (en) * 2007-10-30 2009-05-21 Toshiba Corp Method for treating substrate, method for conveying substrate, and apparatus for conveying substrate
JP2010182732A (en) * 2009-02-03 2010-08-19 Toshiba Corp Method of manufacturing semiconductor device
JP5618557B2 (en) * 2010-01-29 2014-11-05 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, and pattern formation method using the composition
KR101742815B1 (en) 2010-07-23 2017-06-01 삼성전자 주식회사 Coating composition for DUV filtering, method of forming a photoresist pattern using the same and method of fabricating a semiconductor device
WO2012053302A1 (en) 2010-10-21 2012-04-26 日産化学工業株式会社 Composition for forming overlaying film for resist for euv lithography
US9081280B2 (en) 2011-02-24 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist having improved extreme-ultraviolet lithography imaging performance
KR101793316B1 (en) * 2011-03-16 2017-11-02 케이엘에이-텐코 코포레이션 Euv actinic reticle inspection system using imaging sensor with thin film spectral purity filter coating
CN103649830B (en) * 2011-07-08 2018-06-01 Asml荷兰有限公司 Lithographic patterning process and the resist wherein used
CN103718111B (en) * 2011-07-20 2017-06-23 日产化学工业株式会社 The photoetching film-forming composition of titaniferous and silicon
JPWO2013051442A1 (en) 2011-10-06 2015-03-30 日産化学工業株式会社 Composition for forming resist upper layer film for lithography
JP5856991B2 (en) * 2012-05-21 2016-02-10 富士フイルム株式会社 Chemically amplified resist composition, negative chemically amplified resist composition, resist film using the same, resist-coated mask blanks, photomask manufacturing method and pattern forming method, and electronic device manufacturing method
JP2015172606A (en) * 2012-07-25 2015-10-01 日産化学工業株式会社 Resist upper-layer film forming composition for lithography and semiconductor-device manufacturing process using the same
KR102195151B1 (en) 2012-09-07 2020-12-24 닛산 가가쿠 가부시키가이샤 Composition for forming resist upper layer film for lithography and method for manufacturing semiconductor device using same
JP5768788B2 (en) * 2012-09-21 2015-08-26 信越化学工業株式会社 Resist protective film material and pattern forming method
JP5768789B2 (en) * 2012-09-21 2015-08-26 信越化学工業株式会社 Resist material and pattern forming method using the same
US20140158914A1 (en) * 2012-12-11 2014-06-12 Sandia Corporation Optical component with blocking surface and method thereof
JP5563051B2 (en) 2012-12-13 2014-07-30 Azエレクトロニックマテリアルズマニュファクチャリング株式会社 Upper layer film forming composition and resist pattern forming method using the same
CN104937493B (en) 2013-01-24 2019-11-08 日产化学工业株式会社 Photoetching resistant upper layer film formation composition and manufacturing method for semiconductor device
WO2014119396A1 (en) * 2013-01-31 2014-08-07 富士フイルム株式会社 Pattern forming method, method for manufacturing electronic device using same, and electronic device
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
JP6157160B2 (en) 2013-03-15 2017-07-05 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ Upper layer film forming composition and resist pattern forming method using the same
WO2015046327A1 (en) * 2013-09-26 2015-04-02 独立行政法人物質・材料研究機構 Highly sensitive multilayer resist film and method for improving photosensitivity of resist film
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
CN106030408B (en) 2014-02-26 2019-11-05 日产化学工业株式会社 Resistant upper layer film forms the manufacturing method of the semiconductor device with composition and using the composition
KR102061488B1 (en) 2014-05-21 2020-01-03 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. Top-layer membrane formation composition and method for forming resist pattern using same
KR102432661B1 (en) 2015-07-07 2022-08-17 삼성전자주식회사 Photoresist composition for extreme ultraviolet and method of forming photoresist pattern using the same
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
CN109313395B (en) 2016-05-13 2021-05-14 东京毅力科创株式会社 Critical dimension control through the use of light agents
KR102475021B1 (en) 2016-05-13 2022-12-06 도쿄엘렉트론가부시키가이샤 Critical dimension control by use of photosensitive chemicals or photosensitive chemically amplified resists
KR101850010B1 (en) 2016-12-07 2018-04-19 한국생산기술연구원 Method of Fabricating nano pattern using high etching contrast materials involving carborane complex
JP6875325B2 (en) * 2018-05-21 2021-05-19 信越化学工業株式会社 Pattern formation method
JP6933605B2 (en) 2018-05-21 2021-09-08 信越化学工業株式会社 Pattern formation method
US20200105522A1 (en) * 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US11092893B2 (en) 2018-12-10 2021-08-17 Kla Corporation Inspection sensitivity improvements for optical and electron beam inspection
KR102639026B1 (en) * 2019-04-12 2024-02-21 에이에스엠엘 네델란즈 비.브이. Method and apparatus for forming a layer of patterned material
EP3722457A1 (en) * 2019-04-12 2020-10-14 ASML Netherlands B.V. Method and apparatus for forming a patterned layer of material
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
WO2023243586A1 (en) * 2022-06-14 2023-12-21 セントラル硝子株式会社 Electronic device manufacturing method and layered body
WO2023243585A1 (en) * 2022-06-14 2023-12-21 セントラル硝子株式会社 Resin composition for forming resist upper layer film, pattern forming method, and electronic device manufacturing method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240812A (en) * 1990-09-18 1993-08-31 International Business Machines Corporation Top coat for acid catalyzed resists
JPH05240812A (en) * 1992-02-28 1993-09-21 Uchu Kankyo Riyou Kenkyusho:Kk Heat conductivity measuring method
KR970010687B1 (en) * 1993-11-05 1997-06-30 엘지반도체 주식회사 Charge coupled device
US6007963A (en) * 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
KR100419029B1 (en) * 1999-08-31 2004-02-19 주식회사 하이닉스반도체 Forming method of photoresist pattern including alkali treatment process
KR100400331B1 (en) * 1999-12-02 2003-10-01 주식회사 하이닉스반도체 Over-coating composition for photoresist and process for forming photoresist pattern using the same
DE60131203T2 (en) * 2000-08-25 2008-08-07 Asml Netherlands B.V. Lithographic apparatus
TWI240151B (en) * 2000-10-10 2005-09-21 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
US6686132B2 (en) * 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
KR100390991B1 (en) * 2001-05-29 2003-07-12 주식회사 하이닉스반도체 Forming method for photoresist pattern of semiconductor device
DE10134231B4 (en) * 2001-07-13 2006-06-14 Infineon Technologies Ag EUV reflection mask

Also Published As

Publication number Publication date
JP4036849B2 (en) 2008-01-23
KR20040101025A (en) 2004-12-02
US20050008864A1 (en) 2005-01-13
TWI265381B (en) 2006-11-01
JP2004348133A (en) 2004-12-09
CN1573541A (en) 2005-02-02
KR100713190B1 (en) 2007-05-02
TW200510955A (en) 2005-03-16

Similar Documents

Publication Publication Date Title
SG115693A1 (en) Method for coating a substrate for euv lithography and substrate with photoresist layer
EP1741730A4 (en) Resist protecting film forming material for immersion exposure process and resist pattern forming method using the protecting film
TWI346347B (en) Method of patterning a positive tone resist layer overlaying a lithographic substrate
GB2389454B (en) Maskless particle-beam system for exposing a pattern on a substrate
GB0325748D0 (en) A method of forming a patterned layer on a substrate
HK1214680A1 (en) Exposure method, substrate stage, exposure apparatus, and device manufacturing method
SG110121A1 (en) Method for exposing a substrate and lithographic projection apparatus
EP1950610A4 (en) Composition for forming upper film and method for forming photoresist pattern
EP1736827A4 (en) Positive resist composition for immersion exposure and method for forming resist pattern
HK1103855A1 (en) Substrate holding device, exposure apparatus having the same and method for producing a device
TWI368825B (en) Positive type resist composition for use in liquid immersion exposure and a method of forming the pattern using the same
EP1717261A4 (en) Polymer compound, photoresist composition containing such polymer compound, and method for forming resist pattern
IL178956A0 (en) METHOD FOR FORMING A COATING ON A SUBSTRATE AND A PLATINUM MODIFIED NiCoCrAIY COATING
EP1816671A4 (en) Exposure method, device manufacturing method, and substrate
GB0101160D0 (en) Methods and apparatus for forming a film on a substrate
SG130083A1 (en) A system and method for photolithography in semiconductor manufacturing
AU2003240931A1 (en) A method for photolithography using multiple illuminations and a single fine feature mask
EP1557479A4 (en) Substrate having multilayer film and method for manufacturing the same
EP1830227A4 (en) Resist composition for liquid immersion exposure and method for resist pattern formation
EP1736485A4 (en) Polymer compound, photoresist composition containing such polymer compound, and method for forming resist pattern
GB2401563B (en) Method for forming plural-layered coated film
SG115632A1 (en) Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
AU2003237399A1 (en) Methods for transferring a layer onto a substrate
SG108316A1 (en) Euv lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer, device manufacturing method and device manufactured there
AU2003253797A8 (en) Improved photomask having an intermediate inspection film layer