SG11202109796QA - Precursors for deposition of molybdenum-containing films - Google Patents

Precursors for deposition of molybdenum-containing films

Info

Publication number
SG11202109796QA
SG11202109796QA SG11202109796QA SG11202109796QA SG 11202109796Q A SG11202109796Q A SG 11202109796QA SG 11202109796Q A SG11202109796Q A SG 11202109796QA SG 11202109796Q A SG11202109796Q A SG 11202109796QA
Authority
SG
Singapore
Prior art keywords
precursors
molybdenum
deposition
containing films
films
Prior art date
Application number
Inventor
Kyle Jordan Blakeney
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG11202109796QA publication Critical patent/SG11202109796QA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Pyrrole Compounds (AREA)
SG11202109796Q 2019-03-11 2020-03-06 Precursors for deposition of molybdenum-containing films SG11202109796QA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962816648P 2019-03-11 2019-03-11
PCT/US2020/021543 WO2020185618A1 (en) 2019-03-11 2020-03-06 Precursors for deposition of molybdenum-containing films

Publications (1)

Publication Number Publication Date
SG11202109796QA true SG11202109796QA (en) 2021-10-28

Family

ID=72428076

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11202109796Q SG11202109796QA (en) 2019-03-11 2020-03-06 Precursors for deposition of molybdenum-containing films

Country Status (7)

Country Link
US (2) US11821071B2 (en)
JP (1) JP2022524041A (en)
KR (1) KR20210127262A (en)
CN (1) CN113557320A (en)
SG (1) SG11202109796QA (en)
TW (1) TW202102709A (en)
WO (1) WO2020185618A1 (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112262457A (en) 2018-05-03 2021-01-22 朗姆研究公司 Methods of depositing tungsten and other metals in 3D NAND structures
JP2022523689A (en) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション Deposition of metal film
JP2022524041A (en) 2019-03-11 2022-04-27 ラム リサーチ コーポレーション Precursor for deposition of molybdenum-containing coatings
TW202200828A (en) * 2020-06-24 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Vapor deposition of films comprising molybdenum
US20220220139A1 (en) * 2021-01-12 2022-07-14 Applied Materials, Inc. Molybdenum (0) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
JP2024502503A (en) * 2021-02-23 2024-01-19 ラム リサーチ コーポレーション Deposition of molybdenum film on oxide surface for 3D-NAND
US20220277961A1 (en) 2021-02-26 2022-09-01 Applied Materials, Inc. Low Resistivity Metal Contact Stack
US11760768B2 (en) * 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
WO2023196437A1 (en) * 2022-04-06 2023-10-12 Lam Research Corporation Deposition of metal-containing films and chamber clean
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
WO2023250500A1 (en) * 2022-06-23 2023-12-28 Lam Research Corporation Plasma enhanced low temperature atomic layer deposition of metals
US20240102157A1 (en) * 2022-09-22 2024-03-28 Applied Materials, Inc. Plasma-enhanced molybdenum deposition

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1012671A (en) 1911-05-16 1911-12-26 Tailors Accessories Co Cleaning-machine.
JP2536377B2 (en) 1992-11-27 1996-09-18 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6114242A (en) 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US6103609A (en) 1997-12-11 2000-08-15 Lg Semicon Co., Ltd. Method for fabricating semiconductor device
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
JP2001284360A (en) 2000-03-31 2001-10-12 Hitachi Ltd Semiconductor device
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
JP2005518088A (en) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR20030043201A (en) 2001-11-27 2003-06-02 주식회사 하이닉스반도체 Method for forming contact plug of semiconductor device
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP2005533178A (en) 2002-07-12 2005-11-04 ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ Tungsten nitride deposition
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP2005026380A (en) 2003-06-30 2005-01-27 Toshiba Corp Semiconductor device including nonvolatile memory and its manufacturing method
US7282738B2 (en) 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
WO2009105668A1 (en) 2008-02-20 2009-08-27 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
JP2005150416A (en) 2003-11-17 2005-06-09 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
KR20050054122A (en) 2003-12-04 2005-06-10 성명모 Method of fabricating thin film using uv-enhanced atomic layer deposition
US7115304B2 (en) 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
DE102004010954A1 (en) 2004-03-03 2005-10-06 Novaled Gmbh Use of a metal complex as an n-dopant for an organic semiconductive matrix material, organic semiconductor material and electronic component
US6987063B2 (en) 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
US20050282384A1 (en) 2004-06-17 2005-12-22 Hidemi Nawafune Method for forming protective film and electroless plating bath
KR100615093B1 (en) 2004-08-24 2006-08-22 삼성전자주식회사 Method of manufacturing a non-volatile memory device with nanocrystal storage
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US20060068098A1 (en) 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US7659203B2 (en) 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
EP1728894B1 (en) 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP2008205219A (en) 2007-02-20 2008-09-04 Masato Toshima Showerhead, and cvd apparatus using the same showerhead
US7786006B2 (en) 2007-02-26 2010-08-31 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
CN100577866C (en) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 Gas sprayer assembly applied in plasma reaction chamber, manufacture method and renewing reutilization method thereof
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
KR100890047B1 (en) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 Method for fabricating interconnection in semicondutor device
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
US8017183B2 (en) 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR20090101592A (en) 2008-03-24 2009-09-29 삼성전자주식회사 Method of forming an oxide layer and method of forming a gate using the same
WO2009125255A1 (en) 2008-04-11 2009-10-15 Freescale Semiconductor, Inc. Surface treatment in semiconductor manufacturing
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
CN102265383B (en) 2008-12-31 2014-06-11 应用材料公司 Method of depositing tungsten film with reduced resistivity and improved surface morphology
KR20100096488A (en) 2009-02-24 2010-09-02 삼성전자주식회사 Semiconductor device having recess channel structure
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US20110020546A1 (en) 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8642797B2 (en) * 2010-02-25 2014-02-04 Air Products And Chemicals, Inc. Amidate precursors for depositing metal containing films
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
IL213195A0 (en) 2010-05-31 2011-07-31 Rohm & Haas Elect Mat Photoresist compositions and emthods of forming photolithographic patterns
TWI529808B (en) 2010-06-10 2016-04-11 Asm國際股份有限公司 Method for selectively depositing film on substrate
TW201314739A (en) 2010-09-27 2013-04-01 Astrowatt Inc Electronic device including a semiconductor layer and a metal-containing layer, and a process of forming the same
US8632853B2 (en) 2010-10-29 2014-01-21 Applied Materials, Inc. Use of nitrogen-containing ligands in atomic layer deposition methods
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
JP5730670B2 (en) 2011-05-27 2015-06-10 株式会社Adeka Method for producing thin film containing molybdenum oxide, and raw material for forming thin film containing molybdenum oxide
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP6209168B2 (en) 2012-01-26 2017-10-04 シグマ−アルドリッチ・カンパニー、エルエルシー Molybdenum allyl complex and its use in thin film deposition
JP6273257B2 (en) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Feature filling with tungsten
JP2014074190A (en) 2012-10-02 2014-04-24 Tokyo Electron Ltd Film deposition apparatus
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9546419B2 (en) 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
WO2015023404A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (en) 2013-12-27 2019-09-21 美商蘭姆研究公司 Tungsten nucleation process to enable low resistivity tungsten feature fill
JP2015177006A (en) 2014-03-14 2015-10-05 株式会社東芝 Semiconductor device and manufacturing method of the same
JP6379550B2 (en) 2014-03-18 2018-08-29 東京エレクトロン株式会社 Deposition equipment
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9551074B2 (en) 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US9624577B2 (en) 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
TWI656232B (en) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Molybdenum compositions and their use to form molybdenum oxide films
WO2016032468A1 (en) 2014-08-27 2016-03-03 Ultratech, Inc. Improved through silicon via
KR102156409B1 (en) 2014-09-16 2020-09-15 에스케이하이닉스 주식회사 Method of forming pattern
JP2016098406A (en) 2014-11-21 2016-05-30 東京エレクトロン株式会社 Film deposition method of molybdenum film
US20160168699A1 (en) 2014-12-12 2016-06-16 Asm Ip Holding B.V. Method for depositing metal-containing film using particle-reduction step
US9502263B2 (en) 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US9443865B2 (en) 2014-12-18 2016-09-13 Sandisk Technologies Llc Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
DE112016001703T5 (en) 2015-04-13 2017-12-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US10079144B2 (en) 2015-04-22 2018-09-18 Samsung Electronics Co., Ltd. Composition for layered transition metal chalcogenide compound layer and method of forming layered transition metal chalcogenide compound layer
KR102314722B1 (en) 2015-05-27 2021-10-20 에이에스엠 아이피 홀딩 비.브이. Synthesis and use of precursors for ALD of thin films containing molybdenum or tungsten
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10121671B2 (en) 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US9853123B2 (en) 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
JP2019510877A (en) * 2016-02-19 2019-04-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung Deposition of molybdenum thin films using molybdenum carbonyl precursors
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
TWI732846B (en) 2016-04-25 2021-07-11 美商應用材料股份有限公司 Enhanced spatial ald of metals through controlled precursor mixing
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
WO2018013778A1 (en) 2016-07-14 2018-01-18 Entegris, Inc. Cvd mo deposition by using mooc14
CN109563619A (en) 2016-07-26 2019-04-02 东京毅力科创株式会社 The film build method of tungsten film
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US20180142345A1 (en) 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
JP2018098287A (en) 2016-12-09 2018-06-21 東芝メモリ株式会社 Method of manufacturing semiconductor device
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
KR102572271B1 (en) 2017-04-10 2023-08-28 램 리써치 코포레이션 Low resistivity films containing molybdenum
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190067003A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10096475B1 (en) 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
TWI831756B (en) 2017-11-20 2024-02-11 美商蘭姆研究公司 Method and apparatus for forming metal film
WO2019118684A1 (en) 2017-12-14 2019-06-20 Applied Materials, Inc. Methods of etching metal oxides with less etch residue
US11560625B2 (en) 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
KR20190104902A (en) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 Methods for removing metal oxides
CN112262457A (en) 2018-05-03 2021-01-22 朗姆研究公司 Methods of depositing tungsten and other metals in 3D NAND structures
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN112513323A (en) 2018-07-26 2021-03-16 朗姆研究公司 Deposition of pure metal films
CN112514052A (en) 2018-07-31 2021-03-16 朗姆研究公司 Multi-layer feature filling
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US11387112B2 (en) 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
JP2022509621A (en) 2018-11-19 2022-01-21 ラム リサーチ コーポレーション Molybdenum template for tungsten
JP2022523689A (en) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション Deposition of metal film
JP2022524041A (en) 2019-03-11 2022-04-27 ラム リサーチ コーポレーション Precursor for deposition of molybdenum-containing coatings
US11282745B2 (en) 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
TW202117050A (en) 2019-08-12 2021-05-01 美商應用材料股份有限公司 Molybdenum thin films by oxidation-reduction
US20220298624A1 (en) 2019-08-22 2022-09-22 Lam Research Corporation Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing
WO2021046058A1 (en) 2019-09-03 2021-03-11 Lam Research Corporation Molybdenum deposition
CN114667600A (en) 2019-10-15 2022-06-24 朗姆研究公司 Molybdenum fill
JP2023515751A (en) 2020-03-11 2023-04-14 アプライド マテリアルズ インコーポレイテッド Gap-filling method using catalyst deposition
TW202200828A (en) 2020-06-24 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Vapor deposition of films comprising molybdenum
KR20220058434A (en) 2020-10-30 2022-05-09 에이에스엠 아이피 홀딩 비.브이. Molybdenum deposition method
US20220220139A1 (en) 2021-01-12 2022-07-14 Applied Materials, Inc. Molybdenum (0) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11530477B2 (en) 2021-01-12 2022-12-20 Applied Materials, Inc. Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films

Also Published As

Publication number Publication date
TW202102709A (en) 2021-01-16
JP2022524041A (en) 2022-04-27
CN113557320A (en) 2021-10-26
US20240052486A1 (en) 2024-02-15
US11821071B2 (en) 2023-11-21
WO2020185618A1 (en) 2020-09-17
US20220170155A1 (en) 2022-06-02
KR20210127262A (en) 2021-10-21

Similar Documents

Publication Publication Date Title
SG11202109796QA (en) Precursors for deposition of molybdenum-containing films
SG11202011738VA (en) High bias deposition of high quality gapfill
SG11202109780VA (en) Process for the preparation of battery precursors
EP3813805A4 (en) Compounds for inhibition of inflammation
SG11202108217UA (en) Deposition of metal films
EP3766529A4 (en) Composition for purification of biofluids
EP4078657A4 (en) Area selective deposition of metal containing films
EP3914751A4 (en) Process for pulsed thin film deposition
GB201916625D0 (en) Sputter deposition
EP3976852A4 (en) New group v and vi transition metal precursors for thin film deposition
SG11202004730PA (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
SG11202100058RA (en) Non-uv high hardness low k film deposition
SG11202006970UA (en) Deposition ring for processing reduced size substrates
EP3665715A4 (en) Microwave reactor for deposition or treatment of carbon compounds
EP3454877A4 (en) Compositions and methods for inhibiting biofilm deposition and production
GB201916629D0 (en) Sputter deposition
GB201916621D0 (en) Sputter deposition
SG11202101993VA (en) Methods of depositing metal carbide films
EP3793548A4 (en) Compounds for treatment of pancreatic cancer
EP3580370A4 (en) Organoamino-polysiloxanes for deposition of silicon-containing films
EP3900022A4 (en) PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
GB2588937B (en) Sputter deposition
GB201906840D0 (en) Method of deposition
GB201916623D0 (en) Sputter deposition
EP4059064A4 (en) Physical vapor deposition of piezoelectric films