RU2670389C1 - Digital integrator - Google Patents

Digital integrator Download PDF

Info

Publication number
RU2670389C1
RU2670389C1 RU2018110562A RU2018110562A RU2670389C1 RU 2670389 C1 RU2670389 C1 RU 2670389C1 RU 2018110562 A RU2018110562 A RU 2018110562A RU 2018110562 A RU2018110562 A RU 2018110562A RU 2670389 C1 RU2670389 C1 RU 2670389C1
Authority
RU
Russia
Prior art keywords
input
output
digital
adder
sum
Prior art date
Application number
RU2018110562A
Other languages
Russian (ru)
Inventor
Олег Вячеславович Чернояров
Александра Валериевна Сальникова
Владимир Петрович Литвиненко
Юлия Владимировна Литвиненко
Борис Васильевич Матвеев
Евгений Анатольевич Пчелинцев
Original Assignee
Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский Томский государственный университет" (ТГУ, НИ ТГУ)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский Томский государственный университет" (ТГУ, НИ ТГУ) filed Critical Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский Томский государственный университет" (ТГУ, НИ ТГУ)
Priority to RU2018110562A priority Critical patent/RU2670389C1/en
Application granted granted Critical
Publication of RU2670389C1 publication Critical patent/RU2670389C1/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03BGENERATION OF OSCILLATIONS, DIRECTLY OR BY FREQUENCY-CHANGING, BY CIRCUITS EMPLOYING ACTIVE ELEMENTS WHICH OPERATE IN A NON-SWITCHING MANNER; GENERATION OF NOISE BY SUCH CIRCUITS
    • H03B19/00Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Analogue/Digital Conversion (AREA)

Abstract

FIELD: computer engineering; radio engineering.SUBSTANCE: invention relates to the fields of radio engineering, measurement and computer technology and can be used in integration devices in digital signal processing systems, control systems and specialized computing devices. Digital integrator contains an analog-to-digital converter, a memory element, a clock generator, n two input adders, n multi-bit shift register and a result register.EFFECT: technical result is to provide continuous digital integration of the signal from sample counts of a given volume with high accuracy with minimal hardware costs.1 cl, 4 dwg

Description

Изобретение относится к областям радиотехники, измерительной и вычислительной техники и может быть использовано в устройствах интегрирования в системах цифровой обработки сигналов, системах управления и специализированных вычислительных устройствах.The invention relates to the fields of radio engineering, measuring and computing equipment and can be used in integration devices in digital signal processing systems, control systems and specialized computing devices.

Известно устройство цифрового интегрирования [1] на базе реверсивного счетчика, в котором отсчеты сигнала преобразуются в последовательность импульсов, что снижает точность и скорость вычисления интеграла.It is known a digital integration device [1] based on a reversible counter, in which the signal samples are converted into a sequence of pulses, which reduces the accuracy and speed of calculating the integral.

Известны цифровые интеграторы [2-4] на базе накапливающих сумматоров со сбросом, в которых отсутствует возможность непрерывного (текущего) интегрирования по выборке заданного объема, что снижает их быстродействие.Known digital integrators [2-4] on the basis of accumulating adders with a reset, in which there is no possibility of continuous (current) integration of a sample of a given volume, which reduces their performance.

Известны цифровые интеграторы на базе цифровых усредняющих фильтров, например, с окном Дирихле [5] или с конечной импульсной характеристикой [6]. Их недостатком является сложность аппаратной реализации при большой выборке отсчетов сигнала.Digital integrators based on digital averaging filters are known, for example, with a Dirichlet window [5] or with a finite impulse response [6]. Their disadvantage is the complexity of the hardware implementation with a large sample of signal samples.

Наиболее близким по технической сущности к предлагаемому устройству является цифровой интегратор [7], содержащий аналого-цифровой преобразователь (АЦП), генератор тактовых импульсов, элементы памяти с реверсивными счетчиками и многовходовой многоразрядный сумматор. Его недостатками являются сложность реализации многовходового сумматора и накопление отдельных разрядов отсчетов в реверсивных счетчиках, в которых при наличии ложного срабатывания ошибочный результат будет сохраняться неограниченно долго, что приведет к неустранимому сбою работы интегратора.The closest in technical essence to the proposed device is a digital integrator [7] containing an analog-to-digital converter (ADC), a clock pulse generator, memory elements with reversible counters and a multi-input multi-digit adder. Its disadvantages are the complexity of the implementation of a multi-input adder and the accumulation of individual digits of counts in reversible counters, in which, in the presence of false positives, the erroneous result will be maintained indefinitely, which will lead to an irreparable failure of the integrator.

Основной операцией, определяющей эффективность цифрового интегрирования, является накопление (последовательное суммирование) отсчетов из выборки заданного объема, увеличение которого повышает точность результата, но усложняет аппаратную реализацию устройства.The main operation that determines the efficiency of digital integration is the accumulation (sequential summation) of samples from a sample of a given volume, the increase of which increases the accuracy of the result, but complicates the hardware implementation of the device.

Задачей предлагаемого технического решения является обеспечение непрерывного цифрового интегрирования сигнала по выборке отсчетов заданного объема с высокой точностью при минимальных аппаратных затратах.The objective of the proposed technical solution is to ensure continuous digital integration of the signal from a sample of samples of a given volume with high accuracy with minimal hardware costs.

Поставленная задача решается тем, что цифровой интегратор, содержащий АЦП, последовательно соединенный с ним элемент памяти и генератор тактовых импульсов (ГТИ), дополнительно содержит n каскадно соединенных двухвходовых сумматоров (СУМ) (

Figure 00000001
, где
Figure 00000002
– объем выборки, по которой проводится интегрирование), n регистров сдвига многоразрядных кодов (МР) и регистр результата (РР), первый вход k-го сумматора (
Figure 00000003
) и вход k-го регистра соединены вместе и образуют общий вход
Figure 00000004
, выход
Figure 00000005
соединен со вторым входом
Figure 00000004
, выход сумматора
Figure 00000004
подключен к входу
Figure 00000006
, вход первого сумматора соединен с выходом элемента памяти, а выход последнего n-го сумматора соединен с входом РР, выход которого является выходом интегратора.The problem is solved by the fact that a digital integrator containing an ADC, a memory element connected in series with it and a clock pulse generator (GTI) additionally contains n cascade-connected two-input adders (SUM) (
Figure 00000001
where
Figure 00000002
- sample size by which integration is performed), n shift registers of multi-digit codes (MR) and result register (PP), the first input of the k-th adder (
Figure 00000003
) and the input of the k-th register are connected together and form a common input
Figure 00000004
, output
Figure 00000005
connected to the second input
Figure 00000004
the output of the adder
Figure 00000004
connected to the input
Figure 00000006
, the input of the first adder is connected to the output of the memory element, and the output of the last n-th adder is connected to the input of the PP, the output of which is the output of the integrator.

Предлагаемое техническое решение поясняется чертежами.The proposed technical solution is illustrated by drawings.

На фиг. 1 представлена структурная схема предлагаемого устройства, на фиг. 2 – результаты моделирования работы интегратора при гармоническом входном сигнале, на фиг. 3 – результаты моделирования для импульсного сигнала, а на фиг. 4 – оценка относительной погрешности интегрирования.FIG. 1 shows a block diagram of the device, FIG. 2 shows the results of modeling the operation of an integrator with a harmonic input signal; FIG. 3 shows the simulation results for a pulse signal, and FIG. 4 - estimation of the relative error of integration.

Интегрируемый сигнал 1 поступает на вход АЦП 2, который по тактовым импульсам ГТИ 3, выход которого соединен с тактовым входом АЦП 2, формирует отсчеты, которые записываются в ячейку памяти (регистр) 4. Выход ячейки памяти 4 подключен к соединенным между собой первому входу первого сумматора

Figure 00000007
5-1 и входу первого регистра
Figure 00000008
6-1, выход которого подключен ко второму входу сумматора
Figure 00000009
5-1. Выход первого сумматора
Figure 00000009
5-1 подключен к соединенным между собой первому входу второго сумматора
Figure 00000010
5-2 и входу второго регистра
Figure 00000011
6-2, выход которого подключен ко второму входу сумматора
Figure 00000012
5-2. Аналогично выход предпоследнего сумматора подключен к соединенным между собой первому входу последнего сумматора
Figure 00000013
5-n и входу регистра
Figure 00000014
6-n, выход которого подключен ко второму входу сумматора СУМn 5-n. Выход последнего сумматора
Figure 00000013
5-n, на котором формируется сумма 7 из N поступивших отсчетов, соединен с входом регистра результата 8, выход которого является выходом интегратора 9. На управляющие входы АЦП 2, ячейки памяти 4, регистров
Figure 00000005
и РР подаются импульсы от ГТИ 3.The integrated signal 1 is fed to the input of the ADC 2, which, using the clock pulses of the GTI 3, the output of which is connected to the clock input of the ADC 2, generates samples that are recorded in the memory cell (register) 4. The output of the memory cell 4 is connected to the first input connected to each other adder
Figure 00000007
5-1 and the first register entry
Figure 00000008
6-1, the output of which is connected to the second input of the adder
Figure 00000009
5-1. Output of the first adder
Figure 00000009
5-1 is connected to the first input of the second adder interconnected
Figure 00000010
5-2 and the entrance of the second register
Figure 00000011
6-2, the output of which is connected to the second input of the adder
Figure 00000012
5-2. Similarly, the output of the penultimate adder is connected to the first input of the last adder connected to each other.
Figure 00000013
5-n and register input
Figure 00000014
6-n, the output of which is connected to the second input of the adder SUM n 5-n. Output last adder
Figure 00000013
5-n, on which the sum of 7 of N received samples is formed, is connected to the input of the register of result 8, the output of which is the output of the integrator 9. To the control inputs of the ADC 2, memory cells 4, registers
Figure 00000005
and PP pulses from the GTI 3.

Устройство работает следующим образом.The device works as follows.

Входной сигнал

Figure 00000015
1, поступает на вход АЦП 2, который в моменты времени
Figure 00000016
, определяемые ГТИ 3 (i – порядковый номер), с интервалом времени τ формирует отсчеты
Figure 00000017
входного сигнала. В текущий момент
Figure 00000018
(
Figure 00000019
) обрабатывается выборка отсчетов объемом
Figure 00000020
(n – целое число), по которой с помощью формулы прямоугольников [8] определяется значение интеграла на интервале времени от
Figure 00000021
до
Figure 00000018
:Input signal
Figure 00000015
1, is fed to the input of the ADC 2, which at time points
Figure 00000016
determined by GTI 3 (i is the sequence number), with the time interval τ forms samples
Figure 00000017
input signal. Currently
Figure 00000018
(
Figure 00000019
) is processed sample sampling volume
Figure 00000020
(n is an integer), which is used to determine the value of the integral over the time interval from
Figure 00000021
before
Figure 00000018
:

Figure 00000022
, (1)
Figure 00000022
, (one)

с абсолютной погрешностью R, равной [8]with an absolute error of R equal to [8]

Figure 00000023
, (2)
Figure 00000023
, (2)

где

Figure 00000024
.Where
Figure 00000024
.

Как видно, при заданном интервале интегрирования

Figure 00000025
погрешность R падает с ростом N. Таким образом, для снижения погрешности необходимо использовать выборки отсчетов большого объема
Figure 00000026
, но при этом прямое вычисление суммы (1) потребует значительных затрат времени, тогда актуальным является использование быстрых вычислительных алгоритмов усреднения, требующих выполнения минимального числа операций сложения, что позволит упростить аппаратную реализацию интегратора.As you can see, for a given integration interval
Figure 00000025
the error R decreases with increasing N. Thus, to reduce the error, it is necessary to use samples of large volume samples
Figure 00000026
, but direct calculation of the sum (1) will require considerable time, then the use of fast computational averaging algorithms, requiring a minimum number of addition operations, is relevant, which will simplify the hardware implementation of the integrator.

Отсчеты

Figure 00000027
смеси входного сигнала с выхода АЦП 2 запоминаются в ячейке памяти 4. В момент времени
Figure 00000028
отсчет
Figure 00000029
подается на вход первого сумматора 5-1. где он складывается с предшествующим значением
Figure 00000030
из регистра 6-1 емкостью в одну ячейку памяти (один отсчет), которое было записано в него на предшествующем шаге, и на выходе сумматора 5-1 формируется сумма отсчетов
Figure 00000031
. Полученная сумма подается на вход сумматора 5-2, где она складывается с ранее записанным значением
Figure 00000032
на выходе регистра сдвига 6-2 на две ячейки памяти. На выходе сумматора 5-2 получим сумму четырех отсчетов
Figure 00000033
. Далее вычисления проводятся аналогично, и на вход последнего сумматора 5-n подается суммаCounts
Figure 00000027
the mixture of the input signal from the output of the ADC 2 is stored in memory cell 4. At the moment of time
Figure 00000028
Countdown
Figure 00000029
is fed to the input of the first adder 5-1. where does it stack with the previous value
Figure 00000030
from register 6-1 with a capacity of one memory cell (one sample), which was recorded in it at the previous step, and the sum of samples is formed at the output of the adder 5-1
Figure 00000031
. The resulting amount is fed to the input of the adder 5-2, where it is added up with the previously recorded value
Figure 00000032
at the output of the shift register 6-2 in two memory cells. At the output of the adder 5-2 we get the sum of four samples
Figure 00000033
. Further calculations are carried out similarly, and the input of the last adder 5-n is the sum

Figure 00000034
,
Figure 00000034
,

которая складывается в нем с суммойwhich is summed up in it with the sum

Figure 00000035
,
Figure 00000035
,

ранее записанной в регистре сдвига 6-n на

Figure 00000036
ячеек. Таким образом, на выходе сумматора 5-n формируется искомая суммаpreviously recorded in shift register 6-n on
Figure 00000036
cells Thus, at the output of the adder 5-n the required sum is formed

Figure 00000037
, (3)
Figure 00000037
, (3)

представляющая собой нормированное значение 7 интеграла (1):representing the normalized value of the 7 integral (1):

Figure 00000038
.
Figure 00000038
.

Эта величина записывается в регистр результата 8 и на его выходе появляется результат интегрирования 9. После записи суммы отсчетов в регистр результата по импульсам ГТИ содержимое регистров сдвига 6-k (

Figure 00000039
) сдвигается и в освободившуюся от устаревшего значения ячейку записывается величина с выхода предшествующего сумматора.This value is recorded in the result register 8 and at its output the result of integration appears 9. After writing the sum of samples into the result register of the GTI pulses, the contents of the 6-k shift registers (
Figure 00000039
) is shifted and the value from the output of the previous adder is written into the cell freed from the obsolete value.

Нетрудно видеть, что для вычисления полной суммы (3) требуется

Figure 00000040
блоков сумматоров и столько же регистров сдвига, например, при
Figure 00000041
получим
Figure 00000042
. Общий объем ячеек памяти многоразрядных регистров сдвига равен N. Многоразрядные регистры сдвига можно реализовать с помощью оперативного запоминающего устройства. При этом обеспечивается минимум арифметических операций на отсчет сигнала и, следовательно, высокая скорость обработки при минимальных аппаратных затратах. Технически устройство наиболее целесообразно реализовать на базе программируемых логических интегральных схем (ПЛИС). Современные ПЛИС позволяют реализовать предлагаемое устройство при
Figure 00000043
с рабочими частотами до 50-200 МГц.It is easy to see that to calculate the full amount (3) is required
Figure 00000040
blocks of adders and the same shift registers, for example, when
Figure 00000041
will get
Figure 00000042
. The total amount of memory cells of multi-bit shift registers is equal to N. Multi-bit shift registers can be implemented using random access memory. This ensures a minimum of arithmetic operations on the signal readout and, consequently, high processing speed with minimal hardware costs. Technically, the device is most appropriate to implement on the basis of programmable logic integrated circuits (FPGA). Modern FPGAs allow you to implement the proposed device when
Figure 00000043
with operating frequencies up to 50-200 MHz.

В случае гармонического входного сигнала

Figure 00000044
точное значение интеграла от
Figure 00000045
до t равноIn the case of harmonic input signal
Figure 00000044
exact value of the integral of
Figure 00000045
until t equals

Figure 00000046
. (4)
Figure 00000046
. (four)

Результат имитационного моделирования работы интегратора вида The result of the simulation of the work of the integrator type

Figure 00000047
показан на фиг. 2а сплошной линией, точечной линией показаны истинные значения интеграла
Figure 00000048
из (4) в серединах интервалов квантования при
Figure 00000049
кГц,
Figure 00000050
мск,
Figure 00000051
(
Figure 00000052
). В области
Figure 00000053
происходит заполнение многоразрядных регистров сдвига, а при
Figure 00000054
отклик интегратора совпадает с функцией (4). На фиг. 2б приведены значения погрешности
Figure 00000055
работы интегратора без учета эффектов квантования сигнала в АЦП, как видно, она достаточно мала. Согласно (2), для абсолютной погрешности получим
Figure 00000056
, при этом на рис. 2б
Figure 00000057
. На фиг. 2в и фиг. 2г показаны временные диаграммы, аналогичные фиг. 2а, на частотах сигнала
Figure 00000058
Гц и
Figure 00000059
кГц соответственно. В последнем случае погрешность интегрирования повышается до
Figure 00000060
(на периоде сигнала укладывается только 50 отсчетов).
Figure 00000047
shown in FIG. 2a a solid line, the dotted line shows the true values of the integral
Figure 00000048
from (4) in the middle of the quantization intervals with
Figure 00000049
kHz,
Figure 00000050
Moscow time,
Figure 00000051
(
Figure 00000052
). In the area of
Figure 00000053
the multi-bit shift registers are filled, and when
Figure 00000054
the integrator response coincides with function (4). FIG. 2b shows the error values
Figure 00000055
As the integrator works without taking into account the effects of quantization of the signal in the ADC, it can be seen that it is rather small. According to (2), for the absolute error we get
Figure 00000056
, at the same time on fig. 2b
Figure 00000057
. FIG. 2b and FIG. 2d shows timing diagrams similar to FIG. 2a at signal frequencies
Figure 00000058
Hz and
Figure 00000059
kHz respectively. In the latter case, the integration error increases to
Figure 00000060
(only 50 samples are placed on the signal period).

На фиг. 3а показана временная диаграмма входного импульсного сигнала с периодом, равным

Figure 00000061
отсчетов, а на фиг. 3б – результат работы интегратора при
Figure 00000050
мск,
Figure 00000051
, погрешность меньше
Figure 00000062
.FIG. 3a shows a timing diagram of an input pulse signal with a period equal to
Figure 00000061
counts, and in FIG. 3b - the result of the integrator with
Figure 00000050
Moscow time,
Figure 00000051
, the error is less
Figure 00000062
.

При ограниченной разрядности АЦП погрешность интегрирования значительно увеличивается. На фиг. 4 приведена полученная в результате моделирования зависимость относительной максимальной погрешности With a limited ADC bit width, the integration error increases significantly. FIG. 4 shows the resulting dependence of the relative maximum error

Figure 00000063
Figure 00000063

от числа m разрядов АЦП для гармонического сигнала вида фиг. 2а при

Figure 00000051
и при условии, что сигнал занимает всю разрядную сетку АЦП. Как видно, современные АЦП обеспечивают достаточно точное интегрирование сигнала. Величина
Figure 00000064
зависит от формы сигнала и его параметров. Приближенно она меняется по закону
Figure 00000065
.from the number m of bits of the ADC for the harmonic signal of the form of FIG. 2a with
Figure 00000051
and provided that the signal occupies the entire bit grid of the ADC. As you can see, modern ADCs provide fairly accurate signal integration. Magnitude
Figure 00000064
depends on the waveform and its parameters. Approximately it varies according to the law
Figure 00000065
.

С уменьшением амплитуды сигнала погрешность повышается, то есть целесообразно проводить масштабирование преобразования сигнала в последовательность отсчетов. При увеличении объема выборки до

Figure 00000066
при
Figure 00000067
относительная погрешность уменьшается до
Figure 00000068
по сравнению со значением
Figure 00000069
при
Figure 00000051
.With a decrease in the amplitude of the signal, the error increases, that is, it is advisable to scale the conversion of the signal into a sequence of samples. By increasing the sample size to
Figure 00000066
at
Figure 00000067
relative error decreases to
Figure 00000068
versus value
Figure 00000069
at
Figure 00000051
.

Частота квантования АЦП от ГТИ должна выбираться не менее чем в 30-50 раз выше граничной частоты спектра входного сигнала.The quantization frequency of the ADC from the GTI should be chosen at least 30-50 times higher than the cutoff frequency of the spectrum of the input signal.

Источники информацииInformation sources

1. Трохин В.М., Перельмутер В.М., Энтина В.И. Цифроаналоговые системы автоматического управления. – К.: Технiка, 1979. – 160 с.1. Trokhin V.M., Perelmuter V.M., Entin V.I. Digital-analog automatic control systems. - K .: Technika, 1979. - 160 p.

2. Новиков Ю.В. Введение в цифровую схемотехнику. – М.: Интенет-Университет Информационных Технологий; БИНОМ. Лаборатория знаний, 2007. – 343 с.2. Novikov Yu.V. Introduction to digital circuitry. - M .: Intenet-University of Information Technologies; BINOMIAL. Laboratory of Knowledge, 2007. - 343 p.

3. Дрозд А.В., Полин Е.Л., Нестеренко С.А., Николенко А.А., Ногина Е.Н. Устройство цифрового интегрирования // Авторское свидетельство SU 1532922А1, МПК G06F7/64 от 30.12.89 (Бюлл. № 48).3. Drozd A.V., Polin E.L., Nesterenko S.A., Nikolenko A.A., Nogina E.N. Digital integration device // Copyright certificate SU 1532922A1, IPC G06F7 / 64 dated 12/30/89 (Bull. No. 48).

4. Полян Л.Е., Угер В.Г. Цифровой интегратор // Патент № 2029357, МПК G06F7/64 от 20.02.1995; заявка № 5043408/24 от 26.05.1992.4. Polyan L.E., Uger V.G. Digital integrator // Patent No. 2029357, IPC G06F7 / 64 dated 02/20/1995; Application No. 5043408/24 of 05/26/1992.

5. Гутников В.С. Фильтрация измерительных сигналов. – Л.: Энергоатомиздат, 1990. – 122 с.5. Gutnikov V.S. Filtering measurement signals. - L .: Energoatomizdat, 1990. - 122 p.

6. Солонина А.И., Улахович Д.А., Арбузов С.М., Соловьева Е.Б. Основы цифровой обработки сигналов. – СПб.: БХВ Петербург, 2005. – 768 с.6. Solonina A.I., Ulakhovich D.A., Arbuzov S.M., Solov'eva E.B. Basics of digital signal processing. - SPb .: BHV Petersburg, 2005. - 768 p.

7. Ледовских В.И., Бухтияров С.А. Цифровой интегратор // Авторское свидетельство SU 1478214А1, МПК G06F7/64 от 07.05.89 (Бюлл. № 17).7. Ledovskikh V.I., Bukhtiyarov S.A. Digital integrator // Copyright certificate SU 1478214А1, IPC G06F7 / 64 dated 05/07/89 (Bull. No. 17).

8. Гусак А.А., Гусак Г.М., Бричикова Е.А. Справочник по высшей математике. – Мн.: ТетраСистеис, 1999. – 640 с.8. Gusak A.A., Gusak G.M., Brichikova E.A. Handbook of higher mathematics. - Minsk: TetraSisteis, 1999. - 640 p.

Claims (1)

Цифровой интегратор, содержащий аналого-цифровой преобразователь, последовательно соединенный с ним элемент памяти и генератор тактовых импульсов (ГТИ), отличающийся тем, что он дополнительно содержит n каскадно соединенных двухвходовых сумматоров (СУМ), n регистров сдвига многоразрядных кодов (МР) и регистр результата (РР), первый вход k-го сумматора (
Figure 00000070
) и вход k-го регистра соединены вместе и образуют общий вход k-го СУМ, выход k-го МР соединен со вторым входом k-го СУМ, выход k-го СУМ подключен к входу
Figure 00000071
-го СУМ, вход первого сумматора соединен с выходом элемента памяти, а выход последнего n-го сумматора соединен с входом РР, выход которого является выходом интегратора.
A digital integrator containing an analog-to-digital converter, a memory element connected in series with it, and a clock pulse generator (GTI), characterized in that it additionally contains n cascade-connected two-input adders (SUM), n shift registers of multi-digit codes (MR) and a result register (PP), the first input of the k-th adder (
Figure 00000070
) and the input of the k-th register are connected together and form the common input of the k-th SUM, the output of the k-th MP is connected to the second input of the k-th SUM, the output of the k-th SUM is connected to the input
Figure 00000071
-th SUM, the input of the first adder is connected to the output of the memory element, and the output of the last n-th adder is connected to the input of the PP, the output of which is the output of the integrator.
RU2018110562A 2018-03-26 2018-03-26 Digital integrator RU2670389C1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
RU2018110562A RU2670389C1 (en) 2018-03-26 2018-03-26 Digital integrator

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
RU2018110562A RU2670389C1 (en) 2018-03-26 2018-03-26 Digital integrator

Publications (1)

Publication Number Publication Date
RU2670389C1 true RU2670389C1 (en) 2018-10-22

Family

ID=63923502

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2018110562A RU2670389C1 (en) 2018-03-26 2018-03-26 Digital integrator

Country Status (1)

Country Link
RU (1) RU2670389C1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4701871A (en) * 1983-10-07 1987-10-20 Sony Corporation Signal generator using digital memory
SU1478214A1 (en) * 1987-06-08 1989-05-07 Воронежский Политехнический Институт Digital integrator
RU2030092C1 (en) * 1991-02-25 1995-02-27 Научно-производственное предприятие "Полет" Digital frequency synthesizer
RU2344541C1 (en) * 2007-10-08 2009-01-20 Федеральное государственное унитарное предприятие "Научно-производственное предприятие "Полет" Digital synthesiser of frequencies

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4701871A (en) * 1983-10-07 1987-10-20 Sony Corporation Signal generator using digital memory
SU1478214A1 (en) * 1987-06-08 1989-05-07 Воронежский Политехнический Институт Digital integrator
RU2030092C1 (en) * 1991-02-25 1995-02-27 Научно-производственное предприятие "Полет" Digital frequency synthesizer
RU2344541C1 (en) * 2007-10-08 2009-01-20 Федеральное государственное унитарное предприятие "Научно-производственное предприятие "Полет" Digital synthesiser of frequencies

Similar Documents

Publication Publication Date Title
CN109104190B (en) Time-to-digital conversion circuit based on multiple sampling
RU2670389C1 (en) Digital integrator
RU2735488C1 (en) Digital correlator
US3947673A (en) Apparatus for comparing two binary signals
RU2662412C1 (en) Digital measuring of statistical characteristics of random signals
CN1235132C (en) Fixed time-interval sampling interpolation calculating method and apparatus thereof
RU2710990C1 (en) Digital integrator
Day et al. A real time digital signal processing solution for radar pulse compression
CN102710237B (en) First-order digital low-pass filtering method, filter and electronic equipment
RU2751020C1 (en) Digital phase shift meter for harmonic signals
RU2771593C1 (en) Probabilistic apparatus for calculating the average total power
SU928363A1 (en) Device for performing fourier transform
FI62603B (en) SPECIALDATAMASKIN FOER BEHANDLING AV STATISTICAL UPPGIFTER
RU2253892C1 (en) Device for measuring two-dimensional distributions of random processes
RU2616877C1 (en) Digital generator of harmonic signals
RU2249851C2 (en) Device for measuring distributions of random processes
RU2254674C2 (en) Device for functional coding of pulse-width signals
RU2625530C1 (en) Device for calculating function type z=√x2 + y2
SU815888A1 (en) Method of discriminating pulse signal
RU164227U1 (en) NOISE MATRIX FORMER
RU70735U1 (en) DEVICE FOR CONTROL OF THE COMMUNICATION CHANNEL
SU477420A1 (en) Processor for online correlation analysis
SU972437A1 (en) Device for evaluating dynamic process energy characteristics in mountain rock mass
RU2160926C1 (en) Walsh function spectrum analyzer
SU570025A1 (en) Device for conversion of pulse frequency