LU102920B1 - Device and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties - Google Patents

Device and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties Download PDF

Info

Publication number
LU102920B1
LU102920B1 LU102920A LU102920A LU102920B1 LU 102920 B1 LU102920 B1 LU 102920B1 LU 102920 A LU102920 A LU 102920A LU 102920 A LU102920 A LU 102920A LU 102920 B1 LU102920 B1 LU 102920B1
Authority
LU
Luxembourg
Prior art keywords
substrate
laser
interference
beam splitter
range
Prior art date
Application number
LU102920A
Other languages
German (de)
Inventor
Tim Kunze
Sabri Alamri
Original Assignee
Fusion Bionic Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fusion Bionic Gmbh filed Critical Fusion Bionic Gmbh
Priority to LU102920A priority Critical patent/LU102920B1/en
Priority to PCT/EP2022/068490 priority patent/WO2023280793A2/en
Priority to KR1020247003873A priority patent/KR20240031352A/en
Priority to EP22746975.6A priority patent/EP4367558A2/en
Application granted granted Critical
Publication of LU102920B1 publication Critical patent/LU102920B1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • G02B27/0905Dividing and/or superposing multiple light beams
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • B23K26/0624Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses using ultrashort pulses, i.e. pulses of 1ns or less
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0626Energy control of the laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0643Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising mirrors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0652Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/067Dividing the beam into multiple beams, e.g. multifocusing
    • B23K26/0676Dividing the beam into multiple beams, e.g. multifocusing into dependently operating sub-beams, e.g. an array of spots with fixed spatial relationship or for performing simultaneously identical operations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • B23K26/355Texturing
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • G02B27/0927Systems for changing the beam intensity distribution, e.g. Gaussian to top-hat
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • G02B27/0938Using specific optical elements
    • G02B27/0944Diffractive optical elements, e.g. gratings, holograms
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/10Beam splitting or combining systems
    • G02B27/1086Beam splitting or combining systems operating by diffraction only
    • G02B27/1093Beam splitting or combining systems operating by diffraction only for use with monochromatic radiation only, e.g. devices for splitting a single laser source
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/54Glass
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/118Anti-reflection coatings having sub-optical wavelength surface structures designed to provide an enhanced transmittance, e.g. moth-eye structures

Abstract

Die vorliegende Erfindung betrifft den Bereich der Strukturierung von Substraten mit periodischen Punktstrukturen im Mikro- oder Submikrometerbereich, insbesondere eine Vorrichtung und ein Verfahren zur Strukturierung von Oberflachen und dem Inneren eines transparenten Substrats mittels Laserinterferenzstrukturierung. Die derart erzeugte Strukturierung mit periodischen Punktstrukturen im Mikro- oder Submikrometerbereich zeichnet sich durch eine ausgepragte Antireflexionseigenschaft aus. Zudem betrifft die vorliegende Erfindung ein strukturiertes Substrat mit Antireflexionseigenschaften, das eine periodische Punktstruktur umfasst.The present invention relates to the field of structuring substrates with periodic point structures in the micro- or sub-micrometer range, in particular to a device and a method for structuring surfaces and the interior of a transparent substrate using laser interference structuring. The structuring created in this way with periodic point structures in the micro- or sub-micrometer range is characterized by a pronounced anti-reflection property. In addition, the present invention relates to a structured substrate with anti-reflection properties, which comprises a periodic dot structure.

Description

Vorrichtung und Verfahren zur Laserinterferenzstrukturierung von Substraten mit periodischen LU102920Device and method for laser interference structuring of substrates with periodic LU102920

Punktstrukturen für AntireflexionseigenschaftenDot structures for anti-reflection properties

Technisches GebietTechnical area

Die vorliegende Erfindung betrifft den Bereich der Strukturierung von Substraten, insbesondere eine Vorrichtung und ein Verfahren zur Strukturierung von Oberflächen und dem Inneren eines transparenten Substrats mittels Laserinterferenzstrukturierung. Zudem betrifft die vorliegende Erfindung ein strukturiertes Substrat — beispielhaft sind hier flächigeThe present invention relates to the field of structuring substrates, in particular to a device and a method for structuring surfaces and the interior of a transparent substrate using laser interference structuring. In addition, the present invention relates to a structured substrate - flat ones are examples here

Substrate, insbesondere sog. Antireflexionsverglasung genannt — mitSubstrates, especially so-called anti-reflection glazing - with

Antireflexionseigenschaften, das eine periodische Punktstruktur umfasst.Anti-reflection properties comprising a periodic dot structure.

Stand der TechnikState of the art

Aus dem Stand der Technik sind Verfahren zur Behandlung von Oberflächen bekannt, mit denen die Oberfläche von transparenten Substraten, insbesondere Glas, aber auch festenMethods for treating surfaces are known from the prior art, with which the surface of transparent substrates, in particular glass, but also solid ones

Polymeren, so verändert werden kann, dass die Reflexion des Substrates verringert werden kann. Typische Verfahren bringen hierzu ein zusätzliches Material auf die Oberfläche des zu entspiegelnden Substrats auf (sog. Struktur- oder Schicht-aufbauende Verfahren), wobei sich der Brechungsindex der verschiedenen Materialien unterscheidet.Polymers can be changed so that the reflection of the substrate can be reduced. Typical processes apply an additional material to the surface of the substrate to be anti-reflective (so-called structure or layer-building processes), whereby the refractive index of the different materials differs.

In US8557877B2 wird beispielsweise ein mögliches Schicht-aufbauendes Verfahren erläutert. Dabei wird eine Beschichtungslösung aus mindestens zwei chemischenIn US8557877B2, for example, a possible layer-building method is explained. A coating solution is made from at least two chemical substances

Ausgangsmaterialien erzeugt, anschließend deren pH-Wert reduziert und dann optional mit einer weiteren Lösung verdünnt, bevor die Beschichtung auf das gewünschte Substrat aufgetragen wird. Bei dieser Art von Beschichtungsverfahren werden verschiedene chemische Substanzen mit oftmals geringer Umweltverträglichkeit verwendet, derenStarting materials are generated, their pH is then reduced and then optionally diluted with another solution before the coating is applied to the desired substrate. This type of coating process uses various chemical substances that often have low environmental compatibility

Entsorgung und Handling kostenintensiv und aufwändig ist.Disposal and handling is costly and time-consuming.

Dieser Art von Verfahren liegt eine Verminderung des Reflexionsgrades durch destruktiveThis type of process involves a reduction in the degree of reflectance through destructive

Interferenz zugrunde. Dabei muss der Brechungsindex des Materials, das für dieinterference. The refractive index of the material used for the

Beschichtung verwendet wird, auf das zu beschichtende Substrat und das Medium, das sich vor dem Substrat befindet (in der Regel Luft), abgestimmt werden. Da nicht jedesCoating is used must be tailored to the substrate to be coated and the medium that is in front of the substrate (usually air). Not every one

Beschichtungsmaterial auf die gleiche Weise verarbeitet werden kann, ist es nach einem solchen Verfahren erforderlich, unterschiedliche Prozesse für die Beschichtung unterschiedlicher Substratmaterialien zu verwenden.Coating material can be processed in the same way, after such a method it is necessary to use different processes for coating different substrate materials.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

In US10459125B2 wird daher ein Verfahren beschrieben, bei dem auf einem Substrat eine LU102920 sog. Mottenaugenstruktur chemisch generiert wird, indem auf das Substrat ein Polymerfilm aufgetragen wird. Diese Art von Struktur ist dem Prinzip des Mottenauges nachempfunden, auf dessen Oberfläche sich regelmäßig angeordnete Nanostrukturen finden, deren _ Dimensionen kleiner sind als die Wellenlänge des auf sie eintreffenden Lichts. Dadurch wird eine Schicht mit abgestuftem Brechungsindex am Übergang vom Medium, das sich vor demUS10459125B2 therefore describes a method in which a LU102920 so-called moth-eye structure is chemically generated on a substrate by applying a polymer film to the substrate. This type of structure is based on the principle of the moth's eye, on whose surface there are regularly arranged nanostructures whose dimensions are smaller than the wavelength of the light hitting them. This creates a layer with a graded refractive index at the transition from the medium in front of it

Substrat befindet, und dem Substrat selbst erzeugt, welche die Reflexion erheblich vermindert.Substrate is located, and the substrate itself generated, which significantly reduces the reflection.

Ein so erzeugter Film kann unabhängig vom zu beschichtenden Substrat verwendet werden, da die Reduktion der Reflexion nicht vom Brechungsindex des Materials, das für dieA film produced in this way can be used regardless of the substrate to be coated, since the reduction in reflection does not depend on the refractive index of the material used for the

Beschichtung verwendet wird, abhängt. Allerdings basiert die Beschichtungsmethode nach wie vor auf chemischen Substanzen mit den oben bereits genannten Nachteilen.Coating used depends. However, the coating method is still based on chemical substances with the disadvantages already mentioned above.

Zusätzlich ist eine so erzeugte Beschichtung anfällig für mechanische Beanspruchung (Abrieb, Stöße, etc.) und altert dementsprechend schnell. Nach einiger Zeit löst sich dieIn addition, a coating created in this way is susceptible to mechanical stress (abrasion, impacts, etc.) and therefore ages quickly. After a while it comes off

Beschichtung daher häufig vom Substrat und/oder verliert ihre reflexionsverminderndenCoating therefore often separates from the substrate and/or loses its anti-reflection properties

Eigenschaften.Characteristics.

In WO 2019/166836 A1 wird ein Verfahren zur Herstellung einer Antireflexionsstruktur erläutert, bei dem mittels eines Lasers die Oberfläche eines Substrates in der Form bearbeitet wird, dass sie Antireflexionseigenschaften erhält. Hierbei wird mit einem fokussierten Laserstrahl das Substratmaterial modifiziert und dadurch eine Nanostruktur durch Selbstorganisationsprozesse unter Ausnutzen von laser-induzierten periodischenWO 2019/166836 A1 explains a method for producing an anti-reflection structure in which the surface of a substrate is processed using a laser in such a way that it receives anti-reflection properties. Here, the substrate material is modified with a focused laser beam, thereby creating a nanostructure through self-organization processes using laser-induced periodic

Oberflächenstrukturen (engl. Laser-induced Periodic Surface Structures — LIPSS) erzeugt.Surface structures (Laser-induced Periodic Surface Structures — LIPSS) are generated.

Durch die geeignete Auswahl von Laserfluenz und Überlagerung der Strahlbrennpunkte auf der Substratoberfläche kann eine sich quasi-periodisch wiederholende Strukturierung generiert werden. Somit erhält man eine Antireflexionsoberfläche. Es werden keineBy appropriately selecting the laser fluence and superimposing the beam focal points on the substrate surface, a quasi-periodically repeating structuring can be generated. This results in an anti-reflection surface. There won't be any

Chemikalien benötigt und eine große Bandbreite von Substratmaterialien kann auf dieseChemicals are required and a wide range of substrate materials can be used

Weise bearbeitet werden.processed in this way.

Dieses Verfahren arbeitet jedoch sukzessiv und ist bedingt durch den zugrundeliegendenHowever, this process works gradually and is dependent on the underlying

Selbstorganisationsprozess der LIPSS zeitaufwändig. Eine flächige Nanostrukturerzeugung in einem Schritt ist nicht möglich, da die LIPSS sich nur durch wiederholtes Bearbeiten nahe liegender Flächenbereiche auspragen. Außerdem hängt die Regelmäfigkeit der Struktur von den konkreten Prozess- bzw. Umgebungsbedingungen ab, so dass Abweichungen imLIPSS self-organization process is time-consuming. A flat nanostructure creation in one step is not possible because the LIPSS only develop through repeated processing of nearby surface areas. In addition, the regularity of the structure depends on the specific process or environmental conditions, so that deviations in the

Oberflachenzustand (Material leicht unterschiedlich, mikroskopische Verschmutzungen) zu veränderten Ergebnissen führen kann.Surface condition (material slightly different, microscopic contamination) can lead to changed results.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

In EP2431120A1 wird ein Verfahren zur direkten Strukturierung eines dünnen Films LU102920 offenbart, bei dem mittels interferierender Laserstrahlen periodische Strukturen in dünnenEP2431120A1 discloses a method for directly structuring a thin film LU102920, in which periodic structures are formed in thin films using interfering laser beams

Metallfilmen erzeugt werden können. Hierbei werden mehrere gepulste Laserstrahlen auf den dünnen Film gelenkt, wobei diese in einem Interferenzbereich interferieren, sodass in den Bereichen hoher Intensität das Material des dünnen Films verdampft wird. DasMetal films can be produced. Here, several pulsed laser beams are directed onto the thin film, whereby they interfere in an interference area, so that the material of the thin film is evaporated in the areas of high intensity. The

Verfahren ist dadurch gekennzeichnet, dass die so entstehende Struktur durch dieThe process is characterized in that the resulting structure is formed by the

Einstellung der Intensität der Laserstrahlen oder der Verschiebung des Films in z-Richtung (also in Richtung der einfallenden Laserstrahlen oder von ihnen weg) verändert werden kann. Über zusätzliche optische Elemente wird ein Phasenunterschied zwischen denSetting the intensity of the laser beams or the displacement of the film in the z-direction (i.e. in the direction of the incident laser beams or away from them) can be changed. A phase difference between the two is created using additional optical elements

Laserstrahlen erzeugt, die das Interferenzmuster beeinflussen. Die einfallendenLaser beams are generated that influence the interference pattern. The invading ones

Laserstrahlen werden durch ein Fokussierelement auf die Oberfläche des dünnen Films fokussiert und dabei verkleinert, sodass sich Bereiche hoher Intensität ergeben, in denen das Material des dünnen Films verdampft wird.Laser beams are focused onto the surface of the thin film by a focusing element and are reduced in size, resulting in areas of high intensity in which the material of the thin film is evaporated.

Dieses Verfahren benôtigt eine Môglichkeit, die Intensität der einfallenden Laserstrahlen zu modifizieren. Dies kann entweder über die Festlegung auf eine bestimmteThis process requires a way to modify the intensity of the incident laser beams. This can be done either by specifying a specific one

Laserstrahlungsquelle oder eine Einheit zur Kontrolle der Intensität des Laserstrahls geschehen. Die Intensität muss abhängig von der Verdampfungsschwelle des Materials des dünnen Films eingestellt werden. Dies bedeutet, dass für unterschiedliche Materialien unterschiedliche Laserstrahlungsquellen verwendet werden müssen, oder ein zusätzlichesLaser radiation source or a unit for controlling the intensity of the laser beam. The intensity must be adjusted depending on the evaporation threshold of the thin film material. This means that different laser radiation sources must be used for different materials, or an additional one

Element zur Intensitatskontrolle benötigt wird. Eine Verschiebung der optischen Elemente ermöglicht keine Kontrolle des entstehenden Interferenzmusters. Bei der Bearbeitung vonElement for intensity control is needed. Shifting the optical elements does not allow the resulting interference pattern to be controlled. When processing

Materialien mit einer hohen Verdampfungsschwelle ist zudem die Beschädigung der optischen Elemente im Strahlengang des Lasers denkbar.Materials with a high evaporation threshold can also damage the optical elements in the laser beam path.

AufgabeTask

Es ist daher Aufgabe der vorliegenden Erfindung, eine Vorrichtung und ein Verfahren bereitzustellen, mit welchen ohne die Verwendung von umweltschädlichen Chemikalien eine direkte Strukturierung von Oberflächen, bspw. transparenten und/oder flächigen Oberflächen erzeugt werden kann, die dem Material antireflektierende Eigenschaften verleiht.It is therefore the object of the present invention to provide a device and a method with which a direct structuring of surfaces, for example transparent and/or flat surfaces, which gives the material anti-reflective properties, can be produced without the use of environmentally harmful chemicals.

Dabei ist es darüber hinaus Aufgabe der vorliegenden Erfindung, eine möglichst robusteIt is also an object of the present invention to be as robust as possible

Strukturierung zu erzeugen, die nicht durch Inanspruchnahme des transparenten Substrats ihre Wirksamkeit verliert. Außerdem sollte die Strukturierung von flächigen Proben innerhalb von kurzer Zeit durchführbar sein.To create structuring that does not lose its effectiveness through use of the transparent substrate. In addition, the structuring of flat samples should be possible within a short time.

Eine weitere Aufgabe der Erfindung ist es, ein Verfahren zur Strukturierung mittelsA further object of the invention is to provide a method for structuring using

Laserinterferenz bereitzustellen, welches unabhängig von der Intensität derTo provide laser interference, which is independent of the intensity of the

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Laserstrahlungsquelle ist. Das Verfahren soll derart eingerichtet sein, dass auch bei hohen = LU102920Laser radiation source is. The procedure should be set up in such a way that even at high = LU102920

Intensitäâten auf dem zu strukturierenden Substrat keine Beschädigung der optischenIntensities on the substrate to be structured do not damage the optical

Elemente eintritt.elements occur.

LösungSolution

Durch die vorliegende Erfindung wird eine Vorrichtung bereitgestellt, welche dieThe present invention provides a device which:

Strukturierung von Substraten, bspw. flächigen und/oder transparenten Substraten mittelsStructuring of substrates, for example flat and/or transparent substrates using

Laserinterferenzstrukturierung ermôglicht. Mittels dieser Vorrichtung kônnen auf derLaser interference structuring enables. Using this device you can

Oberfläche oder im Inneren transparenter Substrate periodische Punktstrukturen mitSurface or inside transparent substrates with periodic dot structures

Abmessungen im Mikro- und Submikrometer Bereich erzeugt werden, die dem SubstratDimensions in the micro- and sub-micrometer range are created, which correspond to the substrate

Antireflexionseigenschaften (erhöhte Transmission) verleihen.Give anti-reflection properties (increased transmission).

Die vorliegenden Aufgaben werden erfindungsgemäß mittels einerThe present tasks are achieved according to the invention by means of a

Laserinterferenzstrukturierungsvorrichtung zur direkten Laserinterferenzstrukturierung einesLaser interference structuring device for direct laser interference structuring of a

Substrats — beispielhaft sind hierin flächige und/oder transparente Substrate zu nennen — gemäß Anspruch 1 gelöst, umfassend - eine Laserstrahlungsquelle (1) zum Emittieren eines Laserstrahls, - ein Strahlteilerelement (2), das im Strahlengang (3) des Laserstrahls angeordnet ist, - ein Fokussierelement (4), das derart eingerichtet ist, dass dieses die Teilstrahlen derart durchlaufen, dass die Teilstrahlen auf der Oberfläche oder im Volumen einesSubstrate - examples include flat and/or transparent substrates - solved according to claim 1, comprising - a laser radiation source (1) for emitting a laser beam, - a beam splitter element (2) which is arranged in the beam path (3) of the laser beam, - a focusing element (4), which is set up in such a way that the partial beams pass through it in such a way that the partial beams are on the surface or in the volume of a

Substrats, bevorzugt flächigen und/oder transparenten Substrats (5) in einemSubstrate, preferably flat and/or transparent substrate (5) in one

Interferenzbereich interferierbar sind, wobei der Strahlteiler (2) entlang seiner optischen Achse im Strahlengang (3) frei beweglich ist, und wobei der Strahlteiler (2) dazu eingerichtet ist, den einfallenden Laserstrahl, der von der Laserstrahlungsquelle (1) ausgesandt wird, in zumindest 3, vorzugsweise zumindest 4Interference region can be interfered with, wherein the beam splitter (2) is freely movable along its optical axis in the beam path (3), and wherein the beam splitter (2) is designed to reflect the incident laser beam, which is emitted by the laser radiation source (1), in at least 3, preferably at least 4

Teilstrahlen, insbesondere 4 bis 8, also 4, 5, 6, 7, oder 8 Teilstrahlen, aufzuteilen.Partial beams, in particular 4 to 8, i.e. 4, 5, 6, 7, or 8 partial beams.

Besonders bevorzugt ist der Strahlteiler (2) derart eingerichtet, dass dieser den einfallendenThe beam splitter (2) is particularly preferably set up in such a way that it absorbs the incident beam

Laserstrahl in ein geradzahliges Vielfaches, d.h. 4, 6 oder 8 Teilstrahlen, ganz besonders bevorzugt 4 Teilstrahlen aufteilt.Laser beam is divided into an even multiple, i.e. 4, 6 or 8 partial beams, most preferably 4 partial beams.

Alternativ oder ergänzend hierzu kann ein Strahlteiler (2) derart vorgesehen sein, dass dieser einen ersten Strahlteiler und zumindest einen, dem ersten Strahlteiler nachgeordneten weiteren Strahlteiler umfasst, wobei der erste Strahlteiler den einfallenden Laserstrahl in zumindest 2 Teilstrahlen aufteilt und der weitere Strahlteiler in zumindest einemAlternatively or in addition to this, a beam splitter (2) can be provided such that it comprises a first beam splitter and at least one further beam splitter arranged downstream of the first beam splitter, the first beam splitter dividing the incident laser beam into at least 2 partial beams and the further beam splitter into at least one

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Strahlengang eines Teilstrahls angeordnet ist und diesen Teilstrahl beim Durchlaufen in LU102920 zumindest 2 Teilstrahlen aufteilt.Beam path of a partial beam is arranged and this partial beam divides at least 2 partial beams as it passes through LU102920.

Zur Laserinterferenzstrukturierung des Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, wird hierbei der von der Laserstrahlungsquelle emittierteFor laser interference structuring of the substrate (5), preferably flat and/or transparent substrate, the radiation emitted by the laser radiation source is used

Laserstrahl durch das Strahlteilerelement (2) in zumindest 3, bevorzugt zumindest 4Laser beam through the beam splitter element (2) in at least 3, preferably at least 4

Teilstrahlen aufgeteilt. Aus dem Stand der Technik sind lediglich Zweistrahlinterferenzen (d.h. Strukturierung mittels Interferenz von zwei Teilstrahlen) bekannt. DerartigePartial beams divided. Only two-beam interference (i.e. structuring by means of interference of two partial beams) is known from the prior art. Such

Zweistrahlinterferenzen erzeugen jedoch nur Linienstrukturen auf dem Substrat.However, two-beam interference only creates line structures on the substrate.

Im Anschluss werden die Teilstrahlen durch das Fokussierelement (4) so umgelenkt, dass sie auf der Oberfläche oder im Inneren eines Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, in einem Interferenzbereich interferieren.The partial beams are then deflected by the focusing element (4) in such a way that they interfere in an interference region on the surface or inside of a substrate (5), preferably a flat and/or transparent substrate.

Dadurch kann eine zweidimensionale, periodische Punktstruktur mit Abmessungen im Mikro- und Submikrometerbereich erzeugt werden, deren Strukturperiode durch die Verschiebung des Strahlteilerelements (2) entlang seiner optischen Achse frei einstellbar ist. Eine flachigeThis makes it possible to create a two-dimensional, periodic point structure with dimensions in the micro and submicrometer range, the structure period of which can be freely adjusted by moving the beam splitter element (2) along its optical axis. A flat one

Bearbeitung eines Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, ist môglich.Processing a substrate (5), preferably a flat and/or transparent substrate, is possible.

Weitere vorteilhafte Ausführungen sind der Beschreibung und den Unteransprüchen zu entnehmen.Further advantageous embodiments can be found in the description and the subclaims.

Allgemeine VorteileGeneral benefits

Als Vorteil der hierin definierten Vorrichtung kann genannt werden, dass durch dieseThe advantage of the device defined here is that it:

Vorrichtung und dem mit ihrer Hilfe realisierbaren Verfahren bei der Strukturierung vonDevice and the process that can be implemented with its help in structuring

Substraten, insbesondere bei der Erzeugung einer Struktur mit Antireflexionseigenschaften auf die Verwendung von Chemikalien und deren aufwändige Entsorgung verzichtet werden kann. Darüber hinaus kann somit auch auf die Aufreinigung der Substrate verzichtet werden.Substrates, especially when producing a structure with anti-reflection properties, the use of chemicals and their laborious disposal can be dispensed with. In addition, the cleaning of the substrates can be dispensed with.

Des Weiteren können eine breite Anzahl von Substraten, bevorzugt flächigen und/oder transparenten Substraten, insbesondere transparente Materialien mit der Vorrichtung bearbeitet werden. Da das Verfahren nicht vom Brechungsindex oder der Haftung bestimmter Beschichtungsmaterialien auf dem Substrat abhängig ist, ist dieses Verfahren also flexibler als herkömmliche chemische Verfahren.Furthermore, a wide number of substrates, preferably flat and/or transparent substrates, in particular transparent materials, can be processed with the device. Since the process does not depend on the refractive index or the adhesion of certain coating materials to the substrate, this process is more flexible than conventional chemical processes.

Im Vergleich zu WO 2019/166836 A1 ist die Bearbeitungszeit nach diesem Verfahren deutlich geringer, da die Periodizität der Strukturen durch die Interferenz der einfallenden, zumindest 3, vorzugsweise zumindest 4 Teilstrahlen in einem InterferenzbereichIn comparison to WO 2019/166836 A1, the processing time according to this method is significantly shorter, since the periodicity of the structures is due to the interference of the incident, at least 3, preferably at least 4 partial beams in an interference region

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung gewährleistet wird, und nicht durch zeitintensivere Selbstorganisationsprozesse zustande LU102920 kommt. Zudem ist gegenüber herkömmlichen Verfahren von Vorteil, dass die Form (strukturelle Ausgestaltung; Geometrie) der erzeugten Mikro-/Nanostrukturen kontrolliert werden kann. Durch die Anzahl der interferierenden (Teil-)Strahlen, deren Polarisation, sowie durch die Einstellung der Prozessparameter, können die Strukturen in der Geometrie gesteuert werden und dadurch die Antireflexionseigenschaften gezielt beeinflusst werden.FUBI-0002-P-LU March 31, 2022 Luxembourg patent application is guaranteed and does not come about through time-consuming self-organization processes LU102920. Another advantage over conventional methods is that the shape (structural design; geometry) of the micro/nanostructures produced can be controlled. The structures in the geometry can be controlled by the number of interfering (partial) beams, their polarization, and the setting of the process parameters, thereby specifically influencing the anti-reflection properties.

Ferner ist die Stabilität der so erzeugten periodische Punktstruktur zu erwähnen, die imFurthermore, the stability of the periodic point structure created in this way should be mentioned, which is

Vergleich zu herkömmlichen Beschichtungen beständiger ist, da sie sich nicht über die Zeit und die einsatzbedingte Materialbeanspruchung vom zu beschichtenden Substrat lösen kann.Compared to conventional coatings, it is more durable because it cannot detach from the substrate to be coated over time and the use of the material.

Wird die Strukturierung im Volumen, d.h. im Inneren des Substrats, bevorzugt flächigen und/oder transparenten Substrats, insbesondere in dem transparenten Material vorgenommen, so ist die entstandene Strukturierung (d.h. die periodische Punktstruktur des strukturierten Substrates) unempfindlicher gegen Stöße und Abrieb als herkömmlicheIf the structuring is carried out in the volume, i.e. in the interior of the substrate, preferably flat and/or transparent substrate, in particular in the transparent material, the resulting structuring (i.e. the periodic dot structure of the structured substrate) is less sensitive to impacts and abrasion than conventional ones

Beschichtungen. Die Erfinder haben herausgefunden, dass die Strukturierung (hierin auch als Texturierung bezeichnet) im Inneren des Materials (d.h. unterhalb der Oberfläche) nicht zwingend Antireflexionseigenschaften erzeugt. Die Texturierung im Inneren des Materials ist jedoch für andere Anwendungsgebiete interessant, wie Produktschutz, optischeCoatings. The inventors have discovered that structuring (also referred to herein as texturing) inside the material (i.e. below the surface) does not necessarily produce anti-reflection properties. However, the texturing inside the material is interesting for other areas of application, such as product protection, optical

Datenspeicherung, Dekoration, usw.data storage, decoration, etc.

Von besonderem Vorteil ist, dass durch den hierin offenbarten Aufbau der Vorrichtung bzw. die Anordnung der optischen Komponente Substrate mit sehr hohen Strukturierungsraten von bis zu 0,9 m#min, insbesondere im Bereich von 0,01 bis 0,9 m2?2/min, besonders bevorzugt im Bereich von 0,05 bis 0,9 m?/min, ganz besonders bevorzugt im Bereich von 0,1 bis 0,9 m?/min strukturiert werden können. Dies ist dadurch gewährleistet, dass der Bereich, in dem die zumindest drei Teilstrahlen überlagert werden, durch eine bevorzugte Auswahl optischer Elemente aufgeweitet werden kann, wodurch in einem Bearbeitungsschritt eine große Fläche bestrahlt werden kann. Im Gegensatz zu dem Fachmann bekannten Verfahren wie dem direkten Laserschreiben ist keine starke Fokussierung zum Erzeugen von hochauflösenden Merkmalen notwendig.It is particularly advantageous that the structure of the device disclosed herein or the arrangement of the optical component enables substrates with very high structuring rates of up to 0.9 m#min, in particular in the range from 0.01 to 0.9 m2?2/ min, particularly preferably in the range from 0.05 to 0.9 m?/min, very particularly preferably in the range from 0.1 to 0.9 m?/min. This is ensured by the fact that the area in which the at least three partial beams are superimposed can be expanded by a preferred selection of optical elements, whereby a large area can be irradiated in one processing step. In contrast to methods known to those skilled in the art, such as direct laser writing, no strong focusing is necessary to produce high-resolution features.

Ausführliche BeschreibungDetailed description

Die erfindungsgemäße Vorrichtung beschreibt einen Aufbau zurThe device according to the invention describes a structure for

Laserinterferenzstrukturierung von Substraten, bspw. flächigen und/oder transparentenLaser interference structuring of substrates, for example flat and/or transparent ones

Substraten zur Erzeugung eines strukturierten Substrates, umfassend eine periodischeSubstrates for producing a structured substrate, comprising a periodic

Punktstruktur im Mikro- oder Submikrometerbereich, insbesondere zur Herstellung einerDot structure in the micro- or sub-micrometer range, especially for producing a

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung sog. Antireflexionsverglasung auf dem Substrat oder im Volumen (d.h. innerhalb) dieses LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application so-called anti-reflection glazing on the substrate or in the volume (i.e. within) this LU102920

Substrates.substrates.

SubstratSubstrate

Im Sinne der Erfindung bezieht sich der Begriff Substrat auf ein Substrat dessen Oberfläche eine Ausdehnung in mehrere Raumrichtungen hat. Es kann sich bei einem Substrat, bevorzugt flächigen und/oder transparenten Substrat, um ein planares Substrat oder ein gekrümmtes Substrat, beispielsweise ein parabolisches Substrat handeln. Unter flächig ist imIn the context of the invention, the term substrate refers to a substrate whose surface extends in several spatial directions. A substrate, preferably a flat and/or transparent substrate, can be a planar substrate or a curved substrate, for example a parabolic substrate. Under flat is in

Sinne der Erfindung ferner zu verstehen, dass die Ausdehnung eines Substrats, bevorzugt flächigen und/oder transparenten Substrats, beispielsweise eines planaren Substrates in x und y Richtung, beziehungsweise die Ausdehnung eines gekrümmten Substrates entlang seines Krümmungsradius größer ist als die Ausdehnung des Bereichs, in dem die zumindest drei Teilstrahlen miteinander interferieren.For the purposes of the invention, it is further to be understood that the extent of a substrate, preferably a flat and/or transparent substrate, for example a planar substrate in the x and y directions, or the extent of a curved substrate along its radius of curvature is greater than the extent of the area in which the at least three partial beams interfere with each other.

In einer bevorzugten Ausgestaltung handelt es sich bei dem Substrat um ein Substrat, dessen Ausdehnung in x und y Richtung, beziehungsweise dessen Ausdehnung entlang eines Krümmungsradius kleiner oder gleich der Ausdehnung des Bereichs ist, in dem die zumindest drei Teilstrahlen miteinander interferieren. Eine homogene Strukturierung desIn a preferred embodiment, the substrate is a substrate whose extent in the x and y directions, or whose extent along a radius of curvature, is less than or equal to the extent of the region in which the at least three partial beams interfere with one another. A homogeneous structuring of the

Substrats ist in einem Bearbeitungsschritt (während eines Laserpulses) möglich.Substrate is possible in one processing step (during a laser pulse).

In einer besonders bevorzugten Ausgestaltung handelt es sich bei dem Substrat um ein flachiges Substrat, dessen Ausdehnung in x und y Richtung, beziehungsweise dessenIn a particularly preferred embodiment, the substrate is a flat substrate, its extension in the x and y directions, or its

Ausdehnung entlang eines Krümmungsradius größer der Ausdehnung des Bereichs ist, in dem die zumindest drei Teilstrahlen miteinander interferieren. Durch Bewegen des Substrats in der x und y Ebene ist eine flächige, homogene Strukturierung des Substrats in mehrerenExpansion along a radius of curvature is greater than the extent of the area in which the at least three partial beams interfere with one another. By moving the substrate in the x and y planes, a flat, homogeneous structuring of the substrate is achieved in several ways

Bearbeitungsschritten (mit mehreren Laserpulsen) möglich. Die Bewegung des Substrats kann hierbei durch Rotation oder Translation möglich.Processing steps (with several laser pulses) possible. The movement of the substrate can be possible through rotation or translation.

Im Sinne der Erfindung umfasst die Bezeichnung Substrat ein festes Material mit reflektierender Oberfläche. Beispiele für solche Materialien sind Metalle, Polymere,For the purposes of the invention, the term substrate includes a solid material with a reflective surface. Examples of such materials are metals, polymers,

Keramiken und Gläser.Ceramics and glasses.

Hinsichtlich der Substrate, die durch die Applizierung des erfindungsgemäßenWith regard to the substrates resulting from the application of the invention

Laserinterferenzstrukturierungsverfahrens mit einer periodischen Punktstruktur mit antireflektierenden Eigenschaften bearbeitet werden können, besteht im Rahmen der vorliegenden Erfindung eine breite Auswahlmöglichkeit an transparenten und transluzenten aber auch intransparenten Materialien.Laser interference structuring process can be processed with a periodic dot structure with anti-reflective properties, within the scope of the present invention there is a wide selection of transparent and translucent but also non-transparent materials.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

In einer besonders bevorzugten Ausführungsform umfasst das flachige Substrat ein LU102920 transparentes Material.In a particularly preferred embodiment, the flat substrate comprises a LU102920 transparent material.

Im Allgemeinen weist das transparente Material eine hohe Durchlässigkeit für sichtbaresIn general, the transparent material has a high permeability to what is visible

Licht auf, die jedoch je nach Anwendung unterschiedlich ist. Der Transmissionsgrad des transparenten Materials beträgt nicht weniger als 70%, vorzugsweise nicht weniger als 80%, noch bevorzugter nicht weniger als 90%, ohne Abweichung im Spektrum im Bereich des sichtbaren Lichts (Wellenlänge 380 nm bis 780 nm).light, but this varies depending on the application. The transmittance of the transparent material is not less than 70%, preferably not less than 80%, more preferably not less than 90%, without deviation in the spectrum in the visible light range (wavelength 380 nm to 780 nm).

Ein transparentes Material umfasst im Sinne der vorliegenden Erfindung transparenteFor the purposes of the present invention, a transparent material includes transparent

Materialien, insbesondere Glas (z.B. Borosilikatglaser, Quarzgläser, Alkali-Erdalkali-Materials, especially glass (e.g. borosilicate glass, quartz glass, alkali-alkaline earth

Silikatgläser (bspw. Kalknatronglas), Alumosilikatgläser, metallische Gläser), aber auch festeSilicate glasses (e.g. soda-lime glass), aluminosilicate glasses, metallic glasses), but also solid ones

Polymere (z.B. Polycarbonate, wie Makrolon® und Apec®; Polycarbonatblends, wiePolymers (e.g. polycarbonates, such as Makrolon® and Apec®; polycarbonate blends, such as

Makroblend® und Bayblen®; Polymethylmethacrylat, wie Plexiglas®; Polyester;Makroblend® and Bayblen®; polymethyl methacrylate, such as Plexiglas®; Polyester;

Polyethylenterephthalat, Polypropylen, Polyethylen) sowie transparente Keramiken (bspw.polyethylene terephthalate, polypropylene, polyethylene) as well as transparent ceramics (e.g.

Spinell-Keramiken, wie Mg-Al-Spinell, ALON, Aluminiumoxid, Yttriumaluminiumgranat,Spinel ceramics, such as Mg-Al spinel, ALON, aluminum oxide, yttrium aluminum garnet,

Yttriumoxid oder Zirkonoxid) oder Mischungen daraus. Polycarbonate sindYttrium oxide or zirconium oxide) or mixtures thereof. are polycarbonates

Homopolycarbonate, Copolycarbonate und thermoplastische Polyestercarbonate.Homopolycarbonates, copolycarbonates and thermoplastic polyester carbonates.

Nach einer besonders bevorzugten Ausgestaltung besteht das transparente Material aus einem Glas (wie hierin definiert) oder einem festen Polymer (wie hierin definiert).According to a particularly preferred embodiment, the transparent material consists of a glass (as defined herein) or a solid polymer (as defined herein).

Alternativ dazu kann das Substrats, bevorzugt flächigen und/oder transparenten Substrats, auch ein intransparentes Material umfassen. Durch die Strukturierung des intransparentenAlternatively, the substrate, preferably a flat and/or transparent substrate, can also comprise a non-transparent material. By structuring the non-transparent

Materials wird auf der Oberfläche des intransparenten Materials eine periodischeMaterial becomes periodic on the surface of the non-transparent material

Punktstruktur im Mikro- oder Submikrometerbereich erzeugt. Im Ergebnis kann hierdurch auf einem intransparenten Material eine Struktur mit Antireflexionseigenschaften erzeugt werden, wobei die ursprüngliche Rauheit der Oberfläche des intransparenten Substrates (d.h. vor der erfindungsgemäßen Strukturierung) im makroskopischen Bereich unverändert bzw. nahezu unverändert bleibt, wodurch effektiv eine Reflexionsverringerung einer sonst reflektiven Oberfläche eines intransparentes Material, bspw. einer Metalloberfläche induziert wird. Als intransparente Materialien eignen sich insbesondere Metalle (z.B. Silizium,Dot structure created in the micro or submicrometer range. As a result, a structure with anti-reflection properties can be created on a non-transparent material, with the original roughness of the surface of the non-transparent substrate (i.e. before the structuring according to the invention) remaining unchanged or almost unchanged in the macroscopic range, which effectively reduces reflection of an otherwise reflective surface non-transparent material, for example a metal surface, is induced. Metals (e.g. silicon,

Aluminium, Kupfer, Gold), metallische Legierungen (z.B. Stahl, Messing), keramischealuminum, copper, gold), metallic alloys (e.g. steel, brass), ceramic

Materialien (z.B. Zirkonoxid, Titandioxid, Zirkondioxid) und Polymeren (PEEK,Materials (e.g. zirconium oxide, titanium dioxide, zirconium dioxide) and polymers (PEEK,

Polyetheretherketone; polyfluorierde Kohlenwasserstoffe, wie Teflon) sowie Kombinationen davon.polyether ether ketones; polyfluorinated hydrocarbons, such as Teflon) and combinations thereof.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Punktférmige Struktur/Interferenzmuster/Antireflexionsverglasung LU102920Point structure/interference pattern/anti-reflection glazing LU102920

Von der vorliegenden Erfindung ist auch ein strukturiertes Substrat (5) mitThe present invention also includes a structured substrate (5).

Antireflexionseigenschaften umfasst, wobei das strukturierte Substrat eine periodischeAnti-reflection properties, wherein the structured substrate has a periodic

Punktstruktur im Mikro- oder Submikrometerbereich umfasst, wobei die periodischePoint structure in the micro- or sub-micrometer range, the periodic

Punktstruktur aus inversen Zapfen gebildet ist, und wobei die inversen Zapfen periodisch mit einem Abstand bezogen auf deren jeweiligen Sattelpunkt bzw. Hôhenmittelpunkt (kreisférmige Grundfläche) im Bereich von 50 nm bis 50 um zueinander angeordnet sind.Point structure is formed from inverse cones, and wherein the inverse cones are arranged periodically with a distance from one another based on their respective saddle point or height center (circular base area) in the range of 50 nm to 50 μm.

Der Begriff inverser Zapfen bezieht sich im Sinne dieser Erfindung auf Strukturen mit einer kreisfôrmigen Grundfläche, die in vertikaler Richtung kegelférmig in das Substrat zulaufen und in deren Sattelpunkt über eine abgerundete Kegelspitze verfügen. Die inversen Zapfen werden während des Strukturierungsprozesses, d.h. beim Auftreffen eines Laserpulses alsIn the context of this invention, the term inverse peg refers to structures with a circular base that taper into the substrate in a vertical direction and have a rounded cone tip at their saddle point. The inverse cones are used during the structuring process, i.e. when a laser pulse hits

Folge des Auftreffens eines Bereiches hoher Intensität in das zu strukturierende Substrat ausgebildet, wobei die Bereiche zwischen den inversen Zapfen auf bzw. innerhalb desAs a result of the impact of an area of high intensity in the substrate to be structured, the areas between the inverse pins on or within the

Substrates idealerweise durch destruktive Interferenz deren Intensität Null ist imSubstrate ideally through destructive interference whose intensity is zero

Wesentlichen Unstrukturiert verbleiben. Folglich wird durch die Fokussierung derRemain essentially unstructured. Consequently, by focusing on the

Teillaserstrahlen auf bzw. innerhalb des Substrats das Negativ von dem, was diePartial laser beams on or within the substrate are the negative of what the

Intensitätsverteilung vorgibt, ausgebildet.Intensity distribution specifies, trained.

Die Periode der Struktur wird im Sinne der Erfindung als À bezeichnet. Sie ist abhängig von der Wellenlänge der interferierenden Laserstrahlen, dem Einfallswinkel der interferierenden — Laserstrahlen und der Anzahl der interferierenden Laserstrahlen.The period of the structure is referred to as À in the sense of the invention. It depends on the wavelength of the interfering laser beams, the angle of incidence of the interfering laser beams and the number of interfering laser beams.

Ein strukturiertes Substrat mit Antireflexionseigenschaften, hier eine Antireflexionsverglasung genannt, beschreibt im Sinne der Erfindung ein Substrat, bevorzugt flachiges und/oder transparentes Substrat, mit einer periodischen Punktstruktur mit Strukturweiten im Mikro- und Submikrometerbereich, also im Bereich von 50 nm bis 50 um. Diese _Antireflexionseigenschaften kommen zustande, wenn die Abmessungen der erzeugtenA structured substrate with anti-reflection properties, here referred to as anti-reflection glazing, describes in the sense of the invention a substrate, preferably flat and/or transparent substrate, with a periodic dot structure with structure widths in the micro- and sub-micrometer range, i.e. in the range from 50 nm to 50 μm. These _anti-reflection properties come about when the dimensions of the generated

Struktur, also die Strukturperiode und Abmessung der einzelnen Zapfen, in Bereichen kleiner als die Wellenlänge des sichtbaren Lichts liegen.Structure, i.e. the structural period and dimensions of the individual cones, lie in areas smaller than the wavelength of visible light.

Unter Reflexion versteht man in der Physik das Zurückwerfen von einer elektromagnetischenIn physics, reflection is the throwing back of an electromagnetic object

Welle an einer Grenzfläche von Materialien unterschiedlichen Brechungsindexes. DerWave at an interface of materials with different refractive index. The

Reflexionswinkel und der Transmissionswinkel von Licht in transparenten Substraten können allgemein über das Snelliussche Brechungsgesetz berechnet werden zu n, sind, = n,Sin6, berechnet, wobei ny und na den Brechungsindex von Luft und dem Substrat angeben und 54 und 02 jeweils die Winkel des einfallenden und reflektierten Strahls angeben.Reflection angle and the transmission angle of light in transparent substrates can generally be calculated using Snell's law of refraction as n, are, = n,Sin6, where ny and na indicate the refractive index of air and the substrate and 54 and 02, respectively, the angles of the incident and reflected beam.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Durch die periodische Punktstruktur auf der Oberfläche oder im Volumen des Substrats, LU102920 bevorzugt flächigen und/oder transparenten Substrats, verändert sich der Brechungsindex des Substrats in der Form, dass sich ein gradueller Brechungsindex ergibt. Das hat zurDue to the periodic dot structure on the surface or in the volume of the substrate, preferably flat and/or transparent substrate, the refractive index of the substrate changes in such a way that a gradual refractive index results. That has to

Folge, dass Licht mit Wellenlängen größer der Strukturperiode A der periodischenThe consequence is that light with wavelengths greater than the structural period A of the periodic

Punktstruktur vermehrt transmittiert wird. Licht mit Wellenlängen kleiner oder gleich der periodischen Punktstruktur wird an der Oberfläche gebeugt.Point structure is increasingly transmitted. Light with wavelengths less than or equal to the periodic dot structure is diffracted at the surface.

Antireflexionseigenschaften bezeichnen im Sinne der Erfindung periodische Punktstrukturen, deren Abmessungen im Bereich der einfallenden elektromagnetischen Welle liegen, sodass die einfallende Welle derart vom Betrachter weggebeugt wird, dass keine Reflexion als „störend“ wahrgenommen wird. Zusätzlich umfasst der Begriff Antireflexionseigenschaften imFor the purposes of the invention, anti-reflection properties refer to periodic point structures whose dimensions are in the range of the incident electromagnetic wave, so that the incident wave is diffracted away from the viewer in such a way that no reflection is perceived as “disturbing”. In addition, the term includes anti-reflection properties

Sinne der Erfindung auch, dass der Brechungsindex an der Grenze zwischen dem erstenThe meaning of the invention also means that the refractive index is at the border between the first

Medium, zum Beispiel Luft, und dem Substrat, bevorzugt flächigen und/oder transparentenMedium, for example air, and the substrate, preferably flat and/or transparent

Substrat, graduell ist, sodass für die einfallende elektromagnetische Welle kein klarerSubstrate, is gradual, so there is no clear for the incident electromagnetic wave

Übergang von einem Medium zum anderen vorhanden ist und die einfallende elektromagnetische Welle vermehrt transmittiert wird.There is a transition from one medium to another and the incident electromagnetic wave is increasingly transmitted.

Im Sinne der Erfindung beschreibt ein strukturiertes Substrat mit Antireflexionseigenschaften auch ein solches Substrat, welches eine periodische Punktstruktur umfasst, wobei die periodische Punktstruktur aus sich überlagernden Strukturen besteht, wobei mindestens eineFor the purposes of the invention, a structured substrate with anti-reflection properties also describes such a substrate which comprises a periodic dot structure, the periodic dot structure consisting of superimposed structures, with at least one

Struktur Abmessungen im Submikrometerbereich aufweist, und wobei zumindest eineStructure has dimensions in the submicrometer range, and wherein at least one

Struktur aus inversen Zapfen gebildet ist, welche insbesondere durch interferierendeStructure is formed from inverse cones, which in particular interfere

Laserstrahlen erzeugbar sind.Laser beams can be generated.

Beispielsweise lässt sich periodische Punktstruktur, insbesondere die periodischeFor example, periodic point structure, especially the periodic

Punktstruktur aus sich überlagernden Strukturen bei dem Einsatz von interferierendenPoint structure made of overlapping structures when using interfering ones

Laserstrahlen durch entsprechende Ausgestaltung der Parameter (Auswahl derLaser beams through appropriate design of the parameters (selection of the

Laserstrahlungsquelle, Anordnung der optischen Elemente) an die Anforderungen der jeweiligen Anwendung optimal angepasst werden.Laser radiation source, arrangement of the optical elements) can be optimally adapted to the requirements of the respective application.

Insbesondere handelt es sich bei einer Struktur um eine periodische Punktstruktur aus inversen Zapfen mit mittleren Abmessungen im Mikrometerbereich, insbesondere mit einem mittleren Abstand bezogen auf deren jeweiligen Sattelpunkt bzw. Höhenmittelpunkt von 1 um bis 50 um. Dieser periodischen Punktstruktur ist eine weitere Struktur überlagert, wobei die mittlere Abmessung der überlagernden Struktur bevorzugt Abmessungen im Bereich derIn particular, a structure is a periodic point structure of inverse cones with average dimensions in the micrometer range, in particular with an average distance based on their respective saddle point or height center of 1 μm to 50 μm. Another structure is superimposed on this periodic point structure, with the average dimension of the superimposed structure preferably having dimensions in the range of

Laserwellenlänge A, bzw. A/2, insbesondere von 100 nm bis 1000 nm aufweist. Im Sinne derLaser wavelength A, or A/2, in particular from 100 nm to 1000 nm. In the sense of the

Erfindung wird eine solche Struktur auch als hierarchische Struktur bezeichnet.According to the invention, such a structure is also referred to as a hierarchical structure.

Insbesondere weist die sich überlagernde Struktur eine quasi-periodische Wellenstruktur auf, wobei das Material auf der Oberfläche des Substrats im Bereich der sich überlagerndenIn particular, the superimposed structure has a quasi-periodic wave structure, with the material on the surface of the substrate in the area of the superimposed

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Struktur eine Abfolge von Wellenbergen und Wellentälern aufweist, deren Periodizität im LU102920Structure has a sequence of wave crests and troughs, the periodicity of which is in LU102920

Submikrometerbereich, besonders bevorzugt im Bereich von 100 nm bis 1000 nm liegt.Submicrometer range, particularly preferably in the range from 100 nm to 1000 nm.

Die Wellenstruktur wird während des Strukturierungsprozesses, d.h. beim Auftreffen einesThe wave structure is formed during the structuring process, i.e. when a

Laserpulses in das zu strukturierende Substrat als Folge des Auftretens eines Bereiches hoher Intensität ausgebildet, wobei die Strukturierung durch einenLaser pulse is formed in the substrate to be structured as a result of the appearance of a high intensity area, the structuring being carried out by one

Selbstorganisationsprozess erfolgt, welcher durch das zumindest teilweise Aufschmelzen des Substratmaterials mittels eines Laserpulses in einem Bereich hoher Intensität angeregt wird. Insbesondere wird die Wellenstruktur unter Ausnutzen von laser-induzierten periodischen Oberflächenstrukturen (engl. Laser-induced Periodic Surface Structures —Self-organization process takes place, which is stimulated by the at least partial melting of the substrate material by means of a laser pulse in a high intensity area. In particular, the wave structure is created using laser-induced periodic surface structures.

LIPSS) erzeugt, wobei das Auftreten dieser Oberflächenstrukturen an das Erzeugen der periodischen Punktstrukturen mittels interferierender Laserstrahlen gekoppelt ist.LIPSS), whereby the appearance of these surface structures is coupled to the generation of the periodic point structures using interfering laser beams.

Vorteilhaft ist somit eine flächige Strukturierung eines Substrats, bspw. mitA flat structuring of a substrate, for example with, is therefore advantageous

Antireflexionseigenschaften durch interferierende Laserstrahlen und unter Ausnutzen von laser-induzierten periodischen Oberflächenstrukturen möglich, ohne eine langeAnti-reflection properties through interfering laser beams and using laser-induced periodic surface structures possible without a long

Bearbeitungszeit oder eine hohe Anzahl an sukzessiv ausführbaren Prozessschritten in Kauf zu nehmen. Die Erfindung ermöglicht somit ein simultanes Erzeugen von hierarchischenProcessing time or a large number of process steps that can be carried out successively must be accepted. The invention thus enables simultaneous generation of hierarchical

Strukturen, welche im technischen Bereich sowohl im Bereich von Substraten mitStructures that are used in the technical area both in the area of substrates

Antireflexionseigenschaften, als auch im Bereich von selbstreinigenden, hydrophoben oder superhydrophoben, sowie hydrophilen oder superhydrophilen Substraten mit anti-icing und/oder anti-fogging Eigenschaften einsetzbar sind.Anti-reflection properties, as well as in the area of self-cleaning, hydrophobic or superhydrophobic, as well as hydrophilic or superhydrophilic substrates with anti-icing and / or anti-fogging properties can be used.

Laserstrahlungsquelle (1)Laser radiation source (1)

Die erfindungsgemäße Vorrichtung besteht aus einer Laserstrahlungsquelle (1), die einenThe device according to the invention consists of a laser radiation source (1), which has a

Laserstrahl emittiert. Das Strahlungsprofil des emittierten Laserstrahls entspricht entweder einem Gauß-Profil, oder einem Top-Hat-Profil, besonders bevorzugt einem Top-Hat-Profil.Laser beam emitted. The radiation profile of the emitted laser beam corresponds either to a Gaussian profile or a top hat profile, particularly preferably a top hat profile.

Das Top-Hat-Profil ist hilfreich, um eine zu strukturierende Oberfläche eines Substrates homogener zu strukturieren bzw. abzudecken.The top hat profile is helpful for structuring or covering a surface of a substrate that needs to be structured more homogeneously.

In einer besonders bevorzugten Ausgestaltung handelt es sich bei der Laserstrahlungsquelle (1) um eine Quelle, die einen gepulsten Laserstrahl erzeugt. Die Pulsweite der gepulstenIn a particularly preferred embodiment, the laser radiation source (1) is a source that generates a pulsed laser beam. The pulse width of the pulsed

Laserstrahlungsquelle liegt dabei beispielsweise im Bereich von 10 Nanosekunden bis 10The laser radiation source is, for example, in the range from 10 nanoseconds to 10

Femtosekunden, insbesondere 1 bis 200 Pikosekunden, ganz besonders bevorzugt 1 bis 20Femtoseconds, in particular 1 to 200 picoseconds, most preferably 1 to 20

Pikosekunden.Picoseconds.

Mit Laserstrahl oder Teilstrahl ist, wenn nicht ausdrücklich anderes angegeben ist, kein idealisierter Strahl der geometrischen Optik gemeint, sondern ein realer Lichtstrahl, wieUnless expressly stated otherwise, the term laser beam or partial beam does not mean an idealized beam of geometric optics, but rather a real beam of light, such as

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung beispielsweise ein Laserstrahl, der keinen infinitesimal kleinen, sondern einen ausgedehnten LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application, for example, a laser beam that is not infinitesimally small, but rather an extended one LU102920

Strahlquerschnitt (Gauß-Verteilungsprofil) aufweist.Beam cross section (Gaussian distribution profile).

Mit Top-Hat-Profil oder Top-Hat-Intensitätsverteilung ist eine Intensitätsverteilung gemeint, die sich zumindest hinsichtlich einer Richtung im Wesentlichen durch eine Rechteckfunktion (rect (x)) beschreiben lässt. Dabei sind reale Intensitätsverteilungen, die Abweichungen von einer Rechteckfunktion im Prozentbereich beziehungsweise geneigte Flanken aufweisen, ebenfalls als Top-Hat-Verteilung oder Top-Hat-Profil bezeichnet. Verfahren undBy top hat profile or top hat intensity distribution is meant an intensity distribution that can be essentially described, at least with regard to one direction, by a rectangular function (rect (x)). Real intensity distributions that have deviations from a rectangular function in the percentage range or inclined edges are also referred to as top hat distribution or top hat profile. Procedure and

Vorrichtungen zur Erzeugung eines Top-Hat-Profils sind dem Fachmann bestens bekannt und bspw. in EP 2 663 892 beschrieben. Ebenso sind bereits optische Elemente zurDevices for generating a top hat profile are well known to those skilled in the art and are described, for example, in EP 2 663 892. Optical elements are also already available

Transformation des Intensitätsprofils eines Laserstrahls bekannt. Beispielsweise können mittels diffraktiven und/oder refraktiven Optiken Laserstrahlen mit gaußförmigemTransformation of the intensity profile of a laser beam is known. For example, laser beams with a Gaussian shape can be generated using diffractive and/or refractive optics

Intensitätsprofil in Laserstrahlen transformiert werden, welche in einer oder mehreren definierten Ebenen ein Top-Hat-förmiges Intensitätsprofil aufweisen, wie zum Beispiel einIntensity profile is transformed into laser beams which have a top-hat-shaped intensity profile in one or more defined levels, such as a

Gauss-to-Top Hat Focus Beam Shaper der Firma TOPAG Lasertechnik GmbH, siehe z.B.Gauss-to-Top Hat Focus Beam Shaper from TOPAG Lasertechnik GmbH, see e.g.

DE102010005774A1. Derartige Laserstrahlen mit Top-Hat-förmigem Intensitätsprofilen sind besonders attraktiv für die Lasermaterialbearbeitung, insbesondere bei der Verwendung vonDE102010005774A1. Such laser beams with top-hat-shaped intensity profiles are particularly attractive for laser material processing, especially when using

Laserpulsen, die kürzer als 50 ps sind, da mit der im Wesentlichen konstanten Energie- bzw.Laser pulses that are shorter than 50 ps, since with the essentially constant energy or

Leistungsdichte hierbei besonders gute und reproduzierbare Bearbeitungsergebnisse erzielt werden können.Power density allows particularly good and reproducible machining results to be achieved.

Die in der erfindungsgemäßen Vorrichtung enthaltene Laserstrahlungsquelle (1) kann eineThe laser radiation source (1) contained in the device according to the invention can be one

Intensität von 0,01 bis 5 J/cm?, besonders bevorzugt 0,1 bis 2 J/cm?, ganz besonders bevorzugt 0,1 bis 0,5 J/cm?. Durch die erfindungsgemäße Vorrichtung ist die Intensität derIntensity of 0.01 to 5 J/cm?, particularly preferably 0.1 to 2 J/cm?, very particularly preferably 0.1 to 0.5 J/cm?. Through the device according to the invention, the intensity of the

Laserstrahlungsquelle in einem Bereich flexibel wählbar. Der Strahldurchmesser spielt für das Erzeugen des Interferenzmusters auf dem Substrat, bevorzugt flächigen und/oder transparenten Substrat, keine Rolle. Durch die bevorzugte Anordnung der optischenLaser radiation source can be flexibly selected in one area. The beam diameter plays no role in generating the interference pattern on the substrate, preferably a flat and/or transparent substrate. Due to the preferred arrangement of the optical

Elemente im Strahlengang des Lasers ist keine Einheit zur Kontrolle der Intensität desElements in the beam path of the laser is not a unit for controlling the intensity of the

Laserstrahls notwendig.Laser beam necessary.

Als Laserstrahlungsquelle eignen sich beispielsweise UV-Laserstrahlquellen,Suitable laser radiation sources include, for example, UV laser beam sources,

Laserstrahlquellen (155 bis 355 nm), die grünes Licht (532 nm), Diodenlaser (typischerweise 800 bis 1000 nm) oder Laserstrahlquellen, die im nahen infrarot (typischerweise 1064 nm)Laser beam sources (155 to 355 nm) containing green light (532 nm), diode lasers (typically 800 to 1000 nm) or laser beam sources operating in the near infrared (typically 1064 nm)

Strahlung emittieren, insbesondere mit einer Wellenlänge im Bereich von 200 bis 650 nmEmit radiation, in particular with a wavelength in the range from 200 to 650 nm

Wellenlänge. Für die Mikroverarbeitung geeignete Laser sind dem Fachmann bekannt undWavelength. Lasers suitable for microprocessing are known to those skilled in the art

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung umfassen beispielsweise HeNe-Laser, HeAg-Laser (ca. 224 nm), NeCu-Laser (ca. 249 nm), LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application includes, for example, HeNe laser, HeAg laser (approx. 224 nm), NeCu laser (approx. 249 nm), LU102920

Nd:YAG Laser (ca. 355 nm).Nd:YAG laser (approx. 355 nm).

Optische ElementeOptical elements

Die vorliegende Erfindung umfasst eine Anzahl an optischen Elementen. Bei diesenThe present invention includes a number of optical elements. With these

Elementen handelt es sich um Prismen und Linsen.The elements are prisms and lenses.

Diese Linsen können refraktiv oder diffraktiv sein. Es können sphärische, asphärische oder zylindrische Linsen verwendet werden. In einer bevorzugten Ausgestaltung werden zylindrische Linsen verwendet. Dadurch ist es möglich, die Überlappungsbereiche derThese lenses can be refractive or diffractive. Spherical, aspherical or cylindrical lenses can be used. In a preferred embodiment, cylindrical lenses are used. This makes it possible to see the overlap areas

Teilstrahlen (hierin auch als Interferenzpixel bezeichnet) in eine Raumrichtung zu komprimieren und in eine andere zu strecken. Wenn die Linsen nicht sphärisch/asphärisch sind, sondern zylindrisch, hat dies den Vorteil, dass die Strahlen zugleich verformt werden können. Dadurch kann der Bearbeitungsspot (d.h. das auf dem Substrat erzeugteCompress partial beams (also referred to herein as interference pixels) in one spatial direction and stretch them in another. If the lenses are not spherical/aspherical but cylindrical, this has the advantage that the beams can be deformed at the same time. This allows the processing spot (i.e. the one generated on the substrate

Interferenzmuster) von einem Punkt zu einer Linie verformt werden, die dasInterference pattern) is deformed from a point to a line that

Interferenzmuster enthält. Mit ausreichender Energie des Lasers kann diese Linie bis zu 10- 15 mm lang sein (und ca. 100 um dick sein).Contains interference patterns. With sufficient energy from the laser, this line can be up to 10-15 mm long (and approximately 100 µm thick).

Des Weiteren können Spatial Light Modulators (SLM) zur Strahlformung eingesetzt werden.Spatial Light Modulators (SLM) can also be used to shape the beam.

Dem Fachmann ist die Verwendung von SLMs zur räumlichen Modulation der Phase oder der Intensität oder der Phase und Intensität eines einfallenden Lichtstrahls bekannt. DieThe use of SLMs to spatially modulate the phase or intensity or the phase and intensity of an incident light beam is known to those skilled in the art. The

Anwendung von Liquid Crystal on Silicon (LCoS)-SLM zur Strahlteilung ist in der Literatur beschrieben und auch in der erfindungsgemäßen Vorrichtung denkbar. Darüber hinaus können SLMs auch zur Fokussierung der Teilstrahlen auf dem Substrat verwendet werden.The use of Liquid Crystal on Silicon (LCoS)-SLM for beam splitting is described in the literature and is also conceivable in the device according to the invention. In addition, SLMs can also be used to focus the partial beams on the substrate.

Die Ansteuerung eines solchen SLMs kann optisch, elektronisch, oder akustisch erfolgen.Such an SLM can be controlled optically, electronically or acoustically.

Alle im Folgenden erläuterten optischen Elemente sind im Strahlengang (3) des Lasers angeordnet. Im Sinne der Erfindung bezeichnet der Strahlengang des Lasers den Verlauf sowohl des von der Laserstrahlungsquelle emittierten Laserstrahls als auch den Verlauf der durch ein Strahlteilerelement aufgeteilten Teilstrahlen. Als optische Achse desAll optical elements explained below are arranged in the beam path (3) of the laser. For the purposes of the invention, the beam path of the laser refers to the course of both the laser beam emitted by the laser radiation source and the course of the partial beams split by a beam splitter element. As the optical axis of the

Strahlengangs (3) wird jedoch die optische Achse des von der Laserstrahlungsquelle (1) emittierten Laserstrahls verstanden. Sofern nicht anders erläutert, sind alle optischenHowever, the beam path (3) is understood to mean the optical axis of the laser beam emitted by the laser radiation source (1). Unless otherwise explained, all are optical

Elemente senkrecht zur optischen Achse des Strahlengangs (3) angeordnet.Elements arranged perpendicular to the optical axis of the beam path (3).

Strahlteilerelement (2)Beam splitter element (2)

Im Strahlengang (3) des Lasers, hinter der Laserstrahlungsquelle (1), befindet sich einThere is a in the beam path (3) of the laser, behind the laser radiation source (1).

Strahlteilerelement (2). Das Strahlteilerelement (2) kann ein diffraktives oder ein refraktivesBeam splitter element (2). The beam splitter element (2) can be diffractive or refractive

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Strahlteilerelement sein. Im Sinne der Erfindung bezeichnet ein diffraktives LU102920Be beam splitter element. For the purposes of the invention, a diffractive LU102920 is referred to

Strahlteilerelement ein optisches Element, welches Mikro- oder Nanostrukturen enthält. Ein refraktives Strahlteilerelement bezeichnet im Sinne der Erfindung ein transparentes optisches Element, wie z. B. ein Prisma.Beam splitter element is an optical element that contains micro- or nanostructures. For the purposes of the invention, a refractive beam splitter element refers to a transparent optical element, such as. B. a prism.

Das Strahlteilerelement (2) teilt den emittierten Laserstrahl in zumindest 3, vorzugsweise zumindest 4, insbesondere 4 bis 8, also 4, 5, 6, 7, oder 8 Teilstrahlen auf.The beam splitter element (2) divides the emitted laser beam into at least 3, preferably at least 4, in particular 4 to 8, i.e. 4, 5, 6, 7 or 8 partial beams.

In einer weiteren Ausgestaltung teilt das Strahlteilerelement (2) den emittierten Laserstrahl in zumindest 2, vorzugsweise zumindest 3 bis 4, insbesondere 4 bis 10, also 4, 5, 6, 7, 8, 9 oder 10 Teilstrahlen auf.In a further embodiment, the beam splitter element (2) divides the emitted laser beam into at least 2, preferably at least 3 to 4, in particular 4 to 10, i.e. 4, 5, 6, 7, 8, 9 or 10 partial beams.

Das Strahlteilerelement (2) ist entlang seiner optischen Achse, insbesondere imThe beam splitter element (2) is along its optical axis, in particular in

Strahlengang des von der Laserstrahlungsquelle emittierten Laserstrahls frei beweglich. D. h., es kann entlang seiner optischen Achse auf die Laserstrahlungsquelle zu oder von ihr wegbewegt werden. Durch die Bewegung des Strahlteilerelements (2) verändert sich dieBeam path of the laser beam emitted by the laser radiation source is freely movable. That is, it can be moved toward or away from the laser radiation source along its optical axis. The movement of the beam splitter element (2) changes this

Aufweitung der zumindest 3 Teilstrahlen, sodass diese mit unterschiedlichen Abständen zueinander auf ein Fokussierelement auftreffen. Dadurch kann der Winkel ©, in dem dieExpansion of the at least 3 partial beams so that they hit a focusing element at different distances from one another. This allows the angle © at which the

Teilstrahlen auf das Substrat (5), bevorzugt flächiges und/oder transparentes Substrat, auftreffen, verändert werden. Somit ergibt sich bei einer Überlagerung von vier Teilstrahlen eine nahtlose Änderung der Strukturperiode A zuPartial beams striking the substrate (5), preferably flat and/or transparent substrate, can be changed. This results in a seamless change in the structural period A when four partial beams are superimposed

A= A /2sin0 wobei) die Wellenlänge des emittierten Laserstrahls ist.A= A /2sin0 where) is the wavelength of the emitted laser beam.

Besonders bevorzugt beträgt der Winkel ©, in dem die Teilstrahlen auf das Substrat (5), bevorzugt flächiges und/oder transparentes Substrat, auftreffen, 0,1° bis 90°.Particularly preferably, the angle © at which the partial beams impinge on the substrate (5), preferably a flat and/or transparent substrate, is 0.1° to 90°.

Der Winkel © ist ferner abhängig von den Abständen der optischen Elemente untereinander, insbesondere vom Abstand der optischen Elemente zum Strahlteilerelement, ganz besonders vom Abstand des Fokussierelements zum Strahlteilerelement. Abhängig von der gewünschten Strukturperiode, welche auf, bzw. im flächigen und/oder transparentenThe angle © is also dependent on the distances between the optical elements, in particular on the distance between the optical elements and the beam splitter element, and especially on the distance between the focusing element and the beam splitter element. Depending on the desired structural period, which is on or in the flat and/or transparent

Substrat zu erzeugen ist, kann die Position des Strahlteilerelements so eingestellt bzw. berechnet werden, dass die gewünschte Strukturperiode einstellbar ist. Dabei wird dieSubstrate is to be produced, the position of the beam splitter element can be adjusted or calculated so that the desired structure period can be set. The

Position der von der Vorrichtung umfassten optischen Elemente, insbesondere die Position des Fokussierelements derart im Verhältnis zum Strahlteilerelement berücksichtigt, dass bei einem größeren oder kleineren Abstand der optischen Elemente die Position desPosition of the optical elements comprised by the device, in particular the position of the focusing element in relation to the beam splitter element, is taken into account in such a way that if the distance between the optical elements is greater or smaller, the position of the

Strahlteilerelements entsprechend anpassbar ist.Beam splitter element can be adjusted accordingly.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Nach einer bevorzugten Ausgestaltung der Erfindung umfasst die Vorrichtung auch eine LU102920According to a preferred embodiment of the invention, the device also includes an LU102920

Messeinrichtung, insbesondere eine Messeinrichtung, die mittels eines Lasers oder eines optischen Sensors arbeitet, die zum Messen der Position des Strahlteilerelements und gegebenenfalls des Abstandes des Strahlteilerelements zu den weiteren optischenMeasuring device, in particular a measuring device that works by means of a laser or an optical sensor, which is used to measure the position of the beam splitter element and, if necessary, the distance of the beam splitter element to the further optical

Elementen, insbesondere zur Position des Fokussierelements eingerichtet ist.Elements, in particular the position of the focusing element is set up.

Ferner kann die erfindungsgemäße Vorrichtung eine mit der Meseinrichtung signaltechnisch verbundene Steuereinrichtung umfassen, die insbesondere mit einer Recheneinheit derart verbunden ist, mit der die gemessenen Position des Strahlteilerelements mit einem ersten vorbestimmten Vergleichswert vergleichbar ist, wobei die Steuereinrichtung programmtechnisch derart eingerichtet ist, dass, falls der Abstand des Strahlteilerelements zu den weiteren optischen Elementen, insbesondere zur Position des Fokussierelements größer ist als der erste vorbestimmte Vergleichswert ist, dann über die Steuereinrichtung einFurthermore, the device according to the invention can comprise a control device which is connected in terms of signals to the measuring device and which is in particular connected to a computing unit in such a way that the measured position of the beam splitter element is comparable to a first predetermined comparison value, the control device being set up in terms of programming in such a way that, if the Distance of the beam splitter element to the other optical elements, in particular to the position of the focusing element, is greater than the first predetermined comparison value, then via the control device

Steuersignal erzeugt wird, mit dem zumindest eine Position eines optischen Elements derart verändert wird, dass die gewünschte Strukturperiode auf dem Substrat erzeugt wird.Control signal is generated, with which at least one position of an optical element is changed such that the desired structure period is generated on the substrate.

In diesem Zusammenhang kann auch das Verfahren zur Herstellung eines Substrats mit einer periodischen Punktstruktur im Mikro- oder Submikrometerbereich insbesondere nachIn this context, the method for producing a substrate with a periodic dot structure in the micro- or sub-micrometer range can also be used in particular

Schritt (a) die folgenden Schritte umfassen: (i) Messen der Position des Strahlteilerelements und gegebenenfalls des Abstandes des Strahlteilerelements zu den weiteren optischen Elementen, insbesondere zurStep (a) includes the following steps: (i) measuring the position of the beam splitter element and, if necessary, the distance of the beam splitter element to the further optical elements, in particular to

Position des Fokussierelements, (ii) Vergleichen der gemessenen Position des Strahlteilerelements mit einem ersten vorbestimmten Vergleichswert, und (iii) falls die gemessenen Position des Strahlteilerelements der Abstand desPosition of the focusing element, (ii) comparing the measured position of the beam splitter element with a first predetermined comparison value, and (iii) if the measured position of the beam splitter element is the distance of the

Strahlteilerelements zu den weiteren optischen Elementen, insbesondere zurBeam splitter element to the other optical elements, in particular to

Position des Fokussierelements größer ist als der erste vorbestimmtePosition of the focusing element is greater than the first predetermined one

Vergleichswert ist: Verändern der Position des optischen Elements derart (insbesondere im Verhaltnis zu den anderen optischen Elementen), dass die gewünschte Strukturperiode auf dem Substrat erzeugt wird.Comparative value is: changing the position of the optical element in such a way (in particular in relation to the other optical elements) that the desired structure period is generated on the substrate.

Das Unterteilen des Laserstrahls im Strahlteilerelement (2) kann sowohl durch ein teilweise reflektives Strahlteilerelement, beispielsweise einen halbdurchlässigen Spiegel, als auch ein transmissives Strahlteilerelement, beispielsweise ein dichroitisches Prisma, erfolgen.The laser beam can be divided in the beam splitter element (2) both by a partially reflective beam splitter element, for example a semi-transparent mirror, and by a transmissive beam splitter element, for example a dichroic prism.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

In einer bevorzugten Ausgestaltung sind dem Strahlteilerelement (2) weitere LU102920In a preferred embodiment, the beam splitter element (2) has further LU102920

Strahlteilerelemente im Strahlengang des Lasers nachgeordnet. Diese Strahlteilerelemente sind derart angeordnet, dass sie jeden der zumindest drei Teilstrahlen in zumindest zwei weitere Teilstrahlen aufteilen. Dadurch kann eine höhere Anzahl an Teilstrahlen erzeugt werden, die auf das Substrat, bevorzugt flächige und/oder transparente Substrat, gelenkt werden, sodass sie auf der Oberfläche oder im Inneren des Substrats interferieren. Dadurch kann die Strukturperiode des Interferenzmusters eingestellt werden.Beam splitter elements arranged downstream in the beam path of the laser. These beam splitter elements are arranged in such a way that they divide each of the at least three partial beams into at least two further partial beams. This allows a higher number of partial beams to be generated, which are directed onto the substrate, preferably a flat and/or transparent substrate, so that they interfere on the surface or inside the substrate. This allows the structure period of the interference pattern to be adjusted.

Fokussierelement (4)Focusing element (4)

Des Weiteren ist im Strahlengang (3) des Lasers dem Strahlteilerelement (2) nachgeordnet ein Fokussierelement (4) angeordnet, das derart eingerichtet ist, dass es die Teilstrahlen derart durchlaufen, dass die Teilstrahlen auf der Oberfläche oder im Inneren eines zu strukturierenden Substrats (5) in einem Interferenzbereich interferieren. DasFurthermore, in the beam path (3) of the laser, a focusing element (4) is arranged downstream of the beam splitter element (2), which is set up in such a way that the partial beams pass through it in such a way that the partial beams are on the surface or inside a substrate to be structured (5 ) interfere in an interference area. The

Fokussierelement (4) fokussiert die zumindest drei Teilstrahlen in einer Raumrichtung, ohne die zumindest drei Teilstrahlen in der Raumrichtung senkrecht dazu zu fokussieren.Focusing element (4) focuses the at least three partial beams in a spatial direction without focusing the at least three partial beams in the spatial direction perpendicular thereto.

Beispielsweise kann das Fokussierelement (4) eine fokussierende optische Linse sein. Unter fokussieren versteht man im Sinne der Erfindung das Bündeln der zumindest dreiFor example, the focusing element (4) can be a focusing optical lens. For the purposes of the invention, focusing means bundling the at least three

Teilstrahlen auf der Oberfläche oder im Inneren eines Substrates, bevorzugt eines flächigen und/oder transparenten Substrates.Partial beams on the surface or inside a substrate, preferably a flat and/or transparent substrate.

Das Fokussierelement (4) kann im Strahlengang (3) frei beweglich sein. Nach einer bevorzugten Ausgestaltung der vorliegenden Erfindung ist das Fokussierelement (4) imThe focusing element (4) can be freely movable in the beam path (3). According to a preferred embodiment of the present invention, the focusing element (4) is in

Strahlengang bzw. entlang der optischen Achse fixiert.Beam path or fixed along the optical axis.

Es versteht sich, dass die hierin definierten optischen Elemente bspw. zur Strahlteilung und zur Ausrichtung der Teilstrahlen in Richtung auf ein entsprechend zu strukturierendesIt is understood that the optical elements defined here are used, for example, for beam splitting and for aligning the partial beams in the direction of a device to be structured accordingly

Substrat in einem gemeinsamen Gehäuse angeordnet sein können.Substrate can be arranged in a common housing.

In einer bevorzugten Ausgestaltung handelt es sich bei dem Fokussierelement (4) um eine sphärische Linse. Die sphärische Linse ist derart eingerichtet, dass sie die einfallenden zumindest drei Teilstrahlen derart durchlaufen, dass sie auf der Oberfläche oder im Inneren des zu strukturierenden Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, in einem Interferenzbereich interferieren. Die Weite des Interferenzbereichs beträgt vorzugsweise 1 bis 600 um, besonders bevorzugt 10 bis 400 um, ganz besonders bevorzugt 20 bis 200 um. Hierdurch kann zugleich eine hohe Strukturierungsrate, beispielsweise wie hierin definiert, eingestellt werden.In a preferred embodiment, the focusing element (4) is a spherical lens. The spherical lens is set up in such a way that the incident at least three partial beams pass through it in such a way that they interfere in an interference region on the surface or in the interior of the substrate (5) to be structured, preferably a flat and/or transparent substrate. The width of the interference region is preferably 1 to 600 μm, particularly preferably 10 to 400 μm, most preferably 20 to 200 μm. In this way, a high structuring rate, for example as defined herein, can be set at the same time.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

In einer besonders bevorzugten Ausgestaltung handelt es sich bei dem Fokussierelement (4) LU102920 um eine zylindrische Linse. Die zylindrische Linse ist derart eingerichtet, dass der Bereich, in dem sich die zumindest drei Teilstrahlen auf der Oberfläche oder im Inneren des Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, überlagern, in eine Raumrichtung gedehnt wird. Dadurch nimmt der Bereich des Substrats, auf dem das Interferenzmuster erzeugt werden kann, eine elliptische Form an. Die große Halbachse dieser Ellipse kann eine Länge von 20 um bis 15 mm erreichen. Damit vergrößert sich der in einer Bestrahlung strukturierbare Bereich.In a particularly preferred embodiment, the focusing element (4) LU102920 is a cylindrical lens. The cylindrical lens is set up in such a way that the area in which the at least three partial beams overlap on the surface or in the interior of the substrate (5), preferably flat and/or transparent substrate, is stretched in a spatial direction. As a result, the area of the substrate on which the interference pattern can be generated takes on an elliptical shape. The semimajor axis of this ellipse can reach a length of 20 μm to 15 mm. This increases the area that can be structured during irradiation.

Erstes Umlenkelement (7)First deflection element (7)

In einer besonders bevorzugten Ausgestaltung befindet sich vor dem Fokussierelement (4) und nach dem Strahlteilerelement (2) angeordnet ein Umlenkelement (7), das vorzugsweise im Strahlengang (3) des Lasers angeordnet ist. Dieses Umlenkelement (7) wird zumIn a particularly preferred embodiment, a deflection element (7), which is preferably arranged in the beam path (3) of the laser, is located in front of the focusing element (4) and after the beam splitter element (2). This deflection element (7) becomes

Aufweiten der Abstände zwischen den zumindest drei Teilstrahlen genutzt und kann damit ebenfalls den Winkel, in dem die Teilstrahlen auf das Substrat (5), bevorzugt flächiges und/oder transparentes Substrat, auftreffen, verändern. Es ist derart eingerichtet, dass es dieExpanding the distances between the at least three partial beams is used and can therefore also change the angle at which the partial beams impinge on the substrate (5), preferably a flat and/or transparent substrate. It is set up in such a way that it...

Divergenz der zumindest drei Teilstrahlen erhöht und damit den Bereich, in dem die zumindest drei Teilstrahlen interferieren, entlang der optischen Achse des Strahlengangs (3) von der Laserstrahlungsquelle (1) wegzubewegen.Divergence of the at least three partial beams is increased and thus the area in which the at least three partial beams interfere is moved away from the laser radiation source (1) along the optical axis of the beam path (3).

Unter Aufweiten der Abstände zwischen den zumindest drei Teilstrahlen wird im Sinne derBy expanding the distances between the at least three partial beams, in the sense of

Erfindung verstanden, dass sich der Winkel der jeweiligen Teilstrahlen zur optischen Achse des von der Laserstrahlungsquelle (1) emittierten Laserstrahls vergrößert.Invention understood that the angle of the respective partial beams to the optical axis of the laser beam emitted by the laser radiation source (1) increases.

Das Aufweiten und die dadurch erfolgende Umlenkung der Teilstrahlen hat den Vorteil, dass die Teilstrahlen durch das Fokussierelement (4) stärker gebündelt werden können. Somit ergibt sich eine höhere Intensität in dem Bereich, in dem die zumindest drei Teilstrahlen auf der Oberfläche oder im Inneren des Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, interferieren.The expansion and the resulting deflection of the partial beams has the advantage that the partial beams can be focused more strongly by the focusing element (4). This results in a higher intensity in the area in which the at least three partial beams interfere on the surface or in the interior of the substrate (5), preferably a flat and/or transparent substrate.

Durch die geeignete Wahl des Umlenkelements kann auf eine Einheit zur Kontrolle derThrough the appropriate choice of the deflection element, a unit can be used to control the

Intensität des Laserstrahls verzichtet werden. In einer bevorzugten Ausgestaltung derIntensity of the laser beam can be dispensed with. In a preferred embodiment of the

Vorrichtung wird ein Umlenkelement (7) verwendet, dass durch die Aufweitung der zumindest drei Teilstrahlen das Fokussieren der zumindest drei Teilstrahlen auf demIn the device, a deflection element (7) is used that, by expanding the at least three partial beams, focuses the at least three partial beams on the

Substrat (5) mittels eines Fokussierelements (4) erlaubt, wobei die Intensität derSubstrate (5) allowed by means of a focusing element (4), the intensity of which

Interferenzpunkte auf der Oberfläche oder im Inneren des Substrats, bevorzugt flächigen und/oder transparenten Substrats, ohne eine zusätzliche Einstellung der Intensität derInterference points on the surface or inside the substrate, preferably flat and/or transparent substrate, without additional adjustment of the intensity

Laserstrahlungsquelle (1) erreicht werden kann. Dies hat den Vorteil, dass zur StrukturierungLaser radiation source (1) can be reached. This has the advantage of providing structuring

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung des Substrates unter Erzeugen der periodischen Punktstruktur auch Laserstrahlungsquellen LU102920 mit niedriger Intensität (Leistung pro Fläche) genutzt werden können, wodurch die optischenFUBI-0002-P-LU March 31, 2022 Luxembourg patent application for the substrate to produce the periodic dot structure, LU102920 laser radiation sources with low intensity (power per area) can also be used, which means the optical

Elemente vor Verschleiß geschützt sind.Elements are protected from wear.

Weiteres Umlenkelement (6)Additional deflection element (6)

Des Weiteren kann vorgesehen sein, dass im Strahlengang (3) der Laserstrahlungsquelle (1) dem Strahlteilerelement (3) nachgeordnet ein weiteres Umlenkelement (6) angeordnet ist, das die Teilstrahlen derart umlenkt, dass sie nach Austritt aus dem weiterenFurthermore, it can be provided that a further deflection element (6) is arranged in the beam path (3) of the laser radiation source (1) downstream of the beam splitter element (3), which deflects the partial beams in such a way that they emerge from the further

Umlenkelement (6) im Wesentlichen parallel zueinander verlaufen. Dadurch kann dieDeflection element (6) runs essentially parallel to one another. This allows the

Vorrichtung derart eingerichtet sein, dass der Bearbeitungspunkt, also der Punkt in dem die zumindest drei Teilstrahlen auf der Oberfläche oder im Inneren des Substrats, bevorzugt flächigen und/oder transparenten Substrats, interferieren, bei Verschiebung desThe device can be set up in such a way that the processing point, i.e. the point in which the at least three partial beams on the surface or in the interior of the substrate, preferably flat and/or transparent substrate, interfere, when the

Strahlteilerelements im Strahlengang des Lasers entlang seiner optischen Achse konstant bleibt. Unter dem Begriff ,im Wesentlichen parallel“ soll im Rahmen dieser Schrift einBeam splitter element in the beam path of the laser remains constant along its optical axis. The term “essentially parallel” is used in this document to mean:

Winkelversatz von zwischen +15° und -15°, insbesondere lediglich von zwischen +10° und - 10°, ganz besonders bevorzugt von zwischen +5° und -5° zwischen den beiden Teilstrahlen, insbesondere aber natürlich kein Winkelversatz, also 0°, verstanden werden.Angular offset of between +15° and -15°, in particular only between +10° and -10°, very particularly preferably between +5° and -5° between the two partial beams, but in particular of course no angular offset, i.e. 0°, be understood.

Das weitere Umlenkelement (6) kann eine konventionelle, refraktive Linse sein. Alternativ kann das weitere Umlenkelement (6) aber auch als diffraktive Linse (z. B. Fresnel-Linse) ausgestaltet sein. Diffraktive Linsen haben den Vorteil, dass diese wesentlich dünner und leichter sind, was eine Miniaturisierung der hierin offenbarten Vorrichtung vereinfacht.The further deflection element (6) can be a conventional, refractive lens. Alternatively, the further deflection element (6) can also be designed as a diffractive lens (e.g. Fresnel lens). Diffractive lenses have the advantage of being significantly thinner and lighter, which simplifies miniaturization of the device disclosed herein.

Durch geeignete Auswahl der Brechungsindices der optischen Elemente (4), (6) und (7) können die Abstände zwischen optischen Elementen und Substrat, sowie die StrukturperiodeBy appropriately selecting the refractive indices of the optical elements (4), (6) and (7), the distances between optical elements and substrate, as well as the structure period

MA eingestellt werden. Alle optischen Elemente mit Ausnahme des Strahlteilerelements (2) können vorzugsweise innerhalb des Strahlengangs (3) des Lasers fixiert sein. Diese besonders bevorzugte Ausführungsform bietet daher den Vorteil, dass zur Anpassung desMA can be set. All optical elements with the exception of the beam splitter element (2) can preferably be fixed within the beam path (3) of the laser. This particularly preferred embodiment therefore offers the advantage that to adapt the

Interferenzbereichs oder des Interferenzwinkels lediglich ein Element, nämlich dasInterference range or the interference angle only one element, namely that

Strahlteilerelement (2), bewegt werden muss. Das erspart Arbeitsschritte bei der Einrichtung der Vorrichtung, wie Kalibrierung der Vorrichtung auf die gewünschte Strukturperiode. DesBeam splitter element (2) must be moved. This saves steps when setting up the device, such as calibrating the device to the desired structure period. Of

Weiteren beugt eine fixe Einstellung, d.h. wobei vorzugsweise alle optischen Elemente innerhalb des Strahlengangs (3) des Lasers fixiert sind, der optischen Elemente derenFurthermore, a fixed setting, i.e. preferably all optical elements are fixed within the beam path (3) of the laser, bends the optical elements

Verschleiß vor.Wear and tear.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Polarisationselement (8) LU102920Polarization element (8) LU102920

In einer weiteren Ausführungsform befindet sich hinter dem Umlenkelement, besonders bevorzugt in einem Aufbau mit zwei Umlenkelementen (6), (7) hinter dem weiterenIn a further embodiment, (7) is located behind the deflection element, particularly preferably in a structure with two deflection elements (6), behind the further one

Umlenkelement (6), und vor dem Fokussierelement (4) in jedem der Strahlengénge der zumindest 3 Teilstrahlen je ein Polarisationselement (8). Die Polarisationselemente können die Polarisation der Teilstrahlen zueinander modifizieren. Dadurch lässt sich das resultierende Interferenzmuster, das die zumindest 3 Teilstrahlen auf der Oberfläche oder imDeflecting element (6), and in front of the focusing element (4) a polarization element (8) in each of the beam paths of the at least 3 partial beams. The polarization elements can modify the polarization of the partial beams relative to one another. This allows the resulting interference pattern, which has the at least 3 partial beams on the surface or inside

Volumen eines Substrats, bevorzugt flächigen und/oder transparenten Substrats, abbilden, modifizieren.Image, modify the volume of a substrate, preferably a flat and/or transparent substrate.

Insbesondere können somit die interferierenden Teilstrahlen nicht polarisiert, linear polarisiert, zirkular polarisiert, elliptisch polarisiert, radial polarisiert oder azimutal polarisiert sein.In particular, the interfering partial beams can therefore be non-polarized, linearly polarized, circularly polarized, elliptically polarized, radially polarized or azimuthally polarized.

Optisches Element zur StrahlformungOptical element for beam shaping

In einer weiteren Ausgestaltung besitzt die Laserstrahlungsquelle (1) ein Strahlungsprofil, das einem Gauß-Profil, wie oben beschrieben, entspricht. In einer solchen Ausführungsform kann sich hinter der Laserstrahlungsquelle (1) und vor dem Strahlteilerelement (2) ein weiteres optisches Element zur Strahlformung befinden. Dieses Element dient dazu, dasIn a further embodiment, the laser radiation source (1) has a radiation profile that corresponds to a Gaussian profile, as described above. In such an embodiment, a further optical element for beam shaping can be located behind the laser radiation source (1) and in front of the beam splitter element (2). This element is used to...

Strahlungsprofil der Laserstrahlungsquelle einem Top-Hat-Profil anzugleichen.To align the radiation profile of the laser radiation source with a top hat profile.

Es kann in der erfindungsgemäßen Vorrichtung auch ein optisches Element mit einer konkav parabolisch oder planar reflektierenden Oberfläche vorgesehen sein, wobei das optischeAn optical element with a concave, parabolic or planar reflecting surface can also be provided in the device according to the invention, the optical

Element beispielsweise um mindestens eine Achse drehbar oder entlang desElement, for example, rotatable about at least one axis or along the

Strahlengangs (3) verschiebbar ausgebildet ist. Hierdurch kann gegebenenfalls auf ein zusätzliches im Strahlengang (3) positioniertes Fokussierelement (4) bzw. ein weiteresBeam path (3) is designed to be displaceable. This makes it possible, if necessary, to use an additional focusing element (4) or another one positioned in the beam path (3).

Umlenkelement (6) verzichtet werden. Beispielsweise können durch dieses optische ElementDeflection element (6) can be dispensed with. For example, this optical element

Laserstrahlen oder Teillaserstrahlen auf die Oberfläche des Fokussierelements (4) oder ein weiteres fokussierendes optisches Element gerichtet werden, bevor die Strahlen zurLaser beams or partial laser beams are directed onto the surface of the focusing element (4) or another focusing optical element before the beams are used

Ausbildung von Strukturelementen das zu strukturierende Substrat erreichen.Formation of structural elements reach the substrate to be structured.

Alternativ kann beispielsweise auch zumindest ein optisches Element mit einer konkav parabolisch oder planar reflektierenden Oberfläche vorgesehen sein, welches beispielsweise um mindestens eine Achse drehbar oder entlang des Strahlengangs (3) verschiebbar ausgebildet ist, wobei dieses optische Element dem ersten Umlenkelement (7) und dem weiteren Umlenkelement (6) im Strahlengang nachgeordnet positioniert ist. So können beispielsweise die Teilstrahlen im Strahlengang umgelenkt werden (Umlenkspiegel) oderAlternatively, for example, at least one optical element can be provided with a concave parabolic or planar reflecting surface, which is designed to be rotatable about at least one axis or displaceable along the beam path (3), for example, this optical element being the first deflection element (7) and the further Deflection element (6) is positioned downstream in the beam path. For example, the partial beams can be deflected in the beam path (deflection mirror) or

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung derart im Strahlengang fokussiert werden, dass das zu strukturierende Substrat während der LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application can be focused in the beam path in such a way that the substrate to be structured during LU102920

Bearbeitung ortsfest positioniert sein kann (sog. Fokussierspiegel bzw. Galvo-Spiegel (Laserscanner) (9)).Processing can be positioned in a fixed position (so-called focusing mirror or galvo mirror (laser scanner) (9)).

Ebenfalls denkbar ist auch eine Ausführungsform, welche einen Polygonscanner umfasst. In dieser Ausgestaltung umfasst zumindest ein optisches Element ein sich periodisch drehendes Prisma, bevorzugt ein sich periodisch drehendes Spiegelprisma, insbesondere einen Polygonspiegel oder auch Polygonrad, sowie ein dem sich periodisch drehendenAn embodiment that includes a polygon scanner is also conceivable. In this embodiment, at least one optical element comprises a periodically rotating prism, preferably a periodically rotating mirror prism, in particular a polygon mirror or polygon wheel, as well as a periodically rotating prism

Prisma im Strahlengang nachgeordnetes Fokussierelement (4). Das Fokussierelement ist derart eingerichtet, dass es die Teilstrahlen derart durchlaufen, dass die Teilstrahlen auf derFocusing element (4) arranged downstream of the prism in the beam path. The focusing element is set up in such a way that the partial beams pass through it in such a way that the partial beams are on the

Oberfläche oder im Inneren eines zu strukturierenden Substrats (5) in einemSurface or inside a substrate (5) to be structured in one

Interferenzbereich interferieren. In einer bevorzugten Ausgestaltung umfasst das optischeInterference area interfere. In a preferred embodiment, the optical includes

Element weiterhin zumindest weiteres Umlenkelement, beispielsweise ein reflektierendesElement furthermore at least another deflection element, for example a reflective one

Umlenkelement zum Umlenken der Teilstrahlen im Strahlengang. Das zumindest eine weitere Umlenkelement kann dem sich periodisch drehenden Prisma im Strahlengang vor- und/oder nachgeordnet sein. Das zumindest eine weitere Umlenkelement ist demDeflecting element for deflecting the partial beams in the beam path. The at least one further deflection element can be arranged upstream and/or downstream of the periodically rotating prism in the beam path. The at least one further deflection element is the

Fokussierelement im Strahlengang vorgeordnet.Focusing element arranged upstream in the beam path.

Ein solcher Aufbau erlaubt vorteilhaft das schnelle Abtasten einer Oberfläche einesSuch a structure advantageously allows a surface to be scanned quickly

Substrats, sodass eine hohe Strukturierungsrate von bis zu 3 m?/min, insbesondere imSubstrate, so that a high structuring rate of up to 3 m?/min, especially in the

Bereich von 0,1 bis 2 m?min, besonders bevorzugt im Bereich von 0,05 bis 1 m?min, ganz _ besonders bevorzugt im Bereich von 0,1 bis 0,9 m?min erreichbar ist. Die genaueRange from 0.1 to 2 m?min, particularly preferably in the range from 0.05 to 1 m?min, very particularly preferably in the range from 0.1 to 0.9 m?min can be achieved. The exact

Strukturierungsrate ist dabei insbesondere von der verfügbaren Laserleistung abhängig. Mit zukünftigen Technologien, welche eine höhere Laserleistung aufweisen, sind demzufolge noch höhere Strukturierungsraten erreichbar.Structuring rate depends in particular on the available laser power. With future technologies that have higher laser power, even higher structuring rates can be achieved.

Haltevorrichtung für das SubstratHolding device for the substrate

In einer weiteren Ausgestaltung ist das Substrat (5), bevorzugt flächige und/oder transparente Substrat, in der xy-Ebene beweglich. Durch Bewegung des Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, in der xy-Ebene kann eine flächigeIn a further embodiment, the substrate (5), preferably a flat and/or transparent substrate, is movable in the xy plane. By moving the substrate (5), preferably a flat and/or transparent substrate, in the xy plane, a flat

Bearbeitung mittels Laserinterferenzstrukturierung gewährleistet werden. Dabei wird inProcessing can be ensured using laser interference structuring. This is done in

Jedem Bearbeitungsschritt (d.h. Laserpuls, der auf das zu strukturierende Substrat trifft) ein sogenannter Interferenzpixel erzeugt, der eine Größe D abhängig vom Einfallswinkel und derEach processing step (i.e. laser pulse that hits the substrate to be structured) generates a so-called interference pixel, which has a size D depending on the angle of incidence and the

Intensitätsverteilung des Laserstrahls, sowie den Fokussierungseigenschaften der optischenIntensity distribution of the laser beam, as well as the focusing properties of the optical

Elemente besitzt. Der Abstand zwischen den verschiedenen Interferenzpixeln, diehas elements. The distance between the different interference pixels

Pixeldichte Pd, wird durch die Wiederholrate der Laserstrahlungsquelle (1) bestimmt. Ist diePixel density Pd is determined by the repetition rate of the laser radiation source (1). Is the

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Pixeldichte Pd kleiner als die Größe der Interferenzpixel D, so ist eine flächige, homogene LU102920Pixel density Pd is smaller than the size of the interference pixels D, so there is a flat, homogeneous LU102920

Bearbeitung môglich.Editing possible.

VerfahrenProceedings

Von der vorliegenden Erfindung ist auch ein Verfahren zur Herstellung eines Substrats, bevorzugt flächigen und/oder transparenten Substrats, mit einer periodischen Punktstruktur im Mikro- oder Submikrometerbereich mittels Laserinterferenzstrukturierung mitumfasst.The present invention also includes a method for producing a substrate, preferably a flat and/or transparent substrate, with a periodic dot structure in the micro- or sub-micrometer range by means of laser interference structuring.

Im Sinne der Erfindung umfasst das Verfahren zur Herstellung eines strukturierten Substrats, bevorzugt flächigen und/oder transparenten Substrats, mit einer periodischen Punktstruktur im Mikro- oder Submikrometerbereich mittels Laserinterferenzstrukturierung, die folgendenIn the sense of the invention, the method for producing a structured substrate, preferably a flat and/or transparent substrate, with a periodic dot structure in the micro- or sub-micrometer range by means of laser interference structuring, comprises the following

Schritte:Steps:

Es wird ein Substrat (5), bevorzugt flächiges und/oder transparentes Substrat, bereitgestellt, welches sich auf einer Haltevorrichtung befindet. Von einer Laserstrahlungsquelle (1) wird ein Laserstrahl emittiert. Der Laserstrahl wird durch ein Strahlteilerelement (2) und zumindest drei, besonders bevorzugt vier Teilstrahlen geteilt. Die Teilstrahlen treffen auf einA substrate (5), preferably a flat and/or transparent substrate, is provided, which is located on a holding device. A laser beam is emitted from a laser radiation source (1). The laser beam is divided by a beam splitter element (2) and at least three, particularly preferably four, partial beams. The partial rays arrive

Fokussierelement (4) auf, welches die zumindest drei, besonders bevorzugt vier Teilstrahlen auf der Oberfläche oder im Inneren des Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, fokussiert (bündelt), sodass die Teilstrahlen auf der Oberfläche oder im Inneren des Substrats konstruktiv und destruktiv interferieren. Somit wird eine periodische Punktstruktur im Mikro- oder Submikrometerbereich auf der Oberfläche oder imFocusing element (4), which focuses (bundles) the at least three, particularly preferably four partial beams on the surface or inside the substrate (5), preferably flat and/or transparent substrate, so that the partial beams on the surface or inside the Substrate interfere constructively and destructively. Thus, a periodic dot structure in the micro- or sub-micrometer range is created on the surface or in the

Inneren des Substrats (5), bevorzugt flächigen und/oder transparenten Substrats, durchInterior of the substrate (5), preferably flat and/or transparent substrate

Laserinterferenzbearbeitung erzeugt. Das Verfahren ist dadurch gekennzeichnet, dass die zumindest drei Teilstrahlen so überlagert werden, dass ein 2D-Muster entsteht.Laser interference processing generated. The method is characterized in that the at least three partial beams are superimposed in such a way that a 2D pattern is created.

Dabei sind die so erzeugten Punktstrukturen in Form als periodisch angeordnete, inverseThe point structures created in this way are in the form of periodically arranged, inverse ones

Zapfen ausgebildet, wobei der Abstand zwischen den Scheitelpunkt (d.h. Höhenmittelpunkt oder Zentren der Erhebungen) im statistischen Mittel im Bereich von 50 nm bis 50 um, bevorzugt im Bereich von 50 nm bis 20 um angeordnet sind, mehr bevorzugt im Bereich von 100 nm bis 1.000 nm, besonders bevorzugt im Bereich von 100 nm bis 600 nm.Cones are formed, the distance between the vertices (i.e. height center or centers of the elevations) being arranged on a statistical average in the range from 50 nm to 50 μm, preferably in the range from 50 nm to 20 μm, more preferably in the range from 100 nm to 1,000 nm, particularly preferably in the range from 100 nm to 600 nm.

Die Erfinder der vorliegenden Erfindung haben darüber hinaus herausgefunden, dass neben der Periodizität auch die Strukturtiefe (d.h. die Tiefe der inversen Zapfen, gemessen von deren Sattelpunkt der Vertiefung bis zum Scheitelpunkt) einen Einfluss auf dieThe inventors of the present invention have further discovered that, in addition to the periodicity, the structure depth (i.e. the depth of the inverse pegs, measured from their saddle point of the recess to the apex) also has an influence on the

Antireflexionseigenschaften (wie hierin definiert) haben. Beispielsweise liegt die StrukturtiefeHave anti-reflection properties (as defined herein). For example, there is structural depth

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung bzw. Profiltiefe der inversen Zapfen (Erhebungen und Vertiefungen) im statistischen Mittel im LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application or profile depth of the inverse pins (elevations and depressions) in the statistical average in LU102920

Bereich von 5 nm bis 100 nm, bevorzugt im Bereich von 5 nm bis 75 nm.Range from 5 nm to 100 nm, preferably in the range from 5 nm to 75 nm.

Nach einer bevorzugten Ausgestaltung der vorliegenden Erfindung weisen die inversenAccording to a preferred embodiment of the present invention, the inverse

Zapfen eine Strukturtiefe im Bereich von 5 nm bis 200 nm, besonders bevorzugt im Bereich von 5 nm bis 150 nm, ganz besonders bevorzugt 10 nm bis 100 nm auf.Have a structure depth in the range from 5 nm to 200 nm, particularly preferably in the range from 5 nm to 150 nm, very particularly preferably 10 nm to 100 nm.

Bevorzugt wird eine Vorrichtung zur Herstellung eines strukturierten Substrats (5), bevorzugt flachigen und/oder transparenten Substrats, genutzt, die zwei Umlenkelemente (6), (7) umfasst. Die Umlenkelemente (6), (7) sind im Strahlengang (3) des Lasers zwischen demPreference is given to using a device for producing a structured substrate (5), preferably a flat and/or transparent substrate, which comprises two deflection elements (6), (7). The deflection elements (6), (7) are in the beam path (3) of the laser between

Strahlteilerelement (2) und dem Fokussierelement (4) angeordnet. Die Umlenkelemente (6), (7) dienen zur Aufweitung des Diffraktionswinkels der zumindest drei, besonders bevorzugt vier Teilstrahlen, in dem sie auf der Oberfläche oder im Inneren des Substrats (5), bevorzugt flachigen und/oder transparenten Substrats, interferieren. Durch die Einstellung derBeam splitter element (2) and the focusing element (4) are arranged. The deflection elements (6), (7) serve to expand the diffraction angle of the at least three, particularly preferably four, partial beams by interfering on the surface or inside the substrate (5), preferably flat and/or transparent substrate. By setting the

Abstände der optischen Elemente kann gewährleistet werden, dass zur Veränderung derDistances between the optical elements can be ensured to change the

Strukturperiode lediglich das Strahlteilerelement (2) entlang seiner optischen Achse beweglich sein muss. Dies ermöglicht leichtere Einstellprozesse während der Bearbeitung.Structure period only the beam splitter element (2) has to be movable along its optical axis. This enables easier adjustment processes during machining.

In einer besonders bevorzugten Ausführungsform wird als flachiges Substrat ein transparentes Material zur Verfügung gestellt. Durch die Lichtdurchlässigkeit des transparenten Materials ist eine Laserinterferenzbearbeitung, vorzugsweise mit einerIn a particularly preferred embodiment, a transparent material is provided as a flat substrate. Due to the translucency of the transparent material, laser interference processing is possible, preferably with a

Ausführungsform der oben genannten Vorrichtung im Innern des Substrats möglich.Embodiment of the above-mentioned device inside the substrate possible.

In einer bevorzugten Ausführungsform wird eine Vorrichtung zur Herstellung eines strukturierten Substrats, bevorzugt flächigen und/oder transparenten Substrats, genutzt, die eine gepulste Laserstrahlungsquelle (1) verwendet. In einer besonders bevorzugtenIn a preferred embodiment, a device is used for producing a structured substrate, preferably a flat and/or transparent substrate, which uses a pulsed laser radiation source (1). In a particularly preferred one

Ausführungsform wird eine Vorrichtung zur Herstellung eines strukturierten Substrats, bevorzugt flächigen und/oder transparenten Substrats, genutzt, die über eineEmbodiment, a device is used for producing a structured substrate, preferably a flat and/or transparent substrate, which has a

Haltevorrichtung für das Substrat verfügt, die in der xy-Ebene, senkrecht zum Strahlengang (3) des von der Laserstrahlungsquelle (1) emittierten Laserstrahls frei beweglich ist.Holding device for the substrate, which is freely movable in the xy plane, perpendicular to the beam path (3) of the laser beam emitted by the laser radiation source (1).

Über die Frequenz der Laserstrahlungsquelle (1), f, und die Geschwindigkeit der Bewegung der Haltevorrichtung, v, lässt sich die Pixeldichte Pd, also der Abstand in der einThe pixel density Pd, i.e. the distance in the a, can be determined via the frequency of the laser radiation source (1), f, and the speed of movement of the holding device, v

Interferenzpixel mit der Weite D auf dem Substrat, bevorzugt flächigen und/oder transparenten Substrat, aufgebracht werden kann, einstellen zu: —vInterference pixels with the width D can be applied to the substrate, preferably flat and/or transparent substrate, set to: —v

Pd = IfPd = If

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Ist die Weite des Interferenzpixels, D, größer als die Pixeldichte Pd, so überlagern sich LU102920 benachbarte Interferenzpixel in einem Bereich. Dieser Bereich ist dem Fachmann alsIf the width of the interference pixel, D, is greater than the pixel density Pd, then LU102920 neighboring interference pixels overlap in one area. This area is known to the expert

Pulsüberlapp, OV, bekannt. Er kann berechnet werden zu: ov = (D — Pd) /pPulse overlap, OV, is known. It can be calculated as: ov = (D — Pd) /p

In einer bevorzugten Ausgestaltung ist bei dem Verfahren zur Herstellung eines strukturierten Substrats, bevorzugt flächigen und/oder transparenten Substrats, Pd kleiner als D. Der dadurch entstehende Pulsüberlapp OV führt zu einer Mehrfachbestrahlung desIn a preferred embodiment, in the method for producing a structured substrate, preferably a flat and/or transparent substrate, Pd is smaller than D. The resulting pulse overlap OV leads to multiple irradiation of the

Substrats, bevorzugt flächigen und/oder transparenten Substrats. Bevorzugt können so nicht-texturierte Flächen vermieden werden.Substrate, preferably flat and/or transparent substrate. In this way, non-textured surfaces can preferably be avoided.

In einer besonders bevorzugten Ausgestaltung werden bei dem Verfahren zur Herstellung eines strukturierten Substrats, bevorzugt flächigen und/oder transparenten Substrats dieselben Interferenzpixel mehrfach bestrahlt. Dadurch ist es möglich, die Tiefe der entstandenen Mikrostrukturen zu erhöhen.In a particularly preferred embodiment, in the method for producing a structured substrate, preferably a flat and/or transparent substrate, the same interference pixels are irradiated several times. This makes it possible to increase the depth of the resulting microstructures.

Der Vorteil eines durch ein solches Verfahren erzeugten strukturierten Substrats, bevorzugt flächigen und/oder transparenten Substrats ist die hohe Regelmäßigkeit der erzeugten periodischen Punktstrukturen mit Strukturabmessungen im Mikro- oderThe advantage of a structured substrate, preferably a flat and/or transparent substrate, produced by such a method is the high regularity of the periodic point structures produced with structure dimensions in the micro or

Submikrometerbereich. Eine so erzeugte periodische Punktstruktur mit Abmessungen imSubmicron range. A periodic point structure created in this way with dimensions in

Mikro- oder Submikrometerbereich hat vorzugsweise einen Variationskoeffizienten (ein Wert, der sich aus der Teilung der Standardabweichung durch den Durchschnittswert ergibt) desMicron or submicron range preferably has a coefficient of variation (a value obtained by dividing the standard deviation by the average value) of

Zapfenquerschnitts von 15% oder weniger, mehr bevorzugt 10% oder weniger, noch mehr bevorzugt 5% oder weniger.Pin cross section of 15% or less, more preferably 10% or less, even more preferably 5% or less.

Insbesondere eignet sich die Mehrfachbestrahlung eines Substrats zur Herstellung von hierarchischen Strukturen. So bewirkt die Mehrfachbestrahlung desselben Interferenzpixels ein zumindest teilweises Aufschmelzen des Substratmaterials, wobei sich während desMultiple irradiation of a substrate is particularly suitable for producing hierarchical structures. Multiple irradiation of the same interference pixel causes at least partial melting of the substrate material, with the

Strukturierungsprozesses, d.h. beim Auftreffen eines Laserpulses, als Folge des Auftretens eines Bereiches hoher Intensität eine Wellenstruktur ausbildet. Dabei bildet sich dieStructuring process, i.e. when a laser pulse hits, a wave structure forms as a result of the appearance of a high intensity area. This forms the

Strukturierung, insbesondere die Wellenstruktur durch einen Selbstorganisationsprozess aus. Insbesondere überlagert die Wellenstruktur eine periodische Punktstruktur im Mikro- oder Submikrometerbereich, welche mittels Laserinterferenzstrukturierung erzeugbar ist.Structuring, especially the wave structure, through a self-organization process. In particular, the wave structure superimposes a periodic point structure in the micro- or sub-micrometer range, which can be generated using laser interference structuring.

Somit ist mit einem Verfahrensschritt eine hierarchische Strukturierung in einem Substrat erzeugbar. Nach einer bevorzugten Ausgestaltung der Erfindung erfolgt daher dieA hierarchical structuring in a substrate can thus be created in one process step. According to a preferred embodiment of the invention, this therefore takes place

Mehrfachbestrahlung, vorzugsweise 2-fache bis 400-fache, insbesondere 20-fache bis 300- fache, besonders bevorzugt 50-fache bis 200-fache Bestrahlung desselben Interferenzpixels auf dem Substrat, wodurch eine Wellenstruktur (wie hierin definiert) ausgebildet wird,Multiple irradiation, preferably 2-fold to 400-fold, in particular 20-fold to 300-fold, particularly preferably 50-fold to 200-fold irradiation of the same interference pixel on the substrate, whereby a wave structure (as defined herein) is formed,

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung insbesondere eine periodische Punktstruktur aus sich überlagernden Strukturen ausgebildet LU102920 wird, wobei mindestens eine Struktur Abmessungen im Submikrometerbereich, insbesondere eine quasi-periodische Wellenstruktur aufweist, und wobei zumindest eineFUBI-0002-P-LU March 31, 2022 Luxembourg patent application in particular a periodic point structure is formed from superimposed structures LU102920, with at least one structure having dimensions in the submicrometer range, in particular a quasi-periodic wave structure, and with at least one

Struktur aus inversen Zapfen gebildet ist. Besonders bevorzugt ist der Zeitversatz zwischen den einzelnen Pulsen dabei im Bereich der Pulsdauer des Laserpulses, bevorzugt imStructure is formed from inverse cones. The time offset between the individual pulses is particularly preferred in the range of the pulse duration of the laser pulse, preferably in

Bereich von 1fs bis 100ns, besonders bevorzugt im Bereich von 10fs bis 1ns, ganz besonders bevorzugt im Bereich von 10fs bis 10ps.Range from 1fs to 100ns, particularly preferably in the range from 10fs to 1ns, very particularly preferably in the range from 10fs to 10ps.

Dabei bezeichnet eine hierarchische Strukturierung eine Struktur, bei welcher eine ersteA hierarchical structuring refers to a structure in which a first

Struktur mit Abmessungen im Mikro- oder Submikrometerbereich, welche einemStructure with dimensions in the micro- or sub-micrometer range, which a

Interferenzmuster entspricht, von einer weiteren Struktur überlagert ist, welcheInterference pattern corresponds to, is overlaid by another structure, which

Abmessungen aufweist, die unterhalb der Abmessungen der ersten Struktur liegen und welche durch einen Selbstorganisationsprozess ausgebildet ist. Bevorzugt befinden sich dieHas dimensions that are below the dimensions of the first structure and which is formed by a self-organization process. They are preferably located

Abmessungen der weiteren Struktur, welche durch einen Selbstorganisationsprozess ausgebildet ist, im Bereich von 1% bis 30% der Abmessungen der ersten Struktur, welche einem Interferenzmuster entspricht.Dimensions of the further structure, which is formed by a self-organization process, in the range from 1% to 30% of the dimensions of the first structure, which corresponds to an interference pattern.

Für hierarchische Strukturen gibt es zahlreiche technische Anwendungsgebiete, wie bspw. im Bereich der Herstellung von Substraten mit hydrophoben oder superhydrophoben sowie hydrophilen oder superhydrophilen Oberflächen und Substrate mit Anti-Icing, oder Anti-There are numerous technical areas of application for hierarchical structures, such as in the area of producing substrates with hydrophobic or superhydrophobic as well as hydrophilic or superhydrophilic surfaces and substrates with anti-icing or anti-icing.

Fogging Eigenschaften neben den eingangs genannten Substraten mitFogging properties in addition to the substrates mentioned at the beginning

Antireflexionseigenschaften. Vorteilhaft ermöglicht ein Verfahren zur Herstellung solcher hierarchischer Strukturen, wie hierin beschrieben, das präzise Einstellen derAnti-reflection properties. A method for producing such hierarchical structures, as described herein, advantageously enables the precise adjustment of the

Prozessparameter für die Strukturabmessungen durch eine geeignete Auswahl derProcess parameters for the structure dimensions through a suitable selection of the

Laserstrahlungsquelle und ein entsprechendes Verschieben des Strahlteilerelements imLaser radiation source and a corresponding displacement of the beam splitter element in

Strahlengang des Lasers.Laser beam path.

Zusätzlich ist es durch das hierin definierte Verfahren möglich, mittels derselben Vorrichtung und darüber hinaus im selben Prozessschritt ein Substrat mit hierarchischen Strukturen zu versehen, während herkömmliche Prozesse sukzessiv vorgehen, d.h. nicht in der Lage sind, zeitgleich welcher eine erste Struktur mit Abmessungen im Mikro- oderIn addition, the method defined herein makes it possible to provide a substrate with hierarchical structures using the same device and in the same process step, while conventional processes proceed successively, i.e. are not able to create a first structure with dimensions in the micro-scale at the same time. or

Submikrometerbereich, welche einem Interferenzmuster entspricht, und eine weitereSubmicrometer range, which corresponds to an interference pattern, and another

Struktur, welche durch einen Selbstorganisationsprozess ausgebildet ist, zu erzeugen.To create a structure that is formed through a self-organization process.

Eine Verschiebung des zu strukturierenden Substrates, bevorzugt flächigen und/oder transparenten Substrats, im Laserstrahl ist aufgrund der relativ großen dabei bewegtenA displacement of the substrate to be structured, preferably flat and/or transparent substrate, in the laser beam is possible due to the relatively large movements

Massen vergleichsweise aufwändig und langsam. Es ist daher vorteilhaft das Substrat, bevorzugt flächigen und/oder transparenten Substrats während der Bearbeitung ortsfestMass comparatively complex and slow. It is therefore advantageous for the substrate, preferably a flat and/or transparent substrate, to be stationary during processing

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung vorzusehen und die flächige Strukturierung des Substrates dadurch zu realisieren, dass die LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application and to realize the flat structuring of the substrate by using the LU102920

Fokussierung der Teilstrahlen auf die Oberfläche oder das Volumen des Substrats durchFocusing the partial beams on the surface or the volume of the substrate

Manipulation der Laserteilstrahlen mit optischen Elementen (Fokussierspiegel bzw. Galvo-Manipulation of the partial laser beams with optical elements (focusing mirrors or galvanizing

Spiegel (Laserscanner)) in Strahlrichtung bewirkt wird. Da die dabei bewegten Massen relativ klein sind, ist dies mit weit geringerem Aufwand bzw. viel schneller möglich.Mirror (laser scanner)) is effected in the beam direction. Since the masses moved are relatively small, this can be done with much less effort and much faster.

Vorzugsweise ist das Substrat während des Verfahrens ortsfest angeordnet.The substrate is preferably arranged in a stationary manner during the process.

Die flächige Strukturierung des Substrates ist selbstverständlich grundsätzlich auch durch eine Verschiebung des Substrates im Laserstrahl möglich.The surface structuring of the substrate is of course also possible in principle by displacing the substrate in the laser beam.

Durch die mittels des hierin offenbarten Verfahrens und der Vorrichtung erzeugten periodischen Strukturen im Mikro- und/oder Nanometerbereich weist das derart strukturierteThe periodic structures in the micro- and/or nanometer range generated by means of the method and device disclosed herein have such structured structures

Substrat Antireflexionseigenschaften auf. Dies wird dadurch gewährleistet, dass Licht, das auf das Substrat eintrifft, weniger reflektiert bzw. unter einem so flachen Winkel reflektiert, dass es bei normaler Betrachtung der Materialoberfläche nicht „störend“ wirkt.Substrate anti-reflection properties. This is ensured by the fact that light that hits the substrate is reflected less or is reflected at such a shallow angle that it does not appear “disturbing” when the material surface is viewed normally.

Von der Erfindung ist daher auch ein strukturiertes Substrat mit Antireflexionseigenschaften erfasst, das eine periodische Punktstruktur im Mikro- oder Submikrometerbereich umfasst, wobei die periodische Punktstruktur aus inversen Zapfen gebildet ist, wobei die inversenThe invention therefore also covers a structured substrate with anti-reflection properties, which comprises a periodic dot structure in the micro- or sub-micrometer range, the periodic dot structure being formed from inverse cones, the inverse

Zapfen periodisch mit einem Abstand bezogen auf deren Sattelpunkt bzw. Mittelpunkt imCones periodically with a distance based on their saddle point or center point

Bereich von 50 nm bis 50 um, bevorzugt im Bereich von 50 nm bis 20 um angeordnet sind, mehr bevorzugt im Bereich von 100 nm bis 1.000 nm, besonders bevorzugt im Bereich von 100 nm bis 600 nm zueinander angeordnet sind.In the range from 50 nm to 50 μm, preferably in the range from 50 nm to 20 μm, more preferably in the range from 100 nm to 1,000 nm, particularly preferably in the range from 100 nm to 600 nm, are arranged to one another.

Nach einer bevorzugten Ausgestaltung der Erfindung, wird das strukturierte Substrat durchAccording to a preferred embodiment of the invention, the structured substrate is through

Bearbeitung mit einem Verfahren, wie hierin definiert, erhalten.Processing obtained using a method as defined herein.

Die vorliegende Erfindung betrifft auch ein Verfahren zur Herstellung eines Substrats mit einer periodischen Punktstruktur im Mikro- oder Submikrometerbereich mittelsThe present invention also relates to a method for producing a substrate with a periodic dot structure in the micro- or sub-micrometer range

Laserinterferenzstrukturierung, insbesondere durch ein hierin offenbartes Verfahren, umfassend die folgenden Schritte: a) Applizieren eines ersten Pulses auf der Oberfläche eines Substrates (5), vorzugsweise durch eine Vorrichtung wie hierin definiert, der ein erstesLaser interference structuring, in particular by a method disclosed herein, comprising the following steps: a) applying a first pulse to the surface of a substrate (5), preferably by a device as defined herein, which has a first

Interferenzpixel auf der Oberfläche des Substrates (5) oder in dem Substrat (5) generiert,Interference pixels are generated on the surface of the substrate (5) or in the substrate (5),

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung b) Applizieren eines zweiten Pulses auf der Oberfläche eines Substrates (5), LU102920 vorzugsweise durch eine Vorrichtung wie hierin definiert, der ein zweitesFUBI-0002-P-LU March 31, 2022 Luxembourg patent application b) applying a second pulse to the surface of a substrate (5), LU102920, preferably by a device as defined herein, which has a second

Interferenzpixel auf Oberfläche des Substrates (5) oder in dem Substrat (5) generiert, wobei das erste und das zweite Interferenzpixel jeweils unabhängig voneinander eine periodische Punktstruktur mit Abmessungen im Mikro- und Submikrometer Bereich umfassen, dadurch gekennzeichnet, dass der Versatz zwischen dem zweiten Interferenzpixel und dem ersten Interferenzpixel im Bereich von 10% <= x < 50% der Interferenzperiode liegt.Interference pixels generated on the surface of the substrate (5) or in the substrate (5), the first and second interference pixels each independently comprising a periodic point structure with dimensions in the micro- and sub-micrometer range, characterized in that the offset between the second interference pixel and the first interference pixel is in the range of 10% <= x < 50% of the interference period.

Es versteht sich, dass die und jederIt goes without saying that the and everyone

Besonders vorteilhaft kann hierdurch auf dem Substrat, insbesondere auf einem transparenten Substrat eine Strukturierung erzeugt werden, die Anti-Glare Eigenschaften aufweist.This makes it particularly advantageous to produce a structuring on the substrate, in particular on a transparent substrate, which has anti-glare properties.

Unter Glare (Blendung) versteht man im Sinne der Erfindung die Reflexion des Lichts einerFor the purposes of the invention, glare means the reflection of light from a person

Lichtquellequelle (z. B. der Sonne) auf einem transparenten Substrat, bspw. einem Fenster oder einem Bildschirm, wodurch es schwierig werden kann, das Geschehen auf demLight source (e.g. the sun) on a transparent substrate, such as a window or screen, which can make it difficult to see what is happening on the

Bildschirm zu erkennen.detect screen.

Mit Hilfe einer Anti-Glare-Behandlung der Oberflächen (im Stand der Technik typischerweise durch Beschichtungen erzeugt) können diese Blendeffekte reduziert werden. Eine Anti-GlareThese glare effects can be reduced with the help of an anti-glare treatment of the surfaces (typically produced by coatings in the prior art). An anti-glare

Struktur streut auftreffendes Licht an der Oberfläche, so dass eine Spiegelung deutlich reduziert werden kann.Structure scatters incident light on the surface so that reflections can be significantly reduced.

Nach einer bevorzugten Ausgestaltung der Erfindung sind die Periode der Punktstruktur des ersten Interferenzpixels und die Periode des zweiten Interferenzpixels identisch.According to a preferred embodiment of the invention, the period of the dot structure of the first interference pixel and the period of the second interference pixel are identical.

Darüber hinaus kann vorgesehen sein, dass nach Schritt b) ein dritter bzw. jeder weitereIn addition, it can be provided that after step b) a third or each additional

Puls auf der Oberfläche eines Substrates (5), vorzugsweise durch eine Vorrichtung wie hierin definiert, appliziert wird, wobei das dritte bzw. jedes weitere Interferenzpixel eine periodischePulse is applied to the surface of a substrate (5), preferably by a device as defined herein, the third or each further interference pixel being a periodic one

Punktstruktur mit Abmessungen im Mikro- und Submikrometer Bereich umfassen, wobei der dritte bzw. jeder weitere Puls ein drittes bzw. weiteres Interferenzpixel auf derDot structure with dimensions in the micro- and sub-micrometer range, the third or each further pulse having a third or further interference pixel on the

Oberfläche des Substrates (5) oder in dem Substrat (5) generiert, wobei der dritte bzw.Surface of the substrate (5) or generated in the substrate (5), the third or

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung weitere Interferenzpixel im Bezug zum zweiten bzw. vorhergehenden Interferenzpixel einen LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application additional interference pixels in relation to the second or previous interference pixel a LU102920

Versatz im Bereich von 10% =< x < 50% der Interferenzperiode aufweist,has an offset in the range of 10% =< x < 50% of the interference period,

Nach einer bevorzugten Ausgestaltung wird der Versatz der Interferenzperiode von einemAccording to a preferred embodiment, the offset of the interference period is one

Interferenzpixel zum jeweils vorhergehenden Interferenzpixel in zumindest einerInterference pixel to the previous interference pixel in at least one

Raumrichtung, insbesondere in allen Raumrichtungen der Substratoberfläche durch das erfindungsgemäße Verfahren gleichmäßig ausgebildet ist.Spatial direction, in particular in all spatial directions of the substrate surface, is formed uniformly by the method according to the invention.

Strukturiertes SubstratStructured substrate

Die Erfinder haben herausgefunden, dass sich Substrate, die vornehmlich durch eine hierin offenbarte Vorrichtung oder ein hierin offenbartes Verfahren strukturiert wurden, durch ausgeprägte Antireflexionseigenschaften auszeichnen. Die vorliegende Erfindung betrifft daher auch ein strukturiertes Substrat mit Antireflexionseigenschaften, wie hierin definiert, das eine periodische Punktstruktur im Mikro- oder Submikrometerbereich umfasst, wobei die periodische Punktstruktur insbesondere aus einer inversen Zapfenstruktur (hierin auch als inverse Zapfen bezeichnet) gebildet ist, wobei die inversen Zapfen periodisch mit einemThe inventors have discovered that substrates patterned primarily by a device or method disclosed herein exhibit distinctive antireflection properties. The present invention therefore also relates to a structured substrate with anti-reflection properties, as defined herein, which comprises a periodic dot structure in the micro- or sub-micrometer range, the periodic dot structure being formed in particular from an inverse cone structure (also referred to herein as inverse cone), the inverse Cones periodically with a

Abstand bezogen auf deren Sattelpunkt bzw. Mittelpunkt im Bereich von 50 nm bis 50 um, bevorzugt im Bereich von 50 nm bis 20 um angeordnet sind, mehr bevorzugt im Bereich von 100 nm bis 1.000 nm, besonders bevorzugt im Bereich von 100 nm bis 600 nm.Distance based on their saddle point or center in the range from 50 nm to 50 μm, preferably in the range from 50 nm to 20 μm, more preferably in the range from 100 nm to 1,000 nm, particularly preferably in the range from 100 nm to 600 nm .

Die so erzeugte periodische Punktstruktur hat die Eigenschaft, dass abhängig von ihrerThe periodic point structure created in this way has the property that, depending on its

Strukturabmessung einstrahlende elektromagnetische Strahlung mit Wellenlängen imStructural dimension incoming electromagnetic radiation with wavelengths in

Bereich von 10 nm bis 1 mm vermehrt transmittiert, beziehungsweise durch die periodischenThe range from 10 nm to 1 mm is increasingly transmitted, or through the periodic

Strukturen gebeugt werden können, sodass eine verringerte Reflexion an der Oberfläche desStructures can be diffracted so that there is reduced reflection on the surface of the

Substrats resultiert. Ist die Periode der erzeugten periodischen Punktstrukturen im Bereich der Wellenlänge der einfallenden elektromagnetischen Welle, so wird diese an derSubstrate results. If the period of the periodic point structures generated is in the range of the wavelength of the incident electromagnetic wave, it will be at the

Oberfläche des Substrates gebeugt. Ist die Periode der erzeugten periodischenSurface of the substrate diffracted. Is the period of the generated periodic

Punktstruktur kleiner als die Wellenlänge der einfallenden elektromagnetischen Welle, so wird diese transmittiert.If a point structure is smaller than the wavelength of the incident electromagnetic wave, it will be transmitted.

Die periodische Punktstruktur ist dabei vorzugsweise derart ausgebildet, dass das strukturierte Substrat bei einer periodische Punktstruktur von weniger als 1.000 nm elektromagnetische Strahlung mit einer Wellenlänge von mehr als 550 nm, bevorzugt bei einer periodische Punktstruktur von weniger als 750 nm von mehr als 500 nm, ganz besonders bevorzugt bei einer periodische Punktstruktur von weniger als 600 nm von mehr als 450 nm transmittiert. In Abhängigkeit der Strukturtiefe der inversen Zapfen können somitThe periodic dot structure is preferably designed in such a way that the structured substrate receives electromagnetic radiation with a wavelength of more than 550 nm with a periodic dot structure of less than 1,000 nm, preferably more than 500 nm with a periodic dot structure of less than 750 nm particularly preferably with a periodic point structure of less than 600 nm transmitted by more than 450 nm. Depending on the structural depth of the inverse tenons,

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung insbesondere Wellenlängen im roten und/oder gelben Lichtspektrum, im grünen LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application in particular wavelengths in the red and/or yellow light spectrum, in the green LU102920

Lichtspektrum bis hin ins blaue Lichtspektrum in das Substrat transmittieren.Transmit light spectrum into the substrate up to the blue light spectrum.

Der Brechungsindex des strukturierten Substrats ist durch die erzeugte periodischeThe refractive index of the structured substrate is generated by the periodic

Punktstruktur graduell. Er nimmt über die Höhe der Struktur hinweg ab, sodass kein klarerPoint structure gradually. It decreases over the height of the structure, so no clear

Luft-Medium Übergang existiert. Dadurch kommt es zur erhöhten Transmission von einfallenden elektromagnetischen Wellen mit einer Wellenlänge größer als dieAir-medium transition exists. This results in increased transmission of incident electromagnetic waves with a wavelength greater than that

Strukturperiode der erzeugten Punktstruktur, und zur Beugung von einfallenden elektromagnetischen Wellen mit einer Wellenlänge im Bereich der Strukturperiode der erzeugten Punktstruktur.Structure period of the generated point structure, and for diffraction of incident electromagnetic waves with a wavelength in the range of the structure period of the generated point structure.

Aufgrund der erzeugbaren, sehr geringen Strukturabmessungen eignen sich die hierin offenbarte Vorrichtung und das hierin offenbarte Verfahren zur Herstellung einerDue to the very small structural dimensions that can be produced, the device disclosed herein and the method disclosed herein are suitable for producing a

Antireflexionsstruktur ebenfalls zum Erzeugen von Oberflächen mit hydrophoben und/oder superhydrophoben sowie hydrophilen und/oder superhydrophilen Eigenschaften.Anti-reflection structure also for creating surfaces with hydrophobic and/or superhydrophobic as well as hydrophilic and/or superhydrophilic properties.

Hydrophobe Eigenschaften hängen sowohl von der chemischen als auch von derHydrophobic properties depend on both the chemical and the

Oberflächenbeschaffenheit, insbesondere der Oberflachenrauigkeit, eines Substrats ab. DieSurface quality, in particular the surface roughness, of a substrate. The

Erfinder haben nun überraschend herausgefunden, dass sich durch das erfindungsgemäßeInventors have now surprisingly discovered that the invention

Verfahren insbesondere hydrophobe Substrate durch das Einbringen von Strukturierungen im Mikrometer- und Submikrometerbereich, insbesondere sich überlagernden Strukturen (wie hierin definiert) Substratoberflachen erhalten werden, die superhydrophobe und selbstreinigende Eigenschaften aufweisen. Besonders bevorzugt handelt es sich beiMethods in particular hydrophobic substrates can be obtained by introducing structures in the micrometer and submicrometer range, in particular superimposed structures (as defined herein) substrate surfaces which have superhydrophobic and self-cleaning properties. It is particularly preferred

Substraten mit superhydrophoben Eigenschaften um Substrate mit einer hierarchischenSubstrates with superhydrophobic properties to substrates with a hierarchical

Oberflachenstrukturierung. Unter einer hierarchischen Oberflächenstrukturierung ist hierin zu verstehen, dass es sich um eine Oberfläche handelt, auf der sich regelmäßige Strukturen mitSurface structuring. Hierarchical surface structuring means that it is a surface on which there are regular structures

Abmessungen im Mikrometerbereich befinden, welche wiederum ihrerseits an ihrerDimensions are in the micrometer range, which in turn are due to their

Oberfläche eine Strukturierung mit Abmessungen im Submikrometerbereich aufweisen. Eine solche hierarchische Strukturierung kann zu einer hohen Oberflächenrauigkeit führen.Surface has a structure with dimensions in the submicrometer range. Such a hierarchical structuring can lead to high surface roughness.

Die Erfinder haben zudem herausgefunden, dass sich Substrate, die vornehmlich durch eine hierin offenbarte Vorrichtung oder ein hierin offenbartes Verfahren strukturiert wurden, durch ausgeprägte hydrophobe Eigenschaften an der Oberfläche eines Substrats auszeichnen.The inventors have also discovered that substrates that have been patterned primarily by a device or method disclosed herein are characterized by pronounced hydrophobic properties on the surface of a substrate.

Mittels der hierin offenbarten Vorrichtung und des Verfahrens zur Erzeugung vonUsing the apparatus and method disclosed herein for generating

Antireflexionsstrukturen mit Abmessungen im Mikro- und Submikrometerbereich ist auch eine Strukturierung zur Erzeugung von einer Oberflächenbeschaffenheit, insbesondere einerAnti-reflection structures with dimensions in the micro- and sub-micrometer range are also a structuring for producing a surface texture, in particular a

Oberflachenrauigkeit auf der Oberfläche eines Substrats möglich, welche dazu führt, dass das Substrat hydrophobe oder superhydrophobe Eigenschaften aufweist.HydrophobeSurface roughness is possible on the surface of a substrate, which results in the substrate having hydrophobic or superhydrophobic properties.Hydrophobic

Materialeigenschaften können erzeugt werden, indem mittels der direktenMaterial properties can be created by using direct

Laserinterferenzstrukturierung eine Struktur mit Abmessungen im Mikro- und/oderLaser interference structuring is a structure with dimensions in the micro and/or

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Submikrometerbereich erzeugt wird. In einer bevorzugten Ausführung wird zunächst eine LU102920Submicrometer range is generated. In a preferred embodiment, an LU102920 is initially used

Struktur mit Abmessungen im Mikrometerbereich an der Oberfläche erzeugt. Danach wird durch Verschieben des Strahlteilerelements im Strahlengang des Lasers eine Struktur mitStructure with dimensions in the micrometer range is created on the surface. A structure is then created by moving the beam splitter element in the beam path of the laser

Abmessungen im Submikrometerbereich auf der Oberfläche der ersten Struktur generiert, wobei vorzugsweise eine Mehrfachbestrahlung des Substrats erfolgt. Die so erzeugte hierarchische Struktur hat hydrophobe oder superhydrophobe Eigenschaften.Dimensions in the submicrometer range are generated on the surface of the first structure, with multiple irradiation of the substrate preferably taking place. The hierarchical structure created in this way has hydrophobic or superhydrophobic properties.

Zum Erzeugen eines Substrates mit hydrophoben Eigenschaften ist es ebenfalls denkbar, dass lediglich eine Struktur mit Abmessungen im Mikro- oder Submikrometerbereich erzeugt wird, ohne dass das Strahlteilerelement in einem Zwischenschritt bewegt wird.To produce a substrate with hydrophobic properties, it is also conceivable that only a structure with dimensions in the micro- or sub-micrometer range is produced without the beam splitter element being moved in an intermediate step.

Vorteilhaft können somit mittels desselben Verfahrens und auf Basis derselben Vorrichtung in technisch leicht realisierbarer Art und Weise Substrate mit hydrophoben und/oder superhydrophoben Eigenschaften erzeugt werden, indem eine periodische Punktstruktur imAdvantageously, using the same method and based on the same device, substrates with hydrophobic and/or superhydrophobic properties can be produced in a technically easily realizable manner by creating a periodic dot structure in the

Mikro- oder Submikrometerbereich und/oder eine periodische Punktstruktur mit hierarchischer Struktur im Mikro- und Submikrometerbereich erzeugt wird. Durch dasMicro or submicrometer range and / or a periodic point structure with a hierarchical structure in the micro and submicrometer range is generated. By the

Verschieben des Strahlteilerelements ist eine zumindest zweifache, aber auch eine beliebigeMoving the beam splitter element is at least twofold, but also arbitrary

Anzahl an weiterer Strukturierung auf der Oberfläche des Substrates ohne weitereNumber of further structuring on the surface of the substrate without any further

Veränderung des Aufbaus, bspw. ohne den Austausch von optischen Elementen oderChanging the structure, for example without replacing optical elements or

Verschieben des Substrats, realisierbar. Dadurch ist sowohl die Präzision in der Ausrichtung der Strukturen, als auch die Geschwindigkeit des Prozesses gesteigert gegenüber herkömmlichen Verfahren oder Vorrichtungen.Moving the substrate, feasible. This increases both the precision in the alignment of the structures and the speed of the process compared to conventional methods or devices.

Die Erfinder haben einen Zusammenhang zwischen der Oberflächenbeschaffenheit einesThe inventors have a connection between the surface quality of a

Substrats und der Eisbildung an dessen Oberfläche festgestellt. Insbesondere können demgemäß sog. Anti-Icing Eigenschaften erzeugt werden, wenn die Strukturgröße an dersubstrate and ice formation on its surface. In particular, so-called anti-icing properties can be created if the structure size is at the

Oberfläche eines Substrates hinreichend klein ist. Forschungsergebnisse haben gezeigt, dass ein Substrat mit superhydrophoben Eigenschaften auch Anti-Icing Eigenschaften aufweisen kann.Surface of a substrate is sufficiently small. Research has shown that a substrate with superhydrophobic properties can also have anti-icing properties.

Unter Anti-Icing Eigenschaften ist im Sinne der Erfindung zu verstehen, dass an derFor the purposes of the invention, anti-icing properties are to be understood as meaning that on the

Oberfläche eines Substrates kein oder nur sehr wenig Wasser gefriert, wobei dieseNo or only very little water freezes on the surface of a substrate

Eigenschaft auf die Oberflächenbeschaffenheit, insbesondere die Oberflächenrauigkeit zurückzuführen ist.Property is due to the surface quality, in particular the surface roughness.

Ein solches Substrat kann vorteilhaft im Bereich der Luft- und Raumfahrt, bei Windturbinen, im Bereich von Automobilkomponenten oder auch Telekommunikations- undSuch a substrate can be advantageous in the field of aerospace, wind turbines, automotive components or even telecommunications and

Antennentechnik verwendet werden, um exponierte Bestandteile vor Vereisen zu schützen.Antenna technology can be used to protect exposed components from freezing.

Die Erfinder haben weiterhin herausgefunden, dass sich Substrate, die vornehmlich durch eine hierin offenbarte Vorrichtung oder ein hierin offenbartes Verfahren strukturiert wurden,The inventors have further discovered that substrates patterned primarily by an apparatus or method disclosed herein

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung durch ausgeprägte hydrophile Eigenschaften an der Oberfläche eines Substrats LU102920 auszeichnen. Mittels der hierin offenbarten Vorrichtung und des Verfahrens zur Erzeugung von Antireflexionsstrukturen mit Abmessungen im Mikro- und Submikrometerbereich ist auch eine Strukturierung zur Erzeugung von einer Oberflächenbeschaffenheit, insbesondere einerFUBI-0002-P-LU March 31, 2022 Luxembourg patent application characterized by pronounced hydrophilic properties on the surface of a substrate LU102920. By means of the device and the method disclosed herein for producing anti-reflection structures with dimensions in the micro- and sub-micrometer range, structuring for producing a surface texture, in particular a

Oberflächenrauigkeit auf der Oberfläche eines Substrats möglich, welche dazu führt, dass das Substrat hydrophile oder superhydrophile Eigenschaften aufweist.Surface roughness on the surface of a substrate is possible, which leads to the substrate having hydrophilic or superhydrophilic properties.

Hydrophile Materialeigenschaften kônnen erzeugt werden, indem mittels der direktenHydrophilic material properties can be created by using direct

Laserinterferenzstrukturierung eine Struktur mit Abmessungen im Mikro- und/oderLaser interference structuring is a structure with dimensions in the micro and/or

Submikrometerbereich erzeugt wird. In einer bevorzugten Ausführung wird zunächst eineSubmicrometer range is generated. In a preferred embodiment, initially one

Struktur mit Abmessungen im Mikrometerbereich an der Oberfläche erzeugt. Danach wird durch Verschieben des Strahlteilerelements im Strahlengang des Lasers eine Struktur mitStructure with dimensions in the micrometer range is created on the surface. A structure is then created by moving the beam splitter element in the beam path of the laser

Abmessungen im Submikrometerbereich auf der Oberfläche der ersten Struktur generiert, wobei vorzugsweise eine Mehrfachbestrahlung des Substrats erfolgt. Die so erzeugte hierarchische Struktur hat hydrophile oder superhydrophile Eigenschaften.Dimensions in the submicrometer range are generated on the surface of the first structure, with multiple irradiation of the substrate preferably taking place. The hierarchical structure created in this way has hydrophilic or superhydrophilic properties.

Zum Erzeugen eines Substrates mit hydrophilen Eigenschaften ist es ebenfalls denkbar, dass lediglich eine Struktur mit Abmessungen im Mikro- oder Submikrometerbereich erzeugt wird, ohne dass das Strahlteilerelement in einem Zwischenschritt bewegt wird.To produce a substrate with hydrophilic properties, it is also conceivable that only a structure with dimensions in the micro- or sub-micrometer range is produced without the beam splitter element being moved in an intermediate step.

Vorteilhaft können somit mittels desselben Verfahrens und auf Basis derselben Vorrichtung in technisch leicht realisierbarer Art und Weise Substrate mit hydrophilen und/oder — superhydrophilen Eigenschaften erzeugt werden, indem eine periodische Punktstruktur imAdvantageously, using the same method and based on the same device, substrates with hydrophilic and/or superhydrophilic properties can be produced in a technically easily realizable manner by creating a periodic dot structure in the

Mikro- oder Submikrometerbereich und/oder eine periodische Punktstruktur mit hierarchischer Struktur im Mikro- und Submikrometerbereich erzeugt wird. Durch dasMicro or submicrometer range and / or a periodic point structure with a hierarchical structure in the micro and submicrometer range is generated. By the

Verschieben des Strahlteilerelements ist eine zumindest zweifache, aber auch eine beliebigeMoving the beam splitter element is at least twofold, but also arbitrary

Anzahl an weiterer Strukturierung auf der Oberfläche des Substrates ohne weitereNumber of further structuring on the surface of the substrate without any further

Veränderung des Aufbaus, bspw. ohne den Austausch von optischen Elementen oderChanging the structure, for example without replacing optical elements or

Verschieben des Substrats, realisierbar. Dadurch ist sowohl die Präzision in der Ausrichtung der Strukturen, als auch die Geschwindigkeit des Prozesses gesteigert gegenüber herkömmlichen Verfahren oder Vorrichtungen.Moving the substrate, feasible. This increases both the precision in the alignment of the structures and the speed of the process compared to conventional methods or devices.

Die Erfinder haben einen Zusammenhang zwischen der Oberflächenbeschaffenheit einesThe inventors have a connection between the surface quality of a

Substrats und der Bildung von Kondenswasser, insbesondere in Form von Beschlag oderSubstrate and the formation of condensation, especially in the form of fog or

Nebel, an dessen Oberfläche festgestellt. Insbesondere können demgemäß sog. Anti-Fog, detected on the surface. In particular, so-called anti-

Fogging Eigenschaften erzeugt werden, wenn die Strukturgröße an der Oberfläche einesFogging properties are created when the feature size on the surface of a

Substrates hinreichend klein ist. Forschungsergebnisse haben gezeigt, dass ein Substrat mit superhydrophilen Eigenschaften auch Anti-Fogging Eigenschaften aufweisen kann.Substrate is sufficiently small. Research has shown that a substrate with superhydrophilic properties can also have anti-fogging properties.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Unter Anti-Fogging Eigenschaften ist im Sinne der Erfindung zu verstehen, dass an der LU102920For the purposes of the invention, anti-fogging properties are to be understood as meaning that on the LU102920

Oberfläche eines Substrates kein oder nur sehr wenig Wasser in Form von Tropfen kondensiert, wobei diese Eigenschaft auf die Oberflachenbeschaffenheit, insbesondere dieOn the surface of a substrate, no or very little water condenses in the form of drops, and this property depends on the surface condition, in particular

Oberflachenrauigkeit zurückzuführen ist.due to surface roughness.

Ein solches Substrat kann vorteilhaft im Bereich der Luft- und Raumfahrt, im Bereich vonSuch a substrate can be advantageous in the field of aerospace, in the field of

Automobilkomponenten oder auch Telekommunikations- und Antennentechnik verwendet werden, um exponierte Bestandteile vor dem Beschlagen zu schützen.Automotive components or telecommunications and antenna technology are used to protect exposed components from fogging.

In einer Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, das eine periodischeIn one embodiment of the invention, the method and apparatus disclosed herein are suitable for producing a substrate having a periodic

Punktstruktur im Mikro- oder Submikrometerbereich, welche mittelsPoint structure in the micro- or sub-micrometer range, which is achieved by means of

Laserinterferenzstrukturierung erzeugt wurde, umfasst und welches sich durchLaser interference structuring was created, includes and which is caused by

Antireflexionseigenschaften auszeichnet. Im Sinne der Erfindung beziehen sichanti-reflection properties. In the sense of the invention refer

Antireflexionseigenschaften hierin auf das vermehrte Transmittieren, bzw. Beugen von einfallender elektromagnetischer Strahlung mit Wellenlängen im Bereich des sichtbarenAnti-reflection properties here refer to the increased transmission or diffraction of incident electromagnetic radiation with wavelengths in the visible range

Lichts, insbesondere mit Wellenlängen im Bereich von 400 nm bis 700 nm. Dabei zeichnet sich das Substrat dadurch aus, dass die von ihm umfasste periodische Punktstruktur vorzugsweise Abmessungen im Submikrometerbereich, besonders bevorzugt imLight, in particular with wavelengths in the range from 400 nm to 700 nm. The substrate is characterized in that the periodic point structure it comprises preferably has dimensions in the submicrometer range, particularly preferably in the

Nanometerbereich aufweist. Ganz besonders bevorzugt sind die Abmessungen der periodischen Punktstruktur im Bereich der Wellenlänge von elektromagnetischer Strahlung im Bereich des sichtbaren Lichts. So sind die Abmessungen der periodischen Punktstruktur bevorzugt im Bereich von 630 nm bis 700 nm zum Transmittieren, bzw. Beugen von rotemNanometer range. The dimensions of the periodic point structure are particularly preferred in the range of the wavelength of electromagnetic radiation in the range of visible light. The dimensions of the periodic dot structure are preferably in the range from 630 nm to 700 nm for transmitting or diffracting red

Licht, im Bereich von 590 nm bis 630 nm zum Transmittieren, bzw. Beugen von rotem und orangenem Licht, im Bereich von 560 nm bis 590 nm zum Transmittieren, bzw. Beugen von rotem, orangenem und gelben Licht, im Bereich von 500 nm bis 560 nm zum Transmittieren, bzw. Beugen von rotem, orangenem, gelbem und grünem Licht, im Bereich von 475 nm bis 500 nm zum Transmittieren, bzw. Beugen von rotem, orangenem, gelbem, grünem und türkisem Licht, im Bereich von 450 nm bis 475 nm zum Transmittieren, bzw. Beugen von rotem, orangenem, gelbem, grünem, türkisem und blauem Licht, im Bereich von 425 nm bis 450 nm zum Transmittieren, bzw. Beugen von rotem, orangenem, gelbem, grünem, türkisem, blauem und indigofarbenem Licht, im Bereich von 400 nm bis 425 nm zumLight, in the range from 590 nm to 630 nm for transmitting or diffracting red and orange light, in the range from 560 nm to 590 nm for transmitting or diffracting red, orange and yellow light, in the range from 500 nm to 560 nm for transmitting or bending red, orange, yellow and green light, in the range from 475 nm to 500 nm for transmitting or bending red, orange, yellow, green and turquoise light, in the range from 450 nm to 475 nm for transmitting or diffracting red, orange, yellow, green, turquoise and blue light, in the range from 425 nm to 450 nm for transmitting or diffracting red, orange, yellow, green, turquoise, blue and indigo Light, in the range from 400 nm to 425 nm

Transmittieren, bzw. Beugen von rotem, orangenem, gelbem, grünem, türkisem, blauem, indigofarbenem und violettem Licht. Somit lassen sich durch das Verändern derTransmitting or bending red, orange, yellow, green, turquoise, blue, indigo and violet light. Thus, by changing the

Abmessungen der periodischen Punktstruktur die Antireflexionseigenschaften des Substrats kontrollieren.Dimensions of the periodic dot structure control the anti-reflection properties of the substrate.

In einer Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, das eine periodischeIn one embodiment of the invention, the method and apparatus disclosed herein are suitable for producing a substrate having a periodic

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Punktstruktur im Mikro- oder Submikrometerbereich, welche mittels LU102920Point structure in the micro- or sub-micrometer range, which is determined using LU102920

Laserinterferenzstrukturierung erzeugt wurde, umfasst und welches sich durchLaser interference structuring was created, includes and which is caused by

Antireflexionseigenschaften auszeichnet. Im Sinne der Erfindung beziehen sichanti-reflection properties. In the sense of the invention refer

Antireflexionseigenschaften hierin auf das vermehrte Transmittieren, bzw. Beugen von einfallender elektromagnetischer Strahlung mit Wellenlängen im Bereich des nicht sichtbarenAnti-reflection properties here refer to the increased transmission or diffraction of incident electromagnetic radiation with wavelengths in the invisible range

Lichts, insbesondere im Bereich von Infrarotstrahlung, bzw. Wärmestrahlung, insbesondere mit Wellenlängen im Bereich von 780 nm bis 1 mm. Dabei zeichnet sich das Substrat dadurch aus, dass die von ihm umfasste periodische Punktstruktur vorzugsweiseLight, especially in the range of infrared radiation, or thermal radiation, especially with wavelengths in the range from 780 nm to 1 mm. The substrate is characterized in that the periodic dot structure it encompasses is preferably

Abmessungen im Mikrometerbereich aufweist. Vorteilhaft ist so die Wärmetransmission desHas dimensions in the micrometer range. The heat transmission is advantageous

Substrats durch Verändern der Abmessungen der periodischen Punktstruktur anpassbar.Substrate can be adjusted by changing the dimensions of the periodic dot structure.

In einer Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, das eine periodischeIn one embodiment of the invention, the method and apparatus disclosed herein are suitable for producing a substrate having a periodic

Punktstruktur im Mikro- oder Submikrometerbereich, welche mittelsPoint structure in the micro- or sub-micrometer range, which is achieved by means of

Laserinterferenzstrukturierung erzeugt wurde, umfasst und welches sich durchLaser interference structuring was created, includes and which is caused by

Antireflexionseigenschaften auszeichnet. Im Sinne der Erfindung beziehen sichanti-reflection properties. In the sense of the invention refer

Antireflexionseigenschaften hierin auf das vermehrte Transmittieren, bzw. Beugen von einfallender elektromagnetischer Strahlung mit Wellenlängen im Bereich des nicht sichtbarenAnti-reflection properties here refer to the increased transmission or diffraction of incident electromagnetic radiation with wavelengths in the invisible range

Lichts, insbesondere im Bereich von Ultraviolettstrahlung (IV-Strahlung), insbesondere mitLight, especially in the range of ultraviolet radiation (IV radiation), especially with

Wellenlängen im Bereich von 100 nm bis 380 nm. Dabei zeichnet sich das Substrat dadurch aus, dass die von ihm umfasste periodische Punktstruktur vorzugsweise Abmessungen imWavelengths in the range from 100 nm to 380 nm. The substrate is characterized in that the periodic dot structure it comprises preferably has dimensions in

Nanometerbereich aufweist. Vorteilhaft ist ein so strukturiertes Substrat in Bereichen einsetzbar, in denen ein Schutz vor UV-Strahlung notwendig ist.Nanometer range. A substrate structured in this way can advantageously be used in areas where protection from UV radiation is necessary.

In einer weiteren Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, welches hierarchischeIn a further embodiment of the invention, the method disclosed herein and the device disclosed herein are suitable for producing a substrate which is hierarchical

Strukturen, welche mittels Laserinterferenzstrukturierung durch eine Mehrfachbestrahlung desselben Interferenzpixels erzeugt wurden, aufweist, welche sich durch hydrophobe oder superhydrophobe Eigenschaften auszeichnen. Dabei sind die hydrophoben oder superhydrophoben Eigenschaften darauf zurückzuführen, dass Strukturen mit Abmessungen im Mikro- oder Submikrometerbereich, insbesondere hierarchische Strukturen mitStructures which were generated by means of laser interference structuring by multiple irradiation of the same interference pixel, which are characterized by hydrophobic or superhydrophobic properties. The hydrophobic or superhydrophobic properties are due to the fact that structures with dimensions in the micro- or sub-micrometer range, in particular hierarchical structures

Abmessungen im Mikro- und Submikrometerbereich den Benetzungswinkel vonDimensions in the micro and submicrometer range the wetting angle of

Flüssigkeiten auf Substraten derart verändern, dass dieser größer wird. Durch einen größeren Benetzungswinkel ergibt sich, dass auf die Oberfläche auftreffende Flüssigkeiten diese nicht gut benetzen und stattdessen von dieser abperlen. Ein so behandeltes Substrat weist selbstreinigende und wasserabweisende Eigenschaften auf. Besonders geeigneteLiquids on substrates change in such a way that it becomes larger. A larger wetting angle means that liquids hitting the surface do not wet it well and instead roll off it. A substrate treated in this way has self-cleaning and water-repellent properties. Particularly suitable

Materialien für ein solches strukturiertes Substrat sind solche Materialien, welche bereits hydrophobe Eigenschaften aufweisen, z.B. Metall- oder Polymeroberflächen.Materials for such a structured substrate are materials that already have hydrophobic properties, e.g. metal or polymer surfaces.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

In einer weiteren Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren LU102920 und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, welches hierarchischeIn a further embodiment of the invention, the method LU102920 disclosed herein and the device disclosed herein are suitable for producing a substrate which is hierarchical

Strukturen, welche mittels Laserinterferenzstrukturierung durch eine Mehrfachbestrahlung desselben Interferenzpixels erzeugt wurden, aufweist, welche sich durch Anti-lcing, also demStructures which were created using laser interference structuring by multiple irradiation of the same interference pixel, which are characterized by anti-lcing, i.e. the

Bilden von Eisschichten vorbeugende, Eigenschaften auszeichnen. Die Anti-IcingFormation of ice layers prevents properties. The anti-icing

Eigenschaften beruhen dabei darauf, dass Strukturen mit Abmessungen im Mikro- oderProperties are based on the fact that structures with dimensions in the micro or

Submikrometerbereich, insbesondere hierarchische Strukturen mit Abmessungen im Mikro- und Submikrometerbereich den Benetzungswinkel von Flüssigkeiten auf Substraten derart verändern, dass dieser größer wird. Das strukturierte Substrat weist somit hydrophobe oder superhydrophobe Eigenschaften auf. Durch einen größeren Benetzungswinkel ergibt sich, dass auf die Oberfläche auftreffende Flüssigkeiten diese nicht gut benetzen und stattdessen von dieser abperlen. Somit wird auch das Ablagern von Eisschichten auf der Oberfläche erschwert. Besonders geeignete Materialien für ein solches strukturiertes Substrat sind solche Materialien, welche bereits hydrophobe Eigenschaften aufweisen, z.B. Metall- oderSubmicrometer range, in particular hierarchical structures with dimensions in the micro and submicrometer range, change the wetting angle of liquids on substrates in such a way that it becomes larger. The structured substrate thus has hydrophobic or superhydrophobic properties. A larger wetting angle means that liquids hitting the surface do not wet it well and instead roll off it. This also makes it more difficult for ice layers to deposit on the surface. Particularly suitable materials for such a structured substrate are materials that already have hydrophobic properties, for example metal or

Polymeroberflachen.polymer surfaces.

In einer weiteren Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, welches hierarchischeIn a further embodiment of the invention, the method disclosed herein and the device disclosed herein are suitable for producing a substrate which is hierarchical

Strukturen, welche mittels Laserinterferenzstrukturierung durch eine Mehrfachbestrahlung desselben Interferenzpixels erzeugt wurden, aufweist, welche sich durch hydrophile oder — superhydrophile Eigenschaften auszeichnen. Dabei sind die hydrophilen oder superhydrophilen Eigenschaften darauf zurückzuführen, dass Strukturen mit Abmessungen im Mikro- oder Submikrometerbereich, insbesondere hierarchische Strukturen mitStructures which were generated by means of laser interference structuring by multiple irradiation of the same interference pixel, which are characterized by hydrophilic or superhydrophilic properties. The hydrophilic or superhydrophilic properties are due to the fact that structures with dimensions in the micro- or sub-micrometer range, in particular hierarchical structures

Abmessungen im Mikro- und Submikrometerbereich den Benetzungswinkel vonDimensions in the micro and submicrometer range the wetting angle of

Flüssigkeiten auf Substraten derart verändern, dass dieser kleiner wird. Durch einen kleineren Benetzungswinkel ergibt sich, dass auf die Oberfläche auftreffende Flüssigkeiten diese sehr gut benetzen und es zu keiner Tropfenbildung kommt. Stattdessen wird ein uniformes Benetzen herbeigeführt, welches die Transparenz des Substrates nicht beeinträchtigt. Besonders geeignete Materialien für ein solches strukturiertes Substrat sind solche Materialien, welche bereits hydrophile Eigenschaften aufweisen, z.B. _Glasoberflächen.Change liquids on substrates in such a way that it becomes smaller. A smaller wetting angle means that liquids hitting the surface wet it very well and no droplets form. Instead, uniform wetting is achieved, which does not impair the transparency of the substrate. Particularly suitable materials for such a structured substrate are materials that already have hydrophilic properties, e.g. glass surfaces.

In einer weiteren Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, welches hierarchischeIn a further embodiment of the invention, the method disclosed herein and the device disclosed herein are suitable for producing a substrate which is hierarchical

Strukturen, welche mittels Laserinterferenzstrukturierung durch eine Mehrfachbestrahlung desselben Interferenzpixels erzeugt wurden, aufweist, welche sich durch Anti-Fogging, also nicht-beschlagende Eigenschaften auszeichnen. Die Anti-Fogging Eigenschaften beruhen dabei darauf, dass Strukturen mit Abmessungen im Mikro- oder Submikrometerbereich, insbesondere hierarchische Strukturen mit Abmessungen im Mikro- undStructures which were generated by means of laser interference structuring by multiple irradiation of the same interference pixel, which are characterized by anti-fogging, i.e. non-fogging properties. The anti-fogging properties are based on the fact that structures with dimensions in the micro- or sub-micrometer range, in particular hierarchical structures with dimensions in the micro- and sub-micrometer range

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Submikrometerbereich den Benetzungswinkel von Flüssigkeiten auf Substraten derart LU102920 verändern, dass dieser kleiner wird. Das strukturierte Substrat weist somit hydrophile oder superhydrophile Eigenschaften auf. Durch einen kleineren Benetzungswinkel ergibt sich, dass auf die Oberfläche auftreffende Flüssigkeiten diese sehr gut benetzen und es zu keinerIn the submicrometer range, the wetting angle of liquids on substrates can be changed in such a way that it becomes smaller. The structured substrate thus has hydrophilic or superhydrophilic properties. A smaller wetting angle means that liquids hitting the surface wet it very well and there is no

Tropfenbildung kommt. Stattdessen wird ein uniformes Benetzen herbeigeführt, welches dieDrop formation occurs. Instead, a uniform wetting is brought about, which

Transparenz des Substrates nicht beeinträchtigt. Besonders geeignete Materialien für ein solches strukturiertes Substrat sind solche Materialien, welche bereits hydrophileTransparency of the substrate is not affected. Particularly suitable materials for such a structured substrate are materials that are already hydrophilic

Eigenschaften aufweisen, z.B. Glasoberflächen. Ein so strukturiertes Substrat kann vorteilhaft im Bereich der Automobil-, Luft- und Raumfahrttechnik, aber auch allgemein beihave properties, e.g. glass surfaces. A substrate structured in this way can be advantageous in the areas of automotive, aerospace technology, but also in general

Verglasungen in der Gebäudetechnik zum Einsatz kommen.Glazing is used in building technology.

In einer Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, das eine periodischeIn one embodiment of the invention, the method and apparatus disclosed herein are suitable for producing a substrate having a periodic

Punktstruktur im Mikro- oder Submikrometerbereich, welche mittelsPoint structure in the micro- or sub-micrometer range, which is achieved by means of

Laserinterferenzstrukturierung erzeugt wurde, umfasst, welches eine erhöhteLaser interference structuring was created, which includes an increased

Oberflachenrauigkeit aufweist. Dabei basiert die erhöhte Oberflächenrauigkeit darauf, dass die Oberflächentextur durch die in das Substrat eingebrachte periodische Punktstruktur imHas surface roughness. The increased surface roughness is based on the fact that the surface texture is caused by the periodic dot structure introduced into the substrate

Mikro- oder Submikrometerbereich verändert ist, insbesondere darauf, dass die Oberfläche des Substrats durch die eingebrachte periodische Punktstruktur Erhöhungen undMicro or submicrometer range is changed, in particular that the surface of the substrate increases and increases due to the introduced periodic dot structure

Vertiefungen aufweist. Insbesondere kann eine erhöhte Oberflächenrauigkeit erzielt werden, indem ein Substrat mittels des hierin beschriebenen Verfahrens und der hierin beschriebenen Vorrichtung mit hierarchischen Strukturen mit Abmessungen im Mikro- undHas depressions. In particular, increased surface roughness can be achieved by forming a substrate using the method and device described herein with hierarchical structures having dimensions in the micro- and

Submikrometer Bereich mittels Laserinterferenzstrukturierung durch eineSubmicrometer range using laser interference structuring through a

Mehrfachbestrahlung desselben Interferenzpixels strukturiert wird. Ein so bearbeitetesMultiple irradiation of the same interference pixel is structured. One edited like this

Substrat ist vorteilhaft im Bereich der Fertigung einsetzbar, um bspw. die Haftreibung und/oder Gleitreibung zwischen technischen Komponenten zu erhöhen, oder in derSubstrate can be used advantageously in the area of manufacturing, for example to increase the static friction and/or sliding friction between technical components, or in the

Medizintechnik, um die Adhäsion von Zellen auf einer Fremdoberfläche zu erhöhen.Medical technology to increase the adhesion of cells to a foreign surface.

In einer Ausgestaltung der Erfindung eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates, das eine periodischeIn one embodiment of the invention, the method and apparatus disclosed herein are suitable for producing a substrate having a periodic

Punktstruktur im Mikro- oder Submikrometerbereich, welche mittelsPoint structure in the micro- or sub-micrometer range, which is achieved by means of

Laserinterferenzstrukturierung erzeugt wurde, umfasst, welches eine erhöhte Oberfläche imLaser interference structuring was created, which has an increased surface in the

Vergleich zu einem unstrukturierten Substrat mit gleichen Außenabmessungen aufweist.Compared to an unstructured substrate with the same external dimensions.

Dabei trägt die periodische Punktstruktur im Mikro- oder Submikrometerbereich dazu bei, dass sich die Oberfläche des Substrats proportional zu der Dichte der Interferenzbereiche pro Interferenzpixel erhöht. Insbesondere kann eine erhöhte Oberfläche im Vergleich zu einem unstrukturierten Substrat mit gleichen Außenabmessungen erzielt werden, indem einThe periodic dot structure in the micro- or sub-micrometer range contributes to the surface of the substrate increasing in proportion to the density of the interference regions per interference pixel. In particular, an increased surface can be achieved compared to an unstructured substrate with the same external dimensions by using a

Substrat mittels des hierin beschriebenen Verfahrens und der hierin beschriebenenSubstrate using the method and methods described herein

Vorrichtung mit hierarchischen Strukturen mit Abmessungen im Mikro- und SubmikrometerDevice with hierarchical structures with dimensions in micron and submicron

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Bereich mittels Laserinterferenzstrukturierung durch eine Mehrfachbestrahlung desselben LU102920Area using laser interference structuring through multiple irradiation of the same LU102920

Interferenzpixels strukturiert wird. Ein so bearbeitetes Substrat ist vorteilhaft in technischenInterference pixels are structured. A substrate processed in this way is advantageous in technical applications

Bereichen mit einem Anspruch an einen hohen Warmetransport einsetzbar, da durch die erhöhte Oberfläche im Vergleich zu einem unstrukturierten Substrat mit gleichen _ AuBenabmessungen eine größere Kapazität zum VVärmetausch bereitgestellt wird. DesCan be used in areas that require a high level of heat transport, as the increased surface provides a greater capacity for heat exchange compared to an unstructured substrate with the same external dimensions. Of

Weiteren ist ein so bearbeitetes Substrat im Bereich der elektrischen Verbindungstechnik zum Reduzieren von Kontaktwiderständen einsetzbar, da durch die erhöhte Oberfläche imFurthermore, a substrate processed in this way can be used in the field of electrical connection technology to reduce contact resistance, since the increased surface in the

Vergleich zu einem unstrukturierten Substrat mit gleichen AuBenabmessungen mehrCompared to an unstructured substrate with the same external dimensions

Kontaktpunkte zwischen zu kontaktierenden Materialien herstellbar sind. Außerdem ist ein so strukturiertes Substrat im Bereich der Batterietechnik einsetzbar, insbesondere zumContact points between materials to be contacted can be produced. In addition, a substrate structured in this way can be used in the field of battery technology, in particular for

Strukturieren der Anode und Kathode, da durch die erhöhte Oberfläche im Vergleich zu einem unstrukturierten Substrat mit gleichen AuBRenabmessungen mehr Kapazität zumStructuring the anode and cathode, as the increased surface area provides more capacity compared to an unstructured substrate with the same external dimensions

Austausch von Ladungsträgern zwischen dem Metall der Elektroden besteht.There is an exchange of charge carriers between the metal of the electrodes.

Weiterhin eignet sich das hierin offenbarte Verfahren und die hierin offenbarte Vorrichtung zum Erzeugen eines Substrates umfassend eine periodische Punktstruktur mitFurthermore, the method disclosed herein and the device disclosed herein are suitable for producing a substrate comprising a periodic dot structure

Abmessungen im Mikro- oder Submikrometerbereich, welches antibakterielle (antiseptische)Dimensions in the micro- or sub-micrometer range, which provides antibacterial (antiseptic)

Eigenschaften aufweist. In einer bevorzugten Ausgestaltung weist die periodischeHas properties. In a preferred embodiment, the periodic

Punktstruktur dabei Abmessungen auf, welche deutlich größer sind, zumindest 10% bis 30% größer sind, als die sich auf ihr ablagernden Bakterien. Dadurch werden die sich auf derThe point structure has dimensions that are significantly larger, at least 10% to 30% larger, than the bacteria deposited on it. This will make them on the

Oberfläche ablagernden Bakterien isoliert und somit unschädlich gemacht. In einer besonders bevorzugten Ausgestaltung weist die periodische Punktstruktur dabeiBacteria depositing on the surface are isolated and thus rendered harmless. In a particularly preferred embodiment, the periodic point structure has

Abmessungen auf, welche deutlich kleiner sind, zumindest 10% bis 30% kleiner sind, als die sich auf ihr ablagernden Bakterien. Dadurch ist ein Anhaften der Bakterien auf derDimensions that are significantly smaller, at least 10% to 30% smaller, than the bacteria deposited on it. This prevents the bacteria from sticking to the surface

Oberfläche nicht möglich und die Oberfläche wird somit steril gehalten.Surface is not possible and the surface is therefore kept sterile.

Ein durch das hierin offenbartes Verfahren und die hierin offenbarte Vorrichtung erzeugtes strukturiertes Substrat eignet sich darüber hinaus zum weiteren bearbeiten mittels eines weiteren Beschichtungsprozesses, wobei das Substrat eine physikalische und/oder chemische Beschichtung erhalten kann. Durch eine solche Beschichtung können dieA structured substrate produced by the method and device disclosed herein is also suitable for further processing by means of a further coating process, wherein the substrate can receive a physical and/or chemical coating. Such a coating allows them to...

Eigenschaften des strukturierten Substrats, beispielsweise die Antireflexionseigenschaften und/oder hydrophile und/oder hydrophobe Eigenschaften verstärkt werden. Denkbar ist dasProperties of the structured substrate, for example the anti-reflection properties and/or hydrophilic and/or hydrophobic properties, are enhanced. That is conceivable

Aufbringen einer chemischen Sprühbeschichtung und/oder das Aufbringen einerApplying a chemical spray coating and/or applying a

Beschichtung mittels chemical vapor deposition und/oder Sputtern.Coating using chemical vapor deposition and/or sputtering.

Nach einer bevorzugten Ausgestaltung der vorliegenden Erfindung umfasst das strukturierteAccording to a preferred embodiment of the present invention, this includes structured

Substrat eine Punktstruktur, die aus einem ersten und einem zweiten Interferenzpixel gebildet sind, wobei das erste und das zweite Interferenzpixel jeweils unabhängigSubstrate is a dot structure formed from a first and a second interference pixel, the first and second interference pixels each being independent

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung voneinander eine periodische Punktstruktur mit Abmessungen im Mikro- und Submikrometer LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application for a periodic dot structure with dimensions in the micro and submicrometer LU102920

Bereich umfassen, wobei das erste Interferenzpixel und das zweite Interferenzpixel so zueinander überlagert angeordnet sind, dass der Versatz zwischen dem zweitenInclude area, wherein the first interference pixel and the second interference pixel are arranged superimposed on one another in such a way that the offset between the second

Interferenzpixel und dem ersten Interferenzpixel im Bereich von 10% < x < 50%, insbesondere im Bereich von 20% =< x < 50%, besonders bevorzugt im Bereich von 25% = x < 45% der Interferenzperiode liegt. Besonders vorteilhaft kann hierdurch insbesondere mit den hierin definierten Abmessungen der Punktstrukturen (unabhängig von deren Anwendungsbereich) auf dem Substrat, insbesondere auf einem transparentenInterference pixel and the first interference pixel in the range of 10% < x < 50%, in particular in the range of 20% = < x < 50%, particularly preferably in the range of 25% = x < 45% of the interference period. This can be particularly advantageous in particular with the dimensions of the point structures defined herein (regardless of their area of application) on the substrate, in particular on a transparent one

Substrat eine Strukturierung erzeugt werden, die Anti-Glare Eigenschaften aufweist.Substrate a structuring can be created that has anti-glare properties.

Es hat sich gezeigt, dass ein derart strukturiertes Substrat (mit Strukturweiten wie hierin definiert) Anti-Glare Eigenschaften aufweist.It has been shown that a substrate structured in this way (with structure sizes as defined herein) has anti-glare properties.

Nach einer bevorzugten Ausgestaltung der Erfindung ist die periodische Punktstruktur derart ausgebildet, dass zumindest ein drittes bzw. jeweils an das vorhergehende Interferenzpixel anschlieBendes Interferenzpixel so zu dem ersten und dem zweiten bzw. zu dem jeweils vorhergehenden Interferenzpixel überlagert angeordnet ist, wobei der Versatz zwischen dem dritten bzw. jedem weiteren Interferenzpixel und dem zweiten bzw. dem jeweils vorhergehenden Interferenzpixel im Bereich von 10% <= x < 50%, insbesondere im Bereich von 20% =< x < 50%, besonders bevorzugt im Bereich von 25% =< x < 45% derAccording to a preferred embodiment of the invention, the periodic dot structure is designed in such a way that at least a third interference pixel or one that follows the previous interference pixel is arranged superimposed on the first and second or on the previous interference pixel, the offset between the third or each further interference pixel and the second or the previous interference pixel in the range of 10% <= x <50%, in particular in the range of 20% =< x <50%, particularly preferably in the range of 25% =< x < 45% of

Interferenzperiode liegt.Interference period lies.

Nach einer bevorzugten Ausgestaltung ist der Versatz der Interferenzperiode von einemAccording to a preferred embodiment, the offset of the interference period is one

Interferenzpixel zum jeweils vorhergehenden Interferenzpixel in zumindest einerInterference pixel to the previous interference pixel in at least one

Raumrichtung, insbesondere in allen Raumrichtungen der Substratoberfläche gleichmäßig ausgebildet.Spatial direction, in particular uniformly formed in all spatial directions of the substrate surface.

Verwendung des strukturierten SubstratesUse of the structured substrate

Das hierin definierte strukturierte Substrat mit Antireflexionseigenschaften findet beispielsweise Anwendung bei Photovoltaikanlagen, wobei durch die Einbringung antireflektierender Eigenschaften die Effizienz dieser Photovoltaikanlagen wesentlich erhöht werden kann. Eine große Herausforderung im Bereich der Photovoltaikanlagen liegt bei den großen Verlusten aufgrund der Reflexion der Sonnenstrahlen. Im Schnitt verursachenThe structured substrate with anti-reflection properties defined here is used, for example, in photovoltaic systems, whereby the efficiency of these photovoltaic systems can be significantly increased by introducing anti-reflective properties. A major challenge in the area of photovoltaic systems is the large losses due to the reflection of the sun's rays. Cause on average

Reflexionen 40 % Energie-/Leistungsverluste pro Anlage. Der Wirkungsgrad vonReflections 40% energy/power losses per system. The efficiency of

Photovoltaikanlagen muss entsprechend stetig verbessert werden. Einer der vielversprechendsten Ansätze ist die Reduktion von Reflexion mit Hilfe vonPhotovoltaic systems must be continually improved accordingly. One of the most promising approaches is the reduction of reflection using

Antireflexbeschichtungen und/oder Texturierungen der Oberfläche. Der Einsatz des hierinAnti-reflective coatings and/or texturing of the surface. The use of the herein

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung offenbarten Verfahrens vereinfacht, beschleunigt und verbessert die Behandlung der LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application simplifies, accelerates and improves the treatment of LU102920

Oberflächen.Surfaces.

Es ist darüber hinaus bekannt, dass Monitore und Bildschirme oft an festen Orten platziert sind und somit anfällig für ungünstige Lichteinfälle sind, die zu Sichtproblemen desIt is also known that monitors and screens are often placed in fixed locations and are therefore susceptible to unfavorable lighting, which can lead to visibility problems

Betrachters führen. Zwar existieren grundsätzlich Möglichkeiten, diesen Effekt zu minimieren, allerdings finden diese Ansätze keine breite Anwendung, da sie eher dieviewer. Although there are fundamental ways to minimize this effect, these approaches are not widely used because they tend to...

Symptome lindern, als das Problem konkret zu lösen. Das hierin definierte strukturierteRelieving symptoms rather than specifically solving the problem. The structured defined herein

Substrat mit Antireflexionseigenschaften eignet sich beispielsweise ideal zur Aufbringung bzw. Einbindung im Darstellungsbereich bspw. in Form als Antireflexionsverglasung vonSubstrate with anti-reflection properties is, for example, ideal for application or integration in the display area, for example in the form of anti-reflection glazing

Monitoren, Bildschirmen und Displays.Monitors, screens and displays.

Ein weiteres Anwendungsgebiet eröffnet sich im Bereich der Entspiegelung innerhalb vonAnother area of application opens up in the area of anti-reflective coating

Glasfasern, was für höhere Übertragungsraten sorgt und die Rückreflexionen minimiert. Das hierin offenbarte Verfahren eignet sich daher ideal zur Strukturierung von Glasfasern, so dass die derart strukturierte Glasfaser ein weiteres Anwendungsbeispiel für ein hierin definiertes strukturierte Substrat mit Antireflexionseigenschaften bietet. Von der vorliegendenGlass fibers, which ensure higher transmission rates and minimize back reflections. The method disclosed herein is therefore ideal for structuring glass fibers, so that the glass fiber structured in this way offers a further application example for a structured substrate with anti-reflection properties as defined herein. From the present one

Erfindung ist daher auch die Verwendung eines hierin definierten strukturierten Substrats alsThe invention therefore also includes the use of a structured substrate as defined herein

Komponente von Glasfasern mit umfasst.Component of glass fibers included.

Darüber hinaus haben die Erfinder herausgefunden, dass sich das hierin definierte Verfahren zur Strukturierung von Fensterscheiben (als ein weiteres Beispiel für eineIn addition, the inventors have discovered that the method for structuring window panes defined herein (as another example of a

Antireflexionsverglasung) eignet. So können die hierin offenbarten strukturierten Substrate beispielsweise in Form einer Antireflexionsverglasung oder als Folierung aufanti-reflection glazing). For example, the structured substrates disclosed herein can be in the form of anti-reflection glazing or as a foil

Häuserfassaden, bevorzugt flächigen und/oder transparenten Substraten, als wärmeisolierende Verglasungen verwendet werden, die beispielsweise zum Schutz vor gebündelter Sonneneinstrahlung durch gekrümmte Häuserfassaden und zur besserenHouse facades, preferably flat and/or transparent substrates, are used as heat-insulating glazing, which, for example, protects against concentrated solar radiation through curved house facades and for better

Wärmedämmung von Gebäuden verwendet werden können.Thermal insulation of buildings can be used.

Darüber hinaus kann eine Reduktion der Reflexion bei Mikroskopen und Teleskopen denIn addition, a reduction in reflection from microscopes and telescopes can help

Kontrast der damit aufgezeichneten Bilder erhöhen, wodurch die Effizienz und der Einsatz dieser optischen Geräte erhöht werden. Von der vorliegenden Erfindung ist daher auch dieIncrease the contrast of the images recorded with it, thereby increasing the efficiency and use of these optical devices. This is therefore also part of the present invention

Verwendung eines hierin definierten strukturierten Substrats als optisches Element mit periodischen Punktstruktur im Mikro- oder Submikrometerbereich in optischen Geräten, wie bspw. Mikroskopen und Teleskopen mit umfasst, für die die Strahlführung, Strahlformung,Use of a structured substrate defined herein as an optical element with a periodic point structure in the micro- or sub-micrometer range in optical devices, such as microscopes and telescopes, for which the beam guidance, beam shaping,

Strahlbündelung und/oder Strahlfokussierung wesentlich sind.Beam bundling and/or beam focusing are essential.

Es ist ebenfalls zweckdienlich, dass hierin definierte strukturierte Substrat als Negativform (sog. Master) bspw. innerhalb eines Prägeprozesses zur indirekten Aufbringung oderIt is also useful for the structured substrate defined herein to be used as a negative form (so-called master), for example within an embossing process for indirect application or

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Erzeugung von Strukturen auf einem anderen Substrat zu verwenden. Beispielsweise ist LU102920 dies bei Rolle-zu-Rolle-Prozessen relevant, bei denen mit Hilfe eines Heiß- oder UV-To create structures on a different substrate. For example, LU102920 this is relevant for roll-to-roll processes where using a hot or UV

Prägeprozesses Strukturen von einem sog. Master (meist Metall wie z.B. Nickel) auf eineEmbossing process structures from a so-called master (usually metal such as nickel) to one

Polymerfolie (z.B. PET) im Endlosverfahren übertragen werden. Somit können auf anderenPolymer film (e.g. PET) can be transferred in a continuous process. So can on others

Substraten die inversen Strukturen im Hochdurchsatz als periodische Punktstrukturen imSubstrates the inverse structures in high throughput as periodic point structures in

Mikro- oder Submikrometerbereich erzeugt werden.Micro or submicrometer range can be generated.

Die erfindungsgemäße Vorrichtung und das erfindungsgemäße Verfahren bieten außerdem die Möglichkeit, ohne großen technischen Auswand ein Substrat flächig mit hydrophoben oder superhydrophoben zu erzeugen. Ein derart strukturiertes Substrat hat ein breitesThe device according to the invention and the method according to the invention also offer the possibility of producing a substrate with hydrophobic or superhydrophobic substances over a large area without great technical effort. A substrate structured in this way has a wide

Anwendungsspektrum in Bereichen, in denen die selbstreinigenden Eigenschaften von hydrophoben und oder superhydrophoben Substraten erwünscht sind, bspw. im Bereich vonRange of applications in areas in which the self-cleaning properties of hydrophobic and/or superhydrophobic substrates are desired, for example in the area of

Automobilkomponenten, Displays oder Verglasung, aber auch im Bereich der Luftfahrt oderAutomotive components, displays or glazing, but also in the aviation sector

Antennentechnik.Antenna technology.

Die erfindungsgemäße Vorrichtung und das erfindungsgemäße Verfahren bieten außerdem die Möglichkeit, ohne großen technischen Aufwand ein Substrat flächig mit hydrophilen oder superhydrophilen zu erzeugen. Ein derart strukturiertes Substrat hat ein breitesThe device according to the invention and the method according to the invention also offer the possibility of producing a substrate with hydrophilic or superhydrophilic substrates without great technical effort. A substrate structured in this way has a wide

Anwendungsspektrum in Bereichen, in denen die homogenen Benetzungseigenschaften von hydrophilen und oder superhydrophilen Substraten erwünscht sind, bspw. im Bereich vonRange of applications in areas in which the homogeneous wetting properties of hydrophilic and/or superhydrophilic substrates are desired, for example in the area of

Automobilkomponenten, Displays oder Verglasung, aber auch im Bereich der Luftfahrt oderAutomotive components, displays or glazing, but also in the aviation sector

Antennentechnik.Antenna technology.

Weiterhin bietet das erfindungsgemäße Verfahren und die erfindungsgemäße Vorrichtung auch die Möglichkeit zum Erzeugen eines strukturierten Substrates, welches sich zur weiteren Bearbeitung, beispielsweise einer chemischen und/oder physikalischenFurthermore, the method according to the invention and the device according to the invention also offer the possibility of producing a structured substrate, which can be used for further processing, for example chemical and/or physical

Behandlung, insbesondere zum Beschichten mittels einer chemischen Sprühbeschichtung und/oder eignet, um die resultierenden Antireflexionseigenschaften und/oder hydrophoben oder superhydrophoben und/oder hydrophilen oder superhydrophilen Eigenschaften desTreatment, in particular for coating by means of a chemical spray coating and/or suitable for improving the resulting anti-reflection properties and/or hydrophobic or superhydrophobic and/or hydrophilic or superhydrophilic properties of the

Substrats zu steigern und/oder zu modifizieren.Increase and/or modify substrate.

Nach einer bevorzugten Ausgestaltung der vorliegenden Erfindung eignet sich das hierin offenbarte Verfahren dazu, eine Substratoberfläche derart zu strukturieren, dass diese Anti-According to a preferred embodiment of the present invention, the method disclosed herein is suitable for structuring a substrate surface in such a way that it anti-

Glare Eigenschaften aufweist. Hierzu eignen sich insbesondere solche strukturiertenHas glare properties. Structured ones are particularly suitable for this

Substrate mit einer Punktstruktur, die — wie hierin definiert — aus einem ersten und einem zweiten bzw. weiteren Interferenzpixel gebildet sind, wobei das erste und das zweite bzw. jedes weitere Interferenzpixel jeweils unabhängig voneinander eine periodischeSubstrates with a dot structure, which - as defined herein - are formed from a first and a second or further interference pixel, the first and the second or each further interference pixel each independently having a periodic

Punktstruktur mit Abmessungen im Mikro- und Submikrometer Bereich umfassen, wobei das erste Interferenzpixel und das zweite bzw. jedes weitere Interferenzpixel so zueinander überlagert angeordnet sind, dass der Versatz zwischen dem zweiten bzw. weiterenDot structure with dimensions in the micro- and sub-micrometer range, wherein the first interference pixel and the second or each further interference pixel are arranged superimposed on one another in such a way that the offset between the second or further

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Interferenzpixel und dem ersten bzw. dem vorhergehenden Interferenzpixel im Bereich von LU102920 10% =< x < 50%, insbesondere im Bereich von 20% =< x < 50%, besonders bevorzugt imInterference pixel and the first or the previous interference pixel in the range of LU102920 10% = < x < 50%, in particular in the range of 20% = < x < 50%, particularly preferably in

Bereich von 25% < x = 45% der Interferenzperiode liegt.Range of 25% < x = 45% of the interference period.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Bezugszeichenliste LU102920 1 Laserstrahlungsquelle 2 Strahlteilerelement 3 Strahlengang 4 Fokussierelement 5 Substrat 6 weiteres Umlenkelement 7 Umlenkelement 8 Polarisationselement 9 Fokussierspiegel bzw. Galvo-Spiegel 91 PolygonradList of reference symbols LU102920 1 Laser radiation source 2 Beam splitter element 3 Beam path 4 Focusing element 5 Substrate 6 Further deflection element 7 Deflection element 8 Polarization element 9 Focusing mirror or galvo mirror 91 Polygon wheel

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Ausführungsbeispiele LU102920Examples LU102920

Anhand folgender Figuren und Ausführungsbeispiele wird die vorliegende Erfindung näher erläutert, ohne die Erfindung auf diese zu beschränken. Insbesondere sind in den einzelnenThe present invention is explained in more detail using the following figures and exemplary embodiments, without restricting the invention to these. In particular, in the individual

Figuren gezeigte und zu dem jeweiligen Beispiel beschriebene Merkmale nicht auf das jeweilige Einzelbeispiel beschränkt.Features shown in the figures and described for the respective example are not limited to the respective individual example.

Dabei zeigtThis shows

Fig. 1: eine schematische perspektivische Ansicht einer erfindungsgemäßen Vorrichtung.Fig. 1: a schematic perspective view of a device according to the invention.

Fig. 2: eine schematische perspektivische Ansicht einer erfindungsgemäßen Vorrichtung, die ein Umlenkelement (6) zur Parallelisierung der Teilstrahlen enthält.Fig. 2: a schematic perspective view of a device according to the invention, which contains a deflection element (6) for parallelizing the partial beams.

Fig. 3: eine schematische perspektivische Ansicht einer erfindungsgemäßen Vorrichtung, die ein Umlenkelement (7) zur Aufweitung des Winkels der Teilstrahlen zur optischen Achse des Strahlengangs (3) enthält.Fig. 3: a schematic perspective view of a device according to the invention, which contains a deflection element (7) for widening the angle of the partial beams to the optical axis of the beam path (3).

Fig. 4A: eine schematische perspektivische Ansicht einer erfindungsgemäßen Vorrichtung, die optische Elemente (6) mit einer planaren, reflektierenden Oberfläche, die dieFig. 4A: a schematic perspective view of a device according to the invention, which has optical elements (6) with a planar, reflective surface that

Teilstrahlen auf das Fokussierelement (4) umlenken, enthält.Deflect partial beams onto the focusing element (4).

Fig. 4B: eine schematische perspektivische Ansicht einer erfindungsgemäßen Vorrichtung, die als optisches Element zur Strahlformung einen Galvo-Spiegel (9) umfasst, was eine ortsfeste Positionierung des zu strukturierenden Substrats während desFig. 4B: a schematic perspective view of a device according to the invention, which comprises a galvo mirror (9) as an optical element for beam shaping, which ensures a stationary positioning of the substrate to be structured during

Prozesses der Strukturierung erlaubt.Process of structuring allowed.

Fig. 5: eine schematische perspektivische Ansicht einer erfindungsgemäßen Vorrichtung, wobei die Vorrichtung ein Polarisationselement (8), welches den Phasenverlauf derFig. 5: a schematic perspective view of a device according to the invention, the device having a polarization element (8), which determines the phase profile of the

Teilstrahlen zueinander verschiebt, enthält, wobei a) das Strahlteilerelement (2) im Strahlengang (3) nah an derPartial beams shift to one another, containing a) the beam splitter element (2) in the beam path (3) close to the

Laserstrahlungsquelle (1) positioniert ist. b) das Strahlteilerelement (2) im Strahlengang (3) nah am Umlenkelement (7) positioniert ist.Laser radiation source (1) is positioned. b) the beam splitter element (2) is positioned in the beam path (3) close to the deflection element (7).

Fig. 6: eine schematische Ansicht der sich auf der Oberfläche oder im Inneren desFig. 6: a schematic view of the surface or interior of the

Substrats ergebenden Interferenzpixel mit der Weite D, und die Verteilung der einzelnen Interferenzpixel auf der Oberfläche oder im Inneren des Substrats, wobei die Interferenzpixel zueinander verschoben sind mit der Pixeldichte Pd.Interference pixels resulting from the substrate with the width D, and the distribution of the individual interference pixels on the surface or inside the substrate, the interference pixels being shifted relative to one another with the pixel density Pd.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Fig. 7: eine schematische perspektivische Ansicht des strukturierten Substrats (5) mit den LU102920 erzeugten periodischen Punktstrukturen, bestehend aus inversen Zapfen, mitFig. 7: a schematic perspective view of the structured substrate (5) with the LU102920 generated periodic point structures, consisting of inverse cones

Abmessungen im Mikro- und Submikrometerbereich, und symbolisch dieDimensions in the micro and submicron range, and symbolically the

Transmission von einfallenden elektromagnetischen Wellen mit Wellenlängen größer als die Strukturperiode der erzeugten Strukturen, sowie die Beugung von einfallenden elektromagnetischen Wellen mit Wellenlängen im Bereich oder kleiner der erzeugten Strukturen.Transmission of incident electromagnetic waves with wavelengths greater than the structural period of the generated structures, as well as the diffraction of incident electromagnetic waves with wavelengths in the range or smaller of the generated structures.

Fig. 8: eine schematische perspektivische Ansicht einer erfindungsgemäßen Vorrichtung, die als optisches Element einen Galvospiegel (9) mit einer planaren, reflektierendenFig. 8: a schematic perspective view of a device according to the invention, which has a galvo mirror (9) with a planar, reflecting element as an optical element

Oberfläche, die die Teilstrahlen auf das Fokussierelement (4) umlenken, sowie einSurface that deflect the partial beams onto the focusing element (4), as well as a

Polygonrad (91) enthält.Polygon wheel (91) contains.

Fig. 9: Eine grafische Darstellung des Diffraktionswinkels von einfallendem Licht über derFig. 9: A graphical representation of the diffraction angle of incident light versus the

Wellenlänge des einfallenden Lichts für strukturierte Substrate mit drei unterschiedlichen Strukturweiten.Wavelength of the incident light for structured substrates with three different structure widths.

Fig. 10: eine schematische perspektivische Ansicht des strukturierten Substrats (5) mit den erzeugten periodischen Punktstrukturen, bestehend aus inversen Zapfen, mitFig. 10: a schematic perspective view of the structured substrate (5) with the periodic point structures created, consisting of inverse cones

Abmessungen im Mikrometerbereich, der eine periodische Wellenstruktur imDimensions in the micrometer range, which has a periodic wave structure in the

Submikrometerbereich überlagert ist.Submicrometer range is superimposed.

Fig. 1 visualisiert in einem ersten Ausführungsbeispiel die erfindungsgemäße Vorrichtung, umfassend eine Laserstrahlungsquelle (1) zum Emittieren eines Laserstrahls. ImFig. 1 visualizes the device according to the invention in a first exemplary embodiment, comprising a laser radiation source (1) for emitting a laser beam. In the

Strahlengang (3) des Laserstrahls hinter der Laserstrahlungsquelle (1) angeordnet, befindet sich ein Strahlteilerelement (2), welches im Strahlengang (3) beweglich angeordnet ist. ImBeam path (3) of the laser beam is arranged behind the laser radiation source (1), there is a beam splitter element (2), which is movably arranged in the beam path (3). In the

Strahlengang (3) des Laserstrahls hinter dem Strahlteilerelement (2) angeordnet, befindet sich ein Fokussierelement (4). Im Strahlengang (3) des Laserstrahls hinter demThe beam path (3) of the laser beam is arranged behind the beam splitter element (2), and there is a focusing element (4). In the beam path (3) of the laser beam behind the

Fokussierelement (4) angeordnet, befindet sich eine Haltevorrichtung, auf der ein Substrat (5), bevorzugt flächiges und/oder transparentes Substrat, gelagert ist.Focusing element (4) is arranged, there is a holding device on which a substrate (5), preferably flat and/or transparent substrate, is mounted.

In dieser Ausgestaltung emittiert die Laserstrahlungsquelle (1) einen gepulsten Laserstrahl.In this embodiment, the laser radiation source (1) emits a pulsed laser beam.

Es handelt sich hier bei der Laserstrahlungsquelle um einen UV Laser mit einer Wellenlänge von 355 nm Wellenlänge und einer Pulsdauer von 12 ps. Das Strahlungsprofil derThe laser radiation source here is a UV laser with a wavelength of 355 nm and a pulse duration of 12 ps. The radiation profile of the

Laserstrahlungsquelle entspricht in dieser Ausführungsform einem Top-Hat-Profil.In this embodiment, the laser radiation source corresponds to a top hat profile.

In diesem Ausführungsbeispiel entspricht das Strahlteilerelement (2) einem diffraktivenIn this exemplary embodiment, the beam splitter element (2) corresponds to a diffractive one

Strahlteilerelement. Ein diffraktives Strahlteilerelement ist hier ein Strahlteilerelement, welches Mikro- oder Nanostrukturen enthält. Das Strahlteilerelement (2) unterteilt denBeam splitter element. Here, a diffractive beam splitter element is a beam splitter element that contains micro- or nanostructures. The beam splitter element (2) divides the

Laserstrahl in 4 Teilstrahlen.Laser beam in 4 partial beams.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Das Fokussierelement (4) entspricht in diesem Ausführungsbeispiel einer refraktiven, LU102920 sphärischen Linse, die die im Wesentlichen parallel zueinander verlaufenden Teilstrahlen so auf das Substrat (5), bevorzugt flächige und/oder transparente Substrat, lenkt, dass sie dort in einem Interferenzbereich interferieren. Der Interferenzwinkel entspricht in dieserIn this exemplary embodiment, the focusing element (4) corresponds to a refractive, LU102920 spherical lens, which directs the partial beams, which run essentially parallel to one another, onto the substrate (5), preferably a flat and/or transparent substrate, in such a way that they interfere there in an interference region. The interference angle corresponds to this

Ausgestaltung 27,2°, woraus eine Strukturperiode von 550 nm für die periodischeDesign 27.2°, resulting in a structure period of 550 nm for the periodic

Punktstruktur bei dem gleichen Polarisationszustand resultiert.Dot structure results in the same polarization state.

Gemäß diesem Ausführungsbeispiel wird das flächige Substrat einmal bestrahlt, sodass sich eine Bearbeitungsdauer pro Struktureinheit, d. h. pro Interferenzpixel, von 12 ps ergibt.According to this exemplary embodiment, the flat substrate is irradiated once, so that there is a processing time per structural unit, i.e. H. per interference pixel, of 12 ps.

Bei dem Substrat (5), bevorzugt flächigen und/oder transparenten Substrat, handelt es sich um ein Glas, ganz speziell ein Quarzglas, welches auf einer Haltevorrichtung gelagert ist, sodass es in der xy-Ebene, senkrecht zum Strahlengang des von derThe substrate (5), preferably a flat and/or transparent substrate, is a glass, particularly a quartz glass, which is mounted on a holding device so that it is in the xy plane, perpendicular to the beam path of the

Laserstrahlungsquelle (1) emittierten Laserstrahls beweglich ist.Laser radiation source (1) emitted laser beam is movable.

Fig. 2 visualisiert in einem weiteren Ausführungsbeispiel die Vorrichtung wie in Fig. 1 beschrieben, zusätzlich umfassend ein Umlenkelement (6), welches sich im Strahlengang (3) des Lasers nach dem Strahlteilerelement (2) und dem Fokussierelement (4) befindet.In a further exemplary embodiment, Fig. 2 visualizes the device as described in Fig. 1, additionally comprising a deflection element (6), which is located in the beam path (3) of the laser after the beam splitter element (2) and the focusing element (4).

In dieser Ausgestaltung ist das Umlenkelement eine konventionelle, refraktive, konvexeIn this embodiment, the deflection element is a conventional, refractive, convex one

Linse. Die Teilstrahlen treffen derart auf das Umlenkelement (6) auf, dass sie nachLens. The partial beams hit the deflection element (6) in such a way that they

Durchlaufen des Umlenkelements im Wesentlichen parallel zueinander verlaufen. Dadurch lässt sich der Punkt, in dem die Teilstrahlen auf der Oberfläche oder im Inneren desPassing through the deflection element run essentially parallel to each other. This allows the point at which the partial rays are on the surface or inside the

Substrats interferieren, einstellen.Substrate interfere, adjust.

Fig. 3 visualisiert in einem weiteren Ausführungsbeispiel eine Vorrichtung basierend auf dem in Fig. 1 und Fig. 2 gezeigten Aufbau. Zusätzlich umfasst dieser Aufbau ein weiteresIn a further exemplary embodiment, FIG. 3 visualizes a device based on the structure shown in FIGS. 1 and 2. In addition, this structure includes another

Umlenkelement (7), welches im Strahlengang (3) des Lasers zwischen demDeflection element (7), which is in the beam path (3) of the laser between the

Strahlteilerelement (2) und dem Umlenkelement (6) angeordnet ist.Beam splitter element (2) and the deflection element (6) is arranged.

In dieser Ausgestaltung ist das weitere Umlenkelement (7) eine konventionelle, refraktive, konkave Linse. Die Teilstrahlen treffen derart auf das weitere Umlenkelement auf, sodass ihrIn this embodiment, the further deflection element (7) is a conventional, refractive, concave lens. The partial beams hit the further deflection element in such a way that they

Winkel zur optischen Achse des Strahlengangs aufgeweitet wird. Dadurch lässt sich derAngle to the optical axis of the beam path is expanded. This allows the

Interferenzwinkel, mit dem die Teilstrahlen auf der Oberfläche oder im Inneren desInterference angle with which the partial rays on the surface or inside the

Substrats, bevorzugt flächigen und/oder transparenten Substrats, interferieren, verändern.Substrate, preferably flat and / or transparent substrate, interfere, change.

In dieser Ausgestaltung sind alle optischen Elemente abgesehen vom Strahlteilerelement (2) entlang der optischen Achse des Strahlengangs (3) fixiert. Der Interferenzwinkel derIn this embodiment, all optical elements apart from the beam splitter element (2) are fixed along the optical axis of the beam path (3). The interference angle of the

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Teilstrahlen auf dem Substrat wird über eine Verschiebung des Strahlteilerelements (2) LU102920 entlang der optischen Achse des Strahlengangs eingestellt.Partial beams on the substrate are adjusted by moving the beam splitter element (2) LU102920 along the optical axis of the beam path.

Fig. 4A zeigt in einem weiteren Ausführungsbeispiel eine Vorrichtung wie in Fig. 3, umfassend die optischen Elemente (6) mit einer planaren, reflektierenden Oberfläche, die derart eingerichtet sind, dass sie die Teilstrahlen auf das Fokussierelement (4) umlenken.4A shows, in a further exemplary embodiment, a device as in FIG. 3, comprising the optical elements (6) with a planar, reflecting surface, which are set up in such a way that they deflect the partial beams onto the focusing element (4).

In dieser Ausgestaltung werden die zumindest drei Teilstrahlen durch Verschiebung der optischen Elemente (6) in einem bevorzugten Winkel auf das Substrat gelenkt. Dadurch kann auf ein Umlenkelement in Form einer Linse (Bezugszeichen (6) in Fig. 3) verzichtet werden.In this embodiment, the at least three partial beams are directed onto the substrate at a preferred angle by moving the optical elements (6). This means that a deflection element in the form of a lens (reference number (6) in Fig. 3) can be dispensed with.

Fig. 5 visualisiert in einem weiteren Ausführungsbeispiel eine Vorrichtung wie in Fig. 3, zusätzlich umfassend je ein Polarisationselement (8) pro Teilstrahl, welche im Strahlengang (3) des Laserstrahls zwischen dem Umlenkelement (6) und dem Fokussierelement (4) angeordnet sind.In a further exemplary embodiment, Fig. 5 visualizes a device as in Fig. 3, additionally comprising one polarization element (8) per partial beam, which are arranged in the beam path (3) of the laser beam between the deflection element (6) and the focusing element (4).

Das Polarisationselement ist derart angeordnet, dass es die Polarisierung der einzelnenThe polarization element is arranged in such a way that it controls the polarization of the individual

Teilstrahlen zueinander so verändert, dass sich eine Veränderung des Interferenzmusters ergibt.Partial beams are changed relative to each other in such a way that a change in the interference pattern results.

Diese Ausgestaltung ist in zwei unterschiedlichen Konfigurationen dargestellt. In Fig. 5 a) ist das Strahlteilerelement (2) im Strahlengang (3) nah an der Laserstrahlungsquelle (1) positioniert. In Fig. 5 b) ist das Strahlteilerelement (2) im Strahlengang (3) nah amThis embodiment is shown in two different configurations. In Fig. 5 a), the beam splitter element (2) is positioned in the beam path (3) close to the laser radiation source (1). In Fig. 5 b), the beam splitter element (2) is close to the beam path (3).

Umlenkelement (7) positioniert. Auf diese Weise lässt sich das Interferenzmuster der interferierenden Teilstrahlen auf der Oberfläche des Substrats (5) stufenlos einstellen, ohne dass die anderen optischen Elemente im Aufbau oder das Substrat bewegt werden müssen.Deflection element (7) positioned. In this way, the interference pattern of the interfering partial beams on the surface of the substrate (5) can be adjusted continuously without the other optical elements in the structure or the substrate having to be moved.

Zusétzlich wäre es auch denkbar, dass die Anordnung ein zusätzliches optisches Element zur Strahlformung enthält, das im Strahlengang (3) des Laserstrahls derIn addition, it would also be conceivable that the arrangement contains an additional optical element for beam shaping, which is in the beam path (3) of the laser beam

Laserstrahlungsquelle (1) nachgeordnet ist. In dieser Ausgestaltung entspricht dasLaser radiation source (1) is arranged downstream. In this embodiment that corresponds

Strahlungsprofil der Laserstrahlungsquelle einem GauB-Profil. Das optische Element zurRadiation profile of the laser radiation source a GauB profile. The optical element for

Strahlformung wandelt dieses Profil in ein Top-Hat-Profil um.Beam shaping converts this profile to a top hat profile.

Fig. 6 enthält eine schematische Ansicht der sich auf der Oberfläche oder im Inneren desFig. 6 contains a schematic view of the surface or interior of the

Substrats ergebenden Interferenzpixel mit der Weite D, und die Verteilung der einzelnenSubstrate resulting interference pixels with the width D, and the distribution of each

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Interferenzpixel auf der Oberfläche oder im Inneren des Substrats, wobei die Interferenzpixel LU102920 zueinander verschoben sind mit der Pixeldichte Pd.Interference pixels on the surface or inside the substrate, the interference pixels LU102920 being shifted from one another with the pixel density Pd.

In dieser Ausgestaltung ist die Pixeldichte Pd kleiner als die Weite eines Interferenzpixels, D.In this embodiment, the pixel density Pd is smaller than the width of an interference pixel, D.

Dadurch kann durch Bewegen des Substrats (5) mittels eines gepulsten Laserstrahls eine flächige homogene periodische Punktstruktur auf der Oberfläche oder im Inneren einesAs a result, by moving the substrate (5) using a pulsed laser beam, a flat, homogeneous periodic dot structure can be created on the surface or inside a

Substrats, bevorzugt flächigen und/oder transparenten Substrats, erzeugt werden.Substrate, preferably flat and / or transparent substrate, are generated.

Fig. 7 visualisiert das durch das erfindungsgemäße Verfahren erzeugte strukturierte Substrat (5) mit den erzeugten periodischen Punktstrukturen, bestehend aus inversen Zapfen, mitFig. 7 visualizes the structured substrate (5) generated by the method according to the invention with the periodic point structures generated, consisting of inverse cones

Abmessungen im Mikro- und Submikrometerbereich. Es wird zudem symbolisch dieDimensions in the micro and submicron range. It also becomes symbolic

Transmission von einfallenden elektromagnetischen Wellen mit Wellenlängen größer als dieTransmission of incident electromagnetic waves with wavelengths greater than that

Strukturperiode der erzeugten Strukturen, sowie die Beugung von einfallenden elektromagnetischen Wellen mit Wellenlängen im Bereich oder kleiner der erzeugtenStructural period of the generated structures, as well as the diffraction of incident electromagnetic waves with wavelengths in the range or smaller than those generated

Strukturen verdeutlicht.Structures clarified.

Fig. 8 zeigt in einem weiteren Ausführungsbeispiel eine Vorrichtung wie in Fig. 4B, umfassend das optische Element (91) mit einer planaren, reflektierenden Oberfläche, wobei es sich um ein Polygonrad handelt, welches derart eingerichtet ist, dass es um eine eingezeichnete Achse rotiert. Dabei werden die einfallenden Teilstrahlen derart abgelenkt, dass sie auf einen Galvospiegel (9) treffen, welcher die Strahlen über ein8 shows, in a further exemplary embodiment, a device as in FIG. 4B, comprising the optical element (91) with a planar, reflecting surface, which is a polygonal wheel which is set up in such a way that it rotates about an axis shown . The incident partial beams are deflected in such a way that they hit a galvo mirror (9), which transmits the beams over a

Fokussierelement (4) auf das Substrat lenkt. Die Rotation des Polygonrads bewirkt dabei, dass der Punkt, in dem die Strahlen auf dem Substrat gebündelt werden während desFocusing element (4) directs onto the substrate. The rotation of the polygon wheel causes the point at which the rays are focused on the substrate during the

Belichtungsprozesses entlang einer Linie beweglich ist. Die Teilstrahlen scannen also dasExposure process is movable along a line. So the partial beams scan that

Substrat, was zu einer erhöhten Prozessgeschwindigkeit führt.Substrate, which leads to increased process speed.

Fig. 9 zeigt in einer grafischen Darstellung die Transmissions- bzw. Beugungsfähigkeit von einem strukturierten Substrat abhängig von der Strukturweite auf. Dabei wird der9 shows a graphic representation of the transmission or diffraction ability of a structured substrate depending on the structure width. The

Diffraktionswinkel von Licht in Abhängigkeit von dessen Wellenlänge für Strukturen mit drei unterschiedlichen Strukturweiten gezeigt. Ist die Wellenlänge des einfallenden Lichts größer als die Strukturweite, so wird das Licht vollständig transmittiert. Bei Wellenlängen im Bereich der Strukturweite oder kleiner kommt es zur Diffraktion. Die Diffraktionswinkel können aus der Grafik entnommen werden.Diffraction angle of light as a function of its wavelength is shown for structures with three different structural widths. If the wavelength of the incident light is greater than the structure width, the light is completely transmitted. At wavelengths in the range of the structural width or smaller, diffraction occurs. The diffraction angles can be seen from the graphic.

Fig. 10 visualisiert das durch das erfindungsgemäße Verfahren erzeugte strukturierteFig. 10 visualizes the structured generated by the method according to the invention

Substrat (5) mit den erzeugten periodischen Punktstrukturen, bestehend aus inversenSubstrate (5) with the generated periodic point structures, consisting of inverse

Zapfen, mit Abmessungen im Mikrometerbereich. Dieser periodischen Punktstruktur imTenons, with dimensions in the micrometer range. This periodic point structure in

Mikrometerbereich überlagert ist eine periodische Wellenstruktur im Submikrometerbereich,Overlaid on the micrometer range is a periodic wave structure in the submicrometer range,

FUBI-0002-P-LU 31.03.2022 Luxemburgische Patentanmeldung welche ebenfalls durch das hierin beschriebene erfindungsgemäße Verfahren in einem LU102920FUBI-0002-P-LU March 31, 2022 Luxembourg patent application which also uses the inventive method described herein in a LU102920

Produktionsschritt erzeugbar ist.Production step can be generated.

FUBI-0002-P-LU 31.03.2022 Luxemburgische PatentanmeldungFUBI-0002-P-LU March 31, 2022 Luxembourg patent application

Claims (11)

Patentansprüche LU102920Patent claims LU102920 1. Laserinterferenzstrukturierungsvorrichtung zur direkten Laserinterferenzstrukturierung eines Substrats, umfassend - eine Laserstrahlungsquelle (1) zum Emittieren eines Laserstrahls, - ein Strahlteilerelement (2), das im Strahlengang (3) des Laserstrahls angeordnet ist, - ein Fokussierelement (4), das derart eingerichtet ist, dass es die Teilstrahlen derart durchlaufen, dass die Teilstrahlen auf der Oberfläche oder im Volumen eines Substrats (5) in einem Interferenzbereich interferierbar sind, dadurch gekennzeichnet, dass der Strahlteiler (2) entlang seiner optischen Achse im Strahlengang (3) frei beweglich ist, der Strahlteiler (2) dazu eingerichtet ist, den einfallenden Laserstrahl, der von der Laserstrahlungsquelle (1) ausgesandt wird, in zumindest 3 Teilstrahlen aufzuteilen, wobei im Strahlengang (3) der Laserstrahlungsquelle (1) dem Strahlteilerelement (2) nachgeordnet ein erstes Umlenkelement (7) angeordnet ist, dass derart eingerichtet ist, dass die zumindest drei Teilstrahlen beim Durchlaufen des Umlenkelements (7) aufgeweitet werden, wobei das Umlenkelement (7) eine konkave Linse ist.1. Laser interference structuring device for direct laser interference structuring of a substrate, comprising - a laser radiation source (1) for emitting a laser beam, - a beam splitter element (2) which is arranged in the beam path (3) of the laser beam, - a focusing element (4) which is set up in this way in that the partial beams pass through it in such a way that the partial beams can be interfered with on the surface or in the volume of a substrate (5) in an interference region, characterized in that the beam splitter (2) is freely movable along its optical axis in the beam path (3), the beam splitter (2) is designed to divide the incident laser beam, which is emitted by the laser radiation source (1), into at least 3 partial beams, with a first deflection element (2) downstream of the beam splitter element (2) in the beam path (3) of the laser radiation source (1). 7) is arranged in such a way that the at least three partial beams are expanded as they pass through the deflection element (7), the deflection element (7) being a concave lens. 2. Vorrichtung nach Anspruch 1, wobei im Strahlengang (3) der Laserstrahlungsquelle (1) und dem Strahlteilerelement (2) nachgeordnet ein weiteres Umlenkelement (6) angeordnet ist, das derart eingerichtet ist, dass es die Teilstrahlen derart umlenkt, dass sie nach Austritt aus dem weiteren Umlenkelement (6) im Wesentlichen parallel zueinander verlaufen.2. Device according to claim 1, wherein in the beam path (3) of the laser radiation source (1) and the beam splitter element (2) a further deflection element (6) is arranged downstream, which is set up in such a way that it deflects the partial beams in such a way that they exit from the further deflection element (6) run essentially parallel to one another. 3. Vorrichtung nach Anspruch 1 oder 2, wobei das Strahlteilerelement (2) ein diffraktives Strahlteilerelement oder ein refraktives Strahlteilerelement ist.3. Device according to claim 1 or 2, wherein the beam splitter element (2) is a diffractive beam splitter element or a refractive beam splitter element. 4. Vorrichtung nach Anspruch 2, wobei das weitere Umlenkelement (6) eine konvexe Linse ist. FUBI-0004-P-LU 31.03.2022 Luxemburgische Patentanmeldung4. Device according to claim 2, wherein the further deflection element (6) is a convex lens. FUBI-0004-P-LU March 31, 2022 Luxembourg patent application 5. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei das Fokussierelement ~~ LU102920 (4) eine konvexe Linse ist.5. Device according to one of the preceding claims, wherein the focusing element ~~ LU102920 (4) is a convex lens. 6. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die Vorrichtung mindestens ein Polarisationselement (8) umfasst, welches im Strahlengang zwischen dem Umlenkelement und dem Fokussierelement angeordnet ist.6. Device according to one of the preceding claims, wherein the device comprises at least one polarization element (8) which is arranged in the beam path between the deflection element and the focusing element. 7. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei es sich bei dem Laserstrahlungsquelle um eine gepulste Laserstrahlungsquelle mit Pulsweiten im Bereich von 10 Nanosekunden bis 10 Femtosekunde, handelt.7. Device according to one of the preceding claims, wherein the laser radiation source is a pulsed laser radiation source with pulse widths in the range from 10 nanoseconds to 10 femtoseconds. 8. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei das Strahlungsprofil des von der Laserstrahlungsquelle emittierten Laserstrahls einem Gauß-Profil oder einem Top-Hat-Profil entspricht.8. Device according to one of the preceding claims, wherein the radiation profile of the laser beam emitted by the laser radiation source corresponds to a Gaussian profile or a top hat profile. 9. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei sich vor dem Strahlteilerelement ein weiteres optisches Element befindet, welches zur Strahlformung verwendet werden kann.9. Device according to one of the preceding claims, wherein there is a further optical element in front of the beam splitter element, which can be used for beam shaping. 10. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die Vorrichtung eine Haltevorrichtung umfasst, auf der das Substrat gelagert ist und die in der xy-Ebene, senkrecht zum Strahlengang (3) des von der Laserstrahlungsquelle (1) emittierten Laserstrahls beweglich ist.10. Device according to one of the preceding claims, wherein the device comprises a holding device on which the substrate is mounted and which is movable in the xy plane, perpendicular to the beam path (3) of the laser beam emitted by the laser radiation source (1). 11. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei das Substrat ein transparentes Material umfasst. FUBI-0004-P-LU 31.03.2022 Luxemburgische Patentanmeldung11. Device according to one of the preceding claims, wherein the substrate comprises a transparent material. FUBI-0004-P-LU March 31, 2022 Luxembourg patent application
LU102920A 2021-07-03 2022-03-31 Device and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties LU102920B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
LU102920A LU102920B1 (en) 2022-03-31 2022-03-31 Device and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties
PCT/EP2022/068490 WO2023280793A2 (en) 2021-07-03 2022-07-04 Apparatus and method for the laser-interference patterning of substrates with periodic dot patterns for antireflective properties
KR1020247003873A KR20240031352A (en) 2021-07-03 2022-07-04 Apparatus and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties
EP22746975.6A EP4367558A2 (en) 2021-07-03 2022-07-04 Apparatus and method for the laser-interference patterning of substrates with periodic dot patterns for antireflective properties

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
LU102920A LU102920B1 (en) 2022-03-31 2022-03-31 Device and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties

Publications (1)

Publication Number Publication Date
LU102920B1 true LU102920B1 (en) 2023-10-02

Family

ID=82214244

Family Applications (1)

Application Number Title Priority Date Filing Date
LU102920A LU102920B1 (en) 2021-07-03 2022-03-31 Device and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties

Country Status (1)

Country Link
LU (1) LU102920B1 (en)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040227927A1 (en) * 2003-05-13 2004-11-18 3M Innovative Properties Company Fiber grating writing interferometer with continuous wavelength tuning and chirp capability
US20060046156A1 (en) * 2004-08-25 2006-03-02 Seiko Epson Corporation Method for manufacturing a microstructure, exposure device, and electronic apparatus
DE102010005774A1 (en) 2009-08-17 2011-03-03 Topag Lasertechnik Gmbh Device for beamforming of a laser beam (72) to produce a pre-defined target beam profile of the laser beam, useful for material processing, e.g. solar cell production, comprises a beamformer, where the beamformer is formed as phase shifter
EP2431120A1 (en) 2010-09-16 2012-03-21 Valstybinis moksliniu tyrimu institutas Fiziniu ir technologijos mokslu centras Method of forming periodic structures in thin films using interfering laser beams
EP2596899A2 (en) * 2011-11-24 2013-05-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung Method and device for the interference structuring of flat samples
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
EP2663892A2 (en) 2011-01-10 2013-11-20 LIMO Patentverwaltung GmbH & Co. KG Device for converting the profile of a laser beam into a laser beam with a rotationally symmetrical intensity distribution
WO2019166836A1 (en) 2018-02-28 2019-09-06 Foundation For Research And Technology Hellas Using lasers to reduce reflection of transparent solids, coatings and devices employing transparent solids
US10459125B2 (en) 2012-04-16 2019-10-29 Canon Kabushiki Kaisha Optical member, method for manufacturing optical member, and optical film of optical member

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040227927A1 (en) * 2003-05-13 2004-11-18 3M Innovative Properties Company Fiber grating writing interferometer with continuous wavelength tuning and chirp capability
US20060046156A1 (en) * 2004-08-25 2006-03-02 Seiko Epson Corporation Method for manufacturing a microstructure, exposure device, and electronic apparatus
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
DE102010005774A1 (en) 2009-08-17 2011-03-03 Topag Lasertechnik Gmbh Device for beamforming of a laser beam (72) to produce a pre-defined target beam profile of the laser beam, useful for material processing, e.g. solar cell production, comprises a beamformer, where the beamformer is formed as phase shifter
EP2431120A1 (en) 2010-09-16 2012-03-21 Valstybinis moksliniu tyrimu institutas Fiziniu ir technologijos mokslu centras Method of forming periodic structures in thin films using interfering laser beams
EP2663892A2 (en) 2011-01-10 2013-11-20 LIMO Patentverwaltung GmbH & Co. KG Device for converting the profile of a laser beam into a laser beam with a rotationally symmetrical intensity distribution
EP2596899A2 (en) * 2011-11-24 2013-05-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung Method and device for the interference structuring of flat samples
US10459125B2 (en) 2012-04-16 2019-10-29 Canon Kabushiki Kaisha Optical member, method for manufacturing optical member, and optical film of optical member
WO2019166836A1 (en) 2018-02-28 2019-09-06 Foundation For Research And Technology Hellas Using lasers to reduce reflection of transparent solids, coatings and devices employing transparent solids

Similar Documents

Publication Publication Date Title
EP3221727B1 (en) System for asymmetric optical beam shaping
EP2184127B1 (en) Laser marking method, use of a laser marking device and lens element
EP1728770B1 (en) Process for marking the surface of articles
DE102008002024A1 (en) Optical element, projection lens and projection exposure machine with it
DE10156343A1 (en) Process for processing a glass substrate
EP3865310A1 (en) Optically variable surface patterns
WO2019158488A1 (en) Method and device for inserting a separation line into a transparent, brittle-fracture material, and element that can be produced according to the method and is provided with a separation line
EP2191975A1 (en) Method for marking or labelling a workpiece
DE102012011343A1 (en) Apparatus and method for interference structuring of samples and samples structured in this way
DE112019002367T5 (en) Meta-surface structure and method for producing a meta-surface structure
WO2023280793A2 (en) Apparatus and method for the laser-interference patterning of substrates with periodic dot patterns for antireflective properties
Liu et al. Transformation from nano-ripples to nano-triangle arrays and their orientation control on titanium surfaces by using orthogonally polarized femtosecond laser double-pulse sequences
LU102920B1 (en) Device and method for laser interference structuring of substrates with periodic dot structures for anti-reflection properties
DE102004032953B4 (en) Optical device and scanning microscope with a focusing optics
DE102007004524B4 (en) Process for producing a visible structure with a plurality of engraving points arranged next to one another in a transparent object and transparent object
DE102021117204A1 (en) Device and method for laser interference structuring of transparent substrates with periodic point structures for anti-reflection properties
WO2024047256A1 (en) Substrate having anti-fogging properties
DE102014200742B4 (en) Process for anti-reflective coating of an optical element, optical element and terahertz system
WO2023227720A1 (en) Substrate with anti-glare properties
EP1784670A1 (en) Method for producing photonic crystals
EP3481583B1 (en) Method and system of ultrafast laser writing of highly-regular periodic structures
WO2018073207A1 (en) Method for patterning a surface, such as a glass surface
DE102021109579B4 (en) METHOD AND APPARATUS FOR MAKING MODIFICATIONS WITH A LASER BEAM IN A MATERIAL WITH A CURVED SURFACE
Reggui Study on surface modifications induced by shaping femtosecond laser beam
Qahtani et al. Study the effect of changing the surface roughness and the laser focus distance to the aluminum appearance using picosecond laser

Legal Events

Date Code Title Description
FG Patent granted

Effective date: 20231002