KR20220148735A - 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법 - Google Patents

반응기 시스템 및 반응기 시스템을 세정하기 위한 방법 Download PDF

Info

Publication number
KR20220148735A
KR20220148735A KR1020220049469A KR20220049469A KR20220148735A KR 20220148735 A KR20220148735 A KR 20220148735A KR 1020220049469 A KR1020220049469 A KR 1020220049469A KR 20220049469 A KR20220049469 A KR 20220049469A KR 20220148735 A KR20220148735 A KR 20220148735A
Authority
KR
South Korea
Prior art keywords
cleaning
reaction chamber
chemical
species
storage assembly
Prior art date
Application number
KR1020220049469A
Other languages
English (en)
Inventor
아미트 미슈라
제랄드 리 윈클러
모아타즈 벨라 무사
무스타파 무함마드
폴 마
하이켐 므사드
잉-션 쿠오
차드 룬스포드
슈아이디 장
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220148735A publication Critical patent/KR20220148735A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/08Cleaning containers, e.g. tanks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B2209/00Details of machines or methods for cleaning hollow articles
    • B08B2209/08Details of machines or methods for cleaning containers, e.g. tanks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)

Abstract

반응 시스템은, 반응 챔버에 플라즈마 활성화된 세정 종 및 비-플라즈마 활성화된 세정 종 모두를 제공하기 위해 원격식 플라즈마 유닛과 바이패스 라인 모두와 유체 연통하는, 화학물질 저장 어셈블리를 포함한다.

Description

반응기 시스템들 및 반응기 시스템들을 세정하기 위한 방법들{REACTOR SYSTEMS AND METHODS FOR CLEANING REACTOR SYSTEMS}
본 개시는 일반적으로 반응기 시스템, 특히 플라즈마 기반 및 비-플라즈마 기반 반응 챔버를 세정하기 위해 구성된 어셈블리를 포함하는 반응기 시스템에 관한 것이다. 본 개시는 또한 일반적으로 플라즈마 기반 및 비-플라즈마 기반 세정 공정 모두를 사용하여 반응 챔버를 세정하는 방법에 관한 것이다.
화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 원자층 증착(ALD) 등과 같은 기상 반응기 시스템은, 기판 표면 상에 재료를 증착하고 에칭하는 것을 포함하여, 다양한 응용에 사용될 수 있다. 예를 들어, 기상 반응기는 반도체 소자, 평면 패널 디스플레이 장치, 광전지 소자, 마이크로전자기계시스템(MEMS) 등을 형성하기 위해 기판 상에 층을 증착하고/증착하거나 에칭하기 위해 사용될 수 있다.
전형적인 기상 반응기 시스템은 반응 챔버, 반응 챔버에 유체적으로 결합된 하나 이상의 전구체 증기 공급원, 반응 챔버에 유체적으로 결합된 하나 이상의 캐리어, 세정 및/또는 퍼지 가스 공급원, 가스(예, 전구체 증기(들) 및/또는 캐리어, 세정 및/또는 퍼지 가스(들))를 기판 표면으로 배달하는 증기 분배 시스템, 및 반응 챔버에 유체적으로 결합된 배기 공급원을 포함한다. 시스템은 또한 전형적으로 처리 동안 제자리에 기판을 지지하는 서셉터와 같은 기판 지지 어셈블리를 포함한다.
반응 챔버의 내부 표면은 반응기 시스템의 연장 작동 기간 동안 원하지 않는 재료로 오염될 수 있고, 이러한 오염은, 예를 들어 공정 드리프트 및 바람직하지 않은 결함의 증가를 초래할 수 있다. 따라서, 시스템 및 방법이 반응 챔버를 세정하는 데 바람직하다.
이 부분에 진술된 문제점 및 해결책을 포함한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 본 개시에 포함되었다. 이러한 논의는 임의의 또는 모든 정보가 본 발명이 만들어졌거나 그렇지 않으면 선행 기술을 구성하는 시점에 알려진 것으로 간주되어서는 안된다.
본 발명의 내용은 개념의 선택을 단순화된 형태로 도입할 수 있으며, 이는 이하에서 더욱 상세히 설명될 수 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 본질적인 특징을 필수적으로 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
본 개시의 특정 구현예에서, 반응기 시스템이 제공된다. 반응기 시스템은, 반응 챔버, 세정 화학물질을 함유한 적어도 하나의 용기를 포함하는 화학물질 저장 어셈블리, 및 화학물질 저장 어셈블리에 유체 연결된 원격식 플라즈마 유닛을 포함할 수 있다. 반응기 시스템은, 원격식 플라즈마 유닛의 하류에 배치되고 원격식 플라즈마 유닛으로부터 플라즈마 활성화된 세정 종을 수용하고 플라즈마 활성화된 세정 종을 반응 챔버 내에 배치된 반응 공간 내로 추가로 도입하도록 구성된, 가스 분배 어셈블리를 또한 포함할 수 있다. 반응기 시스템은, 화학물질 저장 어셈블리를 반응 챔버에 유체 연결하는 바이패스 라인을 또한 포함할 수 있으며, 바이패스 라인은 비-플라즈마 활성화된 종을 반응 챔버 내에 배치된 반응 공간 내로 도입하도록 구성된다. 반응기 시스템은, 반응 챔버 내에 배치되는 기판 지지 어셈블리를 추가로 포함할 수 있다.
본 개시의 특정 구현예에서, 반응기 시스템을 세정하는 방법이 제공된다. 상기 방법은, 하나 이상의 내부 표면을 포함한 반응 챔버를 제공하는 단계, 세정 화학물질을 함유하는 적어도 하나의 용기를 포함한 화학물질 저장 어셈블리를 제공하는 단계, 상기 세정 화학물질을 상기 화학물질 저장 어셈블리에 유체 연결된 원격식 플라즈마 유닛으로 흐르게 하는 단계, 및 플라즈마 활성화된 세정 종을 생성하는 단계, 상기 플라즈마 활성화된 세정 종을 상기 반응 챔버 내에 배치된 반응 공간 내로 도입하는 단계, 상기 세정 화학물질을, 상기 화학물질 저장 어셈블리를 상기 반응 챔버에 유체 연결하는 바이패스 라인으로 흐르게 하는 단계, 상기 반응 챔버 내에 배치된 상기 반응 공간 내에 비-플라즈마 활성 세정 종을 도입하는 단계, 상기 하나 이상의 내부 표면을 상기 플라즈마 활성화된 세정 종 및 상기 비-플라즈마 활성화된 세정 종 중 적어도 하나와 접촉시키는 단계, 및 상기 하나 이상의 내부 표면으로부터 바람직하지 않은 재료를 제거하는 단계를 포함한다.
이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다. 본 발명은 개시된 임의의 특정 구현예에 한정되지 않는다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 본 개시의 예시적인 추가 구현예에 따른 반응기 시스템을 나타낸다.
도 2는, 라디칼 및 열 에칭 공정 모두에 대한 기판 지지 어셈블리의 에칭 속도와 온도 사이의 관계를 설명하는 데이터를 나타낸다.
도 3은 세정 공정 전후의 공정 드리프트의 플롯을 나타낸다.
도 4는 열적 세정 전후의 반응기 시스템의 일부를 대표적으로 나타낸다.
도면의 구성 요소들은 간략하게 및 명료하게 도시되어 있으며, 도시된 본 개시의 구현예의 이해를 돕기 위해 반드시 축적대로 그려지지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
아래에 제공된 시스템, 방법, 구조체, 소자, 및 장치의 예시적인 구현예의 설명은 단지 예시적인 것이고, 예시의 목적으로만 의도된 것이며; 다음의 설명은 본 개시의 범주 또는 청구 범위를 한정하고자 함이 아니다. 또한, 특징부를 기술한 다수 구현예를 인용하는 것이 추가적인 특징부를 갖는 다른 구현예 또는 명시된 특징부의 다른 조합을 포함한 다른 구현예를 배제하고자 함이 아니다. 예를 들어, 다양한 구현예가 예시적인 구현예로서 제시되고, 종속된 청구범위에 인용될 수 있다. 달리 언급되지 않는 한, 예시적인 구현예 또는 이의 구성 요소는 조합될 수 있거나 서로 분리되어 적용될 수 있다.
이하에서 더욱 상세히 설명되는 바와 같이, 본 개시의 다양한 구현예는, 반응 챔버, 및 상기 반응 챔버를 세정하기 위한 어셈블리와 구성 요소를 포함한 반응기 시스템을 제공한다. 예시적인 반응 시스템은, 예를 들어 플라즈마 활성화된 세정 종(예, 라디칼 기반 세정 공정) 및 비-플라즈마 활성화된 세정 종(예, 열 기반 세정 공정) 둘 다를 사용하여 반응 챔버를 세정하는 데 사용될 수 있다.
반응기 시스템의 공정 모듈의 높은 공정 가용성을 유지하기 위해, 반응 챔버 세정은 내부 반응 챔버 표면 상에 원치 않는 재료의 축적을 제거하는 데 필요할 수 있다. 원하지 않는 재료의 제거는, 적어도 온도 구동되는 에칭 공정의 선택도를 갖는, 에칭 공정을 사용하여 수행될 수 있다. 예를 들어, 반응 챔버 내에 배치된 기판의 정전기력 척킹을 유지하기 위해, 정전기력 척의 표면의 우선적 에칭이 주기적으로 정상 작동 기간 동안 요구될 수 있고, 반응 챔버의 내부 벽을 주기적으로 세정하기 위해 추가의 에칭 공정이 요구될 수 있다. 본 개시의 구현예는 덜 공격적인 공정에 의해 반응 챔버를 세정하기 위한 시스템 및 방법을 포함함으로써, 반응 챔버 내에 배치된 어셈블리 및 구성 요소에 대한 손상을 방지하고, 정상적인 작동 조건으로 반응 챔버를 복구하기 위한 세정후 더 짧은 기간을 허용한다. 구현예는, 반응 챔버 내의 내부 표면의 온도에 따라 우선 에칭을 허용하는, 시스템과 방법을 포함한다.
본 개시에서, "가스"는 정상 온도 및 압력(NTP)에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 가스 분배 어셈블리, 다른 가스 분배 장치 등을 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스와 같은 밀폐 가스를 포함할 수 있다. 일부 경우에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물, 및 특히 막 매트릭스 또는 막의 메인 골격을 구성하는 화합물을 지칭할 수 있으며; 용어 "반응물"은 용어 전구체와 상호 교환적으로 사용될 수 있다. 용어 "불활성 가스"는 화학 반응에 참여하지 않고/않거나 상당한 정도로 막 매트릭스의 일부가 되지 않는 가스를 지칭할 수 있다. 예시적인 불활성 가스는 헬륨, 아르곤, 및 이들의 임의의 조합을 포함한다. 일부 경우에, 불활성 가스는 질소 및/또는 수소를 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, 또는 II-VI족 또는 III-V족 반도체 재료와 같은 다른 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 오목부, 돌출부 등을 포함할 수 있다. 예로서, 기판은 벌크 반도체 재료, 및 상기 벌크 반도체 재료의 적어도 일부분 위에 놓인 절연 또는 유전체 재료 층을 포함할 수 있다.
용어 "주기적 증착 공정" 또는 "순환 증착 공정"은 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착(ALD) 및 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분과 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다.
용어 "원자층 증착"은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 본원에서 사용된 용어 원자층 증착은, 전구체(들)/반응 가스(들), 및 퍼지(예, 불활성 캐리어) 가스(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
일반적으로, ALD 공정의 경우, 각각의 증착 사이클 중에 전구체는 반응 챔버에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 일부 경우에서, 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 반응물은 전구체와 더 반응할 수 있다. 하나 이상의 증착 사이클 동안, 예를 들어 각 사이클의 각 단계 중에 퍼지 단계를 사용하여, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다.
또한, 본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 ("약"으로 표시되는지의 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다.
본 명세서에서, 용어 "상" 또는 "위"는 상대적인 위치 관계를 기술하기 위해 사용될 수 있음을 이해해야 할 것이다. 다른 요소, 막 또는 층은 언급된 층 상에 직접적으로 존재할 수 있거나, 또 다른 층(중간층) 또는 요소가 그 사이에 개입될 수 있거나, 언급된 층의 표면을 완전히 덮지 않고 언급된 층 상에 배치될 수도 있다. 따라서, 용어 "직접적으로"가 별도로 사용되지 않는다면, 용어 "상"또는 "위"는 상대적인 개념으로 해석되어야 한다. 이와 유사하게, "아래", "하부의"또는 "밑"이라는 용어는 상대적인 개념으로 유추됨을 이해할 것이다.
본 개시의 구현예는, 가스 분배 어셈블리(예, 샤워헤드 유형 어셈블리)뿐만 아니라 가스 분배 어셈블리를 우회하는 우회 라인을 통해, 반응 챔버 내로 세정 화학물질(예, 에칭 화학물질)을 전달할 수 있게 하는 어셈블리, 구성 요소 및 방법을 포함할 수 있다. 또한, 가스 분배 어셈블리에 근접한 불활성 가스 흐름을 통해 가스 커튼이 형성되어, 가스 분배 어셈블리의 노출 표면 위에 보호 가스 커튼을 제공할 수 있다.
본 개시의 구현예는, 열적으로 상당히 활성화될 수 있는(즉, 저온에서 낮은 에칭 속도 또는 제로 에칭 속도, 및 고온에서 높은 에칭 속도) 세정 화학 물질(예, 에칭 화학 물질)을 제공하기 위한 어셈블리, 구성 요소, 및 방법을 포함할 수 있다. 이렇게 열적으로 상당히 활성화된 에칭 화학물질은, 감소된 온도에서의 표면과 비교하여 상승된 온도에서 표면으로부터 원하지 않는 재료를 우선적으로 제거할 수 있고, 이에 따라 반응 챔버 내의 선택 표면에 대한 원치 않는 손상을 방지할 수 있다. 또한, 열적으로 활성화된 세정 화학물질은 기판 지지부의 표면 상에서 원하지 않는 막 또는 재료를 적어도 부분적으로 신속하게 제거하는 데 이용될 수 있다. 일부 경우에, 기판 지지부는 정전기력 척을 포함할 수 있고, 이러한 경우에, 열적으로 활성화된 세정 화학물질은 정전기력 척의 척킹 능력을 복원할 수 있다.
다양한 구현예에서, 열적으로 활성화된 세정 화학물질은, 기판 지지부 및/또는 반응 챔버 내의 다른 표면으로부터 몰리브덴, 텅스텐, 바나듐, 구리, 루테늄 등과 같은 다양한 금속을 에칭하거나, 일부 경우에 제거할 수 있다. 추가적으로 또는 대안적으로, 열적으로 활성화된 세정 화학물질은 기판 지지부 및/또는 반응 챔버 내의 다른 표면으로부터 티타늄 질화물(TiN), 몰리브덴 질화물(MoN), 텅스텐 질화물(WN) 등과 같은 다양한 질화물을 에칭하거나, 일부 경우에 제거할 수 있다.
본 개시의 구현예는, 플라즈마 생성 장치로부터 라디칼 세정 종을 형성하도록 플라즈마 활성화될 수 있는 에칭 화학물질을 제공하기 위한 어셈블리, 구성 요소, 및 방법을 포함할 수도 있다.
본 개시의 구현예는, 특정 내부 표면(예컨대, 기판 지지부 어셈블리의 노출 표면)으로부터 우선적으로 원치 않는 증착물을 세정하기 위해 주기적으로 사용되는 열 세정 공정(예, 열 에칭 공정), 및 반응 챔버의 전체 내부 표면을 세정하기 위해 비주기적으로 사용되는 플라즈마 세정 공정(예, 라디칼 기반 세정 공정)을 이용하기 위한 어셈블리, 구성 요소, 및 방법을 또한 포함할 수 있다. 우선적인 열 세정 공정과 라디칼 기반 세정 공정의 조합은, 반응기 시스템의 가용성, 예를 들어 유지보수 사이클 사이의 작동 시간("가동 시간")의 증가를 초래할 수 있다.
도 1은 본 개시의 예시적인 추가 구현예에 따른 반응기 시스템(100)을 나타낸다. 도 1에 나타낸 반응기 시스템(100)은 단순화된 개략도이며, 따라서 본 개시의 예시적인 반응 시스템(100)은, 예를 들어 밸브, 흐름 제어기, 압력 제어기, 히터, 가스 채널, 및 가스 공급원 등과 같은 추가 구성 요소 및 어셈블리(미도시)를 포함할 수 있다. 반응기 시스템(100)은 반응 챔버(102)를 포함한다. 반응 공간(104)과 기판 지지 어셈블리(114)가 반응 챔버 내에 배치된다. 반응 챔버(102)는 하나 이상의 내부 표면을 포함할 수 있고, 이러한 표면은 원치 않는 재료 또는 막으로 부분적으로 또는 완전히 코팅될 수 있다. 예를 들어, 원치 않는 재료(재료 증착물(400)(도 4))는 기판 지지 어셈블리(114)의 에지를 따라 축적될 수 있다. 반응 챔버(102) 내의 하나 이상의 내부 표면은, 챔버 벽의 노출 표면, 및 기판 지지 어셈블리(114)의 노출 표면을 포함할 수 있다. 기판 지지 어셈블리(114)는, 기판 지지 어셈블리의 노출 표면의 우선적인 세정을 가능하게 하는 온도로, 기판 지지 어셈블리를 가열하도록 구성된 하나 이상의 히터(134)를 포함할 수 있다. 기판 지지 어셈블리(114)는 세라믹 표면을 포함한 노출 표면을 포함할 수 있다. 대안적으로 또는 추가적으로, 기판 지지 어셈블리(114)는 금속 재료를 포함할 수 있다. 본 개시의 일부 구현예에서, 기판 지지 어셈블리(114)는 정전기력 척을 포함할 수 있다.
반응기 시스템(100)은 화학물질 저장 어셈블리(117)를 포함한다. 화학물질 저장 어셈블리(117)는 세정 화학물질(들), 전구체(들), 캐리어 가스, 및/또는 퍼지 가스를 함유하기 위한 하나 이상의 용기를 포함할 수 있다. 화학물질 저장 어셈블리(117)는 세정 화학물질을 함유하기 위한 적어도 하나의 용기를 포함할 수 있다. 일부 구현예에서, 화학물질 저장 어셈블리(117)는, 제1 세정 화학물질을 함유한 제1 용기(118)(및 연관된 흐름 제어기(128))를 포함할 수 있다. 일부 구현예에서, 화학물질 저장 어셈블리(117)는 제2 세정 화학물질을 함유한 제2 용기(120)(및 연관된 흐름 제어기(130))를 추가로 포함할 수 있다. 화학물질 저장 어셈블리(117)는 추가의 세정 화학물질을 함유한 용기를 추가로 포함할 수 있다. 화학물질 저장 어셈블리(117)는 다음으로 이루어진 군으로부터 선택된 하나 이상의 세정 화학물질을 저장 및 공급하도록 구성된 용기를 포함할 수 있다: NF3, BCl3, CCl4, XeF3, F2, NOF,F2, 및 NO2F.
반응기 시스템(100)은, 예를 들어 원격식 플라즈마 유닛(116)과 같은 플라즈마 생성 장치를 포함할 수 있다. 원격식 플라즈마 유닛(116)은 화학물질 저장 어셈블리(117)에 유체 연결될 수 있다. 일부 구현예에서, 원격식 플라즈마 유닛은 화학물질 저장 어셈블리(117)의 하류 및 반응 챔버(102)의 상류에 배치될 수 있다.
반응기 시스템(100)은, 제1 반응 챔버 유입구(121)를 통해 화학물질 저장 어셈블리(117)를 반응 챔버(102)에 유체 연결하는, 바이패스 라인(119)을 포함할 수 있다. 바이패스 라인(119)은, 비-플라즈마 활성화된 세정 종을 반응 챔버(102) 내에 배치된 반응 공간(104) 내로 도입하도록 구성될 수 있다. 예를 들어, 비-플라즈마 활성화된 세정 종은, 더 낮거나 감소된 온도에서의 내부 반응 챔버 표면과 비교하면, 상승된 온도에서 반응 챔버 내의 표면을 우선적으로 에칭하기 위해 이용되는, 열적으로 매우 활성화된 세정 화학물질을 포함할 수 있다.
일부 구현예에서, 화학물질 저장 어셈블리(117)는, 원격식 플라즈마 유닛(116)과 바이패스 라인(119) 모두와 유체 연통하고 단일 세정 화학물질을 함유한, 단일 세정 용기를 포함할 수 있다. 일부 구현예에서, 화학물질 저장 어셈블리(117)는 제1 세정 화학물질을 함유한 제1 용기(118), 및 제2 세정 화학물질을 함유한 제2 용기(120)를 포함할 수 있되, 제1 세정 화학물질은 제2 세정 화학물질과 상이하다. 일부 구현예에서, 제1 용기는 원격식 플라즈마 유닛(116)과 유체 연통할 수 있고, 제2 용기는 바이패스 라인(119)과 유체 연통할 수 있다.
일부 구현예에서, 바이패스 라인(119)은, 세정 화학물질을 함유한 용기를 제1 반응 챔버 유입구(121)에 유체 연결한다. 예를 들어, 제1 반응 챔버 유입구(121)는 가스 분배 어셈블리(106)의 원위에 배치될 수 있다.
반응기 시스템(100)은 또한 가스 분배 어셈블리(106)를 포함하고, 이는 가스 분배 장치(108), 가스 팽창 영역(110), 샤워헤드 플레이트(112)를 포함한다. 가스 분배 어셈블리(106)는 원격식 플라즈마 유닛(116)에 결합되고, 원격식 플라즈마 유닛(116)으로부터 활성화된 종을 수신하고, 가스 확장 영역(110) 내에서 활성화된 종을 분배하고, 활성화된 종을 샤워헤드 플레이트(112)를 통해 반응 챔버 내에 배치된 반응 공간에 제공한다. 가스 분배 어셈블리(106), 가스 팽창 영역(110), 및 샤워헤드 플레이트(112)는, 예를 들어 반응 챔버의 내부 영역에 원하는 양, 유량, 또는 플럭스의 활성 종을 제공하기 위해 원하는 방식으로 활성 종을 분배시키기 위해 사용될 수 있다.
원격식 플라즈마 유닛(116)은, 화학물질 저장 어셈블리(117)로부터 제공된 하나 이상의 용기(118, 및 120)로부터 활성화된 종(예, 라디칼)을 생성한다. 그 다음, 생성된 라디칼은 가스 분배 어셈블리(106)를 통해 반응 챔버(104)로 들어가고, 그 다음 반응 챔버(102) 내로 흐른다. 원격식 플라즈마 공급원은, 100 kHz, 400 kHz, 2 MHz, 13.56 MHz, 60 MHz, 160 MHz 및/또는 2.45 GHz 마이크로파 공급원과 같이 상이한 RF 주파수에 의해 구동되는, 토로이드 유형의 ICP 및/또는 CCP 공급원, 또는 코일 유형의 ICP를 포함할 수 있다.
반응기 시스템(100)은, 가스 채널(123)을 통해 화학물질 저장 어셈블리(117)와 유체 연통하는, 제2 반응 챔버 유입구(125)를 또한 포함할 수 있다. 제2 반응 챔버 유입구(125)는 가스 분배 어셈블리(106) 아래에 배치될 수 있고, 불활성 가스 흐름을 가스 분배 어셈블리(106)의 표면을 향해 유도하도록 구성될 수 있다. 예를 들어, 화학물질 저장 어셈블리는 제3 용기(122)(및 연관된 흐름 제어 밸브(132))를 포함할 수 있되, 제3 용기(122)는 불활성 가스(예, 질소 또는 아르곤)를 함유한다. 불활성 가스는 제2 반응 챔버 유입구(125)에 제공될 수 있고, 가스 분배 어셈블리(106)의 하부 표면을 향해, 특히 샤워헤드 플레이트(112)의 하부 표면을 향해, 반응 챔버(102) 내로 유도될 수 있다. 불활성 가스 흐름은 샤워헤드 플레이트의 하부 표면을 가로질러 보호 가스의 커튼을 제공할 수 있다. 예를 들어, 기판 지지 어셈블리(126)의 노출 표면의 우선적인 열 에칭을 수행할 경우, 보호 가스 커튼이 사용될 수 있다.
반응기 시스템(100)은, 또한 본원에 설명된 바와 같이, 다양한 기능 및/또는 단계를 수행하도록 구성될 수 있는 제어기(124)를 포함한다. 제어기(124)는, 다양한 기능을 수행하기 위해서 하나 이상의 마이크로프로세서, 메모리 요소, 및/또는 스위칭 요소를 포함할 수 있다. 단일 유닛으로 나타냈지만, 제어기(124)는 대안적으로 다수의 장치를 포함할 수 있다. 예로서, 제어기(124)는, (예를 들어, 유량을 모니터링하고 밸브(128, 130, 132)를 제어하여), 가스 흐름, 모터, 및/또는 제어 히터, 예컨대 하나 이상의 히터(134) 등을 제어하기 위해 사용될 수 있다.
본 개시의 일부 구현예에서, 반응기 시스템(100)은, 예를 들어 원자층 증착 또는 주기적 화학 기상 증착과 같은 주기적 증착 공정을 수행하도록 구성될 수 있다. 일부 구현예에서, 반응기 시스템(100)은, 반응 챔버(102) 내에 배치된 기판 지지 어셈블리 상에 배치된 기판의 표면 상에 막의 원자층 증착을 수행하도록 구성될 수 있다. 비제한적인 예시로서, 반응기 시스템(100)은 금속, 금속 질화물, 또는 금속 탄화물과 같은 막을 기판의 표면 상에 증착하도록 구성될 수 있다. 증착된 막은, 예를 들어 로직 장치(예, CMOS 장치), 및/또는 메모리 장치(예, NAND 장치)와 같은 전자 소자의 제작에 사용될 수 있다.
본 개시는, 반응 챔버를 세정하기 위한 방법을 추가로 포함한다. 예를 들어 세정 방법은, 하나 이상의 내부 표면을 포함한 반응 챔버를 제공하는 단계, 세정 화학물질을 함유하는 적어도 하나의 용기를 포함한 화학물질 저장 어셈블리를 제공하는 단계, 상기 세정 화학물질을 상기 화학물질 저장 어셈블리에 유체 연결된 원격식 플라즈마 유닛으로 흐르게 하는 단계, 및 플라즈마 활성화된 세정 종을 생성하는 단계, 상기 플라즈마 활성화된 세정 종을 상기 반응 챔버 내에 배치된 반응 공간 내로 도입하는 단계, 상기 세정 화학물질을, 상기 화학물질 저장 어셈블리를 상기 반응 챔버에 유체 연결하는 바이패스 라인으로 흐르게 하는 단계, 상기 반응 챔버 내에 배치된 상기 반응 공간 내에 비-플라즈마 활성 세정 종을 도입하는 단계, 상기 하나 이상의 내부 표면을 상기 플라즈마 활성화된 세정 종 및 상기 비-플라즈마 활성화된 세정 종 중 적어도 하나와 접촉시키는 단계, 및 상기 하나 이상의 내부 표면으로부터 바람직하지 않은 재료를 제거하는 단계를 포함할 수 있다.
세정 방법의 일부 구현예에서, 반응 챔버 내의 하나 이상의 내부 표면은, 적어도 하나의 챔버 벽과 기판 지지 어셈블리를 포함할 수 있다. 챔버 벽은 제1 온도에 있을 수 있고, 기판 지지 어셈블리는 제2 온도에 있을 수 있되, 제2 온도는 제1 온도보다 높다. 챔버 벽은 대략 100℃ 내지 200℃, 또는 120℃ 내지 180℃, 또는 140℃ 내지 170℃의 온도일 수 있다. 기판 지지 어셈블리, 특히 기판 지지 어셈블리의 노출 표면은 대략 400℃ 내지 700℃의 온도에서 존재할 수 있다.
본 개시의 세정 방법은, 제1 세정 화학물질을 함유한 제1 용기, 및 제2 세정 화학물질을 함유한 제2 용기를 포함하는 화학물질 저장 어셈블리를 포함할 수 있되 제1 세정 화학물질은 제2 세정 화학물질과 상이하다. 예를 들어, 제1 용기는 원격식 플라즈마 유닛과 유체 연통할 수 있고, 제2 용기는 바이패스 라인과 유체 연통할 수 있다. 일부 구현예에서, 화학물질 저장 어셈블리는, 원격식 플라즈마 유닛과 바이패스 라인 모두와 유체 연통할 수 있는 단일 세정 화학물질을 함유한, 단일 세정 용기를 포함한다. 일부 구현예에서, 세정 화학물질은, 예를 들어 NF3, BCl3, CCl4, XeF3, F2, NOF, F2, 및 NO2F와 같은 할라이드 함유 화학물질을 포함할 수 있다. 일부 구현예에서, 플라즈마 활성화된 세정 종은 제1 기간 동안 반응 챔버 내로 도입될 수 있고, 비-플라즈마 활성화된 세정 종은 제2 기간 동안 반응 챔버 내로 도입될 수 있으며, 제1 기간과 제2 기간은 비-동시적으로, 즉 플라즈마 활성화된 세정 종과 비-플라즈마 활성화된 세정 종은 동시에 반응 챔버 내로 도입되지 않는다. 대안적인 구현예에서, 플라즈마 활성화된 세정 종 및 비-플라즈마 활성화된 세정 종은 동시에, 즉 동일한 시간에, 또는 적어도 중첩 기간에 반응 챔버 내로 도입될 수 있다.
일부 구현예에서, 비-플라즈마 활성화된 세정 종은 제1 주파수로 반응 챔버 내로 도입되고, 플라즈마 활성화된 세정 종은 제2 주파수로 반응 챔버 내로 도입되며, 제2 주파수는 제1 주파수보다 작다.
일부 구현예에서, 비-플라즈마 활성화된 세정 종은 기판 지지 어셈블리의 표면 온도를 300℃ 초과, 또는 500℃ 초과, 또는 700℃ 초과, 또는 50℃ 내지 750℃의 온도를 유지하면서 반응 챔버 내로 도입될 수 있다. 추가적으로, 비-플라즈마 활성화된 세정 종의 도입 동안에 기판 지지 어셈블리의 온도를 유지하는 것뿐만 아니라, 반응 챔버의 다른 내부 습윤 표면(예, 챔버 벽)의 온도는 300℃ 미만, 또는 250℃ 미만, 또는 200℃ 미만, 또는 150℃ 미만, 또는 100℃ 미만, 또는 심지어 300℃ 내지 100℃의 온도에서 유지될 수 있다. 일부 구현예에서, 기판 지지 어셈블리(예, 정전기력 척의 표면 온도)와 반응 챔버의 다른 습윤 내부 표면 사이의 온도 차이는 100℃ 초과, 또는 200℃ 초과, 또는 300℃ 초과, 또는 400℃ 초과, 또는 500℃ 초과, 또는 심지어 600℃ 초과일 수 있다. 예를 들어, 상기 기판 지지 어셈블리의 표면 온도와 상기 반응 챔버의 다른 내부 습윤 표면 사이의 온도 차는, 상기 기판 지지 어셈블리의 표면으로부터 원치 않는 재료의 두꺼운 축적물을 신속하게 제거하는 데 이용될 수 있고, 이에 따라 상기 기판 지지 어셈블리를 작동 조건으로 신속하게 세정후 복구를 가능하게 하고, 예를 들어 정전기력 척을 포함한 기판 지지 어셈블리의 척킹 능력을 복구시킨다.
또한, 비-플라즈마 활성화된 세정 종을 반응 챔버 내로 도입하는 동안, 불활성 가스 퍼지 커튼이 샤워헤드 플레이트(112)의 하부 표면에 근접하게 또는 심지어 접촉해서 도입될 수 있고, 이에 의해 샤워헤드 플레이트(112)에 보호 불활성 가스 흐름을 제공할 수 있다.
일부 구현예에서, 비-플라즈마 활성화된 세정 종은, 반응 챔버의 챔버 벽 상에 노출된 원치 않는 막 또는 재료와 비교하여, 기판 지지 어셈블리의 노출 표면으로부터 원치 않는 막 또는 재료를 우선적으로 제거(즉, 에칭)할 수 있다. 즉, 세정 공정은, 반응 챔버의 하나 이상의 챔버 벽 위에 배치된 원치 않는 막보다 더 높은 속도로, 기판 지지 어셈블리의 노출 표면으로부터 원치 않는 막을 제거할 수 있다.
예를 들어, 도 2는, 플라즈마 및 열적으로 활성화된 세정 종에 의해 생성된 활성화된 세정 종 모두를 사용하여, 기판 지지 어셈블리의 온도, 즉 서셉터 온도에 대한 에칭 속도를 입증하는, 데이터를 나타낸다. 도 2의 데이터는, 특정 서셉터 온도에 대해 라디칼 세정 방법과 열 세정 방법 사이에 명확한 에칭 선택도(에칭 속도의 차이)가 있음을 명확히 입증한다.
또한, 도 4를 참조하면, 본 기술의 구현예는 일부 구성 요소로부터의 재료 증착물을 다른 구성 요소보다 더 높은 속도로 에칭할 수 있다. 특히, 열 세정할 때 더 높은 온도(예, 300℃ 초과)를 갖는 구성 요소는 더 낮은 온도(예, 200℃)를 갖는 구성 요소보다 더 높은 에칭 속도를 나타낸다. 예를 들어, 열 세정 전에, 재료 증착물(400)은 기판 지지 어셈블리(114) 상의 에지 상에서 관찰될 수 있는 반면, 샤워헤드 플레이트(112) 상에서는 최소의 증착물만이 관찰될 수 있다. 열 세정 공정은 40 A/초 초과의 속도로 기판 지지 어셈블리(114)로부터 재료 증착물을 제거할 수 있는 반면, 열 세정 공정은 샤워헤드 플레이트(112)로부터 임의의 재료 증착물을 제거하지는 않는다.
다양한 구현예에서, 그리고 도 3을 참조하면, 세정 단계(예, 라디칼 세정 및/또는 열 세정) 후에, 반응 챔버는 후속 컨디셔닝을 필요로 하지 않을 수 있다. 종래의 시스템에서, 새로운 증착 공정을 위해 신규 웨이퍼가 챔버 내로 전달될 수 있기 전에, 챔버 컨디셔닝이 필요할 수 있다. 종래의 세정 이후 및 컨디셔닝 이전에, 최대 150% 내지 200%의 공정 드리프트가 일반적으로 관찰된다. 공정 드리프트는, 정상적인 처리 조건으로의 반응 챔버의 복구에 부정적인 영향을 미친다. 이러한 공정 드리프트는 "더미 웨이퍼"상에서 증착 공정을 실행함(컨디셔닝이라고도 함)으로써 보정될 수 있지만, 이러한 추가 단계(즉, 더미 웨이퍼의 전달 및 추가 증착 실행)는 시스템의 작동 시간을 감소시킨다.
본 기술의 구현예에 따라, 세정 이후의 공정 드리프트는 최소(즉, +/- 5%)이므로, 더미 웨이퍼로 컨디셔닝할 필요가 없으며, 이는 시스템의 작동 시간을 증가시킨다. 구체적으로, 챔버가 MoN 및 몰리브덴을 증착하는 데 사용되는 경우, MoN 막의 비저항(Rs) 드리프트(즉, 공정 드리프트)가 측정될 수 있다.
종래의 세정에서, MoN 증착의 처음 몇 개의 웨이퍼는, 불소와 같은 세정 화학물질의 충격으로 인해 비정상적으로 높은 전기 비저항을 가질 것이다. 그러나, 본 기술의 구현예에 따라, 세정 공정 후 MoN 막의 비저항 드리프트는 최소이며(즉, +/- 5%), 따라서 더미 웨이퍼 상에서 증착 공정을 실행하는 것을 포함한 챔버 컨디셔닝은, 챔버를 정상 처리 조건으로 복구시키는 데 필요하지 않다. 본 기술의 구현예에 따라, 세정 공정이 완료된 이후, 그리고 새로운 증착 전에, 불소 제거제가 챔버 내로 도입되어 챔버의 정상적인 작동 조건으로의 복구를 개선시킬 수 있다.
전술한 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이며, 이는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의된다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (20)

  1. 반응기 시스템으로서,
    반응 챔버;
    세정 화학물질을 함유한 적어도 하나의 용기를 포함하는 화학물질 저장 어셈블리;
    상기 화학물질 저장 어셈블리에 유체 연결된 원격식 플라즈마 유닛;
    상기 원격식 플라즈마 유닛의 하류에 배치되고 상기 원격식 플라즈마 유닛으로부터 플라즈마 활성화된 종을 수용하고 상기 플라즈마 활성화된 종을 상기 반응 챔버 내에 배치된 반응 공간 내로 추가로 도입하도록 구성된, 가스 분배 어셈블리;
    상기 화학물질 저장 어셈블리를 상기 반응 챔버에 유체 연결하는 바이패스 라인으로서, 상기 바이패스 라인은 비-플라즈마 활성화된 종을 상기 반응 챔버 내에 배치된 상기 반응 공간 내로 도입하도록 구성되는, 상기 바이패스 라인; 및
    상기 반응 챔버 내에 배치된 기판 지지 어셈블리를 포함하는, 반응기 시스템.
  2. 제1항에 있어서, 상기 기판 지지 어셈블리는 하나 이상의 가열 요소를 포함하는, 시스템.
  3. 제1항 또는 제2항에 있어서, 상기 기판 지지 어셈블리는 노출된 세라믹 표면을 포함하는, 시스템.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 기판 지지 어셈블리는 정전기력 척을 포함하는, 시스템.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 바이패스 라인은, 상기 세정 화학물질을 함유한 상기 적어도 하나의 용기를 제1 반응 챔버 유입구에 유체 연결하는, 시스템.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 제1 반응 챔버 유입구는 상기 가스 분배 어셈블리의 원위에 배치되는, 시스템.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 화학물질 저장 어셈블리와 유체 연통하는 제2 반응 챔버 유입구를 추가로 포함하되, 상기 제2 반응 챔버 유입구는 상기 가스 분배 어셈블리 아래에 배치되고, 상기 가스 분배 어셈블리의 표면을 향해 불활성 가스 흐름을 유도하도록 구성되는, 시스템.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 화학물질 저장 어셈블리는 제1 세정 화학물질을 함유한 제1 용기, 및 제2 세정 화학물질을 함유한 제2 용기를 포함하되, 상기 제1 세정 화학물질은 상기 제2 세정 화학물질과 상이한, 시스템.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 제1 용기는 상기 원격식 플라즈마 유닛과 유체 연통하고, 상기 제2 용기는 상기 바이패스 라인과 유체 연통하는, 시스템.
  10. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 화학물질 저장 어셈블리는 단일 세정 화학물질을 함유한 단일 세정 용기를 포함하고, 상기 단일 세정 용기는 상기 원격 플라즈마 유닛과 상기 바이패스 라인 둘 모두와 유체 연통하는, 시스템.
  11. 반응기 시스템으로서,
    적어도 하나의 챔버 벽을 포함한 반응 챔버;
    라디칼 세정 종 및 비-라디칼 세정 종 모두를 상기 반응 챔버에 공급하도록 구성된 챔버 세정 어셈블리; 및
    상기 반응 챔버 내에 배치된 기판 지지 어셈블리를 포함하며;
    상기 챔버 세정 어셈블리는,
    세정 화학물질을 함유한 적어도 하나의 용기를 포함하는 화학물질 저장 어셈블리;
    상기 화학물질 저장 어셈블리 용기를 상기 반응 챔버에 유체 연결하되, 상기 반응 챔버의 하류에 배치된 플라즈마 생성 장치를 포함하는 제1 유체 채널; 및
    상기 화학물질 저장 어셈블리를 상기 반응 챔버에 유체 연결하는 제2 유체 채널을 포함하는, 반응기 시스템.
  12. 반응기 시스템을 세정하는 방법으로서,
    제1 내부 표면 및 제2 내부 표면을 포함한 반응 챔버를 제공하는 단계;
    세정 화학물질을 함유한 적어도 하나의 용기를 포함하는 화학물질 저장 어셈블리를 제공하는 단계;
    상기 세정 화학물질을 상기 화학물질 저장 어셈블리에 유체 연결된 원격식 플라즈마 유닛으로 흐르게 하는 단계;
    플라즈마 활성화된 세정 종을 생성하는 단계; 및
    제1 속도로 상기 제1 내부 표면으로부터 원치 않는 재료를 제거하는 단계, 및 상기 제1 속도보다 낮은 제2 속도로 상기 제2 내부 표면으로부터 원치 않는 재료를 제거하는 단계를 포함하되, 상기 원치 않는 재료를 제거하는 단계는,
    상기 플라즈마 활성화된 세정 종을 상기 반응 챔버 내에 배치된 반응 공간 내로 도입하는 단계;
    상기 화학물질 저장 어셈블리를 상기 반응 챔버에 유체 연결하는 바이패스 라인으로, 상기 세정 화학물질을 흐르게 하는 단계,
    상기 반응 챔버 내에 배치된 반응 공간 내에 비-플라즈마 활성 세정 종을 도입하는 단계; 및
    상기 하나 이상의 내부 표면을, 상기 플라즈마 활성화된 세정 종과 상기 비-플라즈마 활성화된 세정 종 중 적어도 하나와 접촉시키는 단계를 포함하는, 방법.
  13. 제12항에 있어서, 상기 제1 내부 표면은 기판 지지 어셈블리를 포함하고, 상기 제2 내부 표면은 챔버 벽을 포함하는, 방법.
  14. 제12항 내지 제14항 중 어느 한 항에 있어서, 상기 화학물질 저장 어셈블리는 제1 세정 화학물질을 함유한 제1 용기, 및 제2 세정 화학물질을 함유한 제2 용기를 포함하되, 상기 제1 세정 화학물질은 상기 제2 세정 화학물질과 상이한, 방법.
  15. 제12항 내지 제15항 중 어느 한 항에 있어서, 상기 제1 용기는 상기 원격식 플라즈마 유닛과 유체 연통하고, 상기 제2 용기는 상기 바이패스 라인과 유체 연통하는, 방법.
  16. 제12항 내지 제16항 중 어느 한 항에 있어서, 상기 화학물질 저장 어셈블리는, 단일 세정 화학물질을 함유한 단일 세정 용기를 포함하는, 방법.
  17. 제12항 내지 제17항 중 어느 한 항에 있어서, 상기 단일 세정 용기는, 상기 원격식 플라즈마 유닛과 상기 바이패스 라인 모두와 유체 연통하는, 방법.
  18. 제12항 내지 제18항 중 어느 한 항에 있어서, 상기 세정 화학물질은, NF3, BCl3, CCl4, XeF3, F2, NOF,F2, 및 NO2F로 이루어진 군으로부터 선택되는, 방법.
  19. 제12항 내지 제19항 중 어느 한 항에 있어서, 상기 비-플라즈마 활성화된 세정 종은 제1 주파수로 상기 반응 챔버 내로 도입되고, 상기 플라즈마 활성화된 세정 종은 제2 주파수로 상기 반응 챔버 내로 도입되며, 상기 제2 주파수는 상기 제1 주파수보다 작은, 방법.
  20. 제12항에 있어서, 상기 원치 않는 재료의 제거 이후의 공정 드리프트(process drift)는 +/- 5%인, 방법.
KR1020220049469A 2021-04-29 2022-04-21 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법 KR20220148735A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163181700P 2021-04-29 2021-04-29
US63/181,700 2021-04-29

Publications (1)

Publication Number Publication Date
KR20220148735A true KR20220148735A (ko) 2022-11-07

Family

ID=83760080

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220049469A KR20220148735A (ko) 2021-04-29 2022-04-21 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법

Country Status (5)

Country Link
US (1) US20220349051A1 (ko)
JP (1) JP2022171606A (ko)
KR (1) KR20220148735A (ko)
CN (1) CN115261826A (ko)
TW (1) TW202245926A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230073011A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Shutter disk for physical vapor deposition (pvd) chamber
CN117732818A (zh) * 2024-02-20 2024-03-22 威海市正威机械设备股份有限公司 一种反应容器的清洁系统及方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920004171B1 (ko) * 1984-07-11 1992-05-30 가부시기가이샤 히다찌세이사꾸쇼 드라이에칭장치
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
KR100855002B1 (ko) * 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US10879049B2 (en) * 2015-07-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition tool and operating method thereof
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법

Also Published As

Publication number Publication date
TW202245926A (zh) 2022-12-01
US20220349051A1 (en) 2022-11-03
JP2022171606A (ja) 2022-11-11
CN115261826A (zh) 2022-11-01

Similar Documents

Publication Publication Date Title
US11355338B2 (en) Method of depositing material onto a surface and structure formed according to the method
KR20220148735A (ko) 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
KR102598660B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
KR20210005961A (ko) 금속 오염을 제어하기 위한 챔버의 인-시튜 cvd 및 ald 코팅
KR20190015146A (ko) 개선된 게르마늄 식각 시스템들 및 방법들
US20140272341A1 (en) Thermal treated sandwich structure layer to improve adhesive strength
KR20170077839A (ko) 기능기-함유 플루오로카본을 사용한 원자층 식각 방법
CN108735596B (zh) 处理被处理体的方法
US20150228463A1 (en) Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
KR20100049704A (ko) 성막 장치
KR20100029041A (ko) 성막 방법 및 성막 장치
CN100557075C (zh) 处理衬底的方法
JP2004096060A (ja) 成膜方法
CN112313785A (zh) 去除金属氧化物膜的温度控制系统和方法
KR102623770B1 (ko) 성막 방법
KR20170105883A (ko) 플라즈마 안정화 방법 및 이를 이용한 증착 방법
KR20210116679A (ko) 프로세스 챔버를 세정하기 위한 방법
KR20210072121A (ko) 프로세스 챔버 컴포넌트 세정 방법
WO2004095555A1 (ja) 熱処理装置のクリーニング方法
US20220068647A1 (en) Method and system for forming patterned features on a surface of a substrate
US20220145455A1 (en) Reactor and related methods
US20220319858A1 (en) Method and system for forming patterned structures including silicon nitride
US20220068639A1 (en) Method and system for forming patterned structures using multiple patterning process
US20190249295A1 (en) Ammonia pre-treatment to promote amorphous silicon adhesion to aluminum nitride
JP2023122630A (ja) スペーサーおよび関連する構造を形成する方法