KR20140077866A - Computation of statistics for statistical data decimation - Google Patents

Computation of statistics for statistical data decimation Download PDF

Info

Publication number
KR20140077866A
KR20140077866A KR1020130156796A KR20130156796A KR20140077866A KR 20140077866 A KR20140077866 A KR 20140077866A KR 1020130156796 A KR1020130156796 A KR 1020130156796A KR 20130156796 A KR20130156796 A KR 20130156796A KR 20140077866 A KR20140077866 A KR 20140077866A
Authority
KR
South Korea
Prior art keywords
values
variable
generator
value
module
Prior art date
Application number
KR1020130156796A
Other languages
Korean (ko)
Other versions
KR102220078B1 (en
Inventor
앤드류 에스. 퐁
주니어 존 씨. 발코어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/086,883 external-priority patent/US9295148B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140077866A publication Critical patent/KR20140077866A/en
Application granted granted Critical
Publication of KR102220078B1 publication Critical patent/KR102220078B1/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • General Physics & Mathematics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Algebra (AREA)
  • Computational Mathematics (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Analysis (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Described are systems and methods for statistical data decimation. The method includes the steps of: receiving a variable from an RF system; propagating the variable through a model of the RF system; and counting the output of the model for the variable to generate a count. The method further includes the steps of: determining whether the count satisfies a count threshold value; generating the statistical value of the variable in the output of the model when the count satisfies the count threshold value; and transmitting the statistical value to the RF system to control the variable.

Description

통계 데이터 데시메이션을 위한 통계의 계산{COMPUTATION OF STATISTICS FOR STATISTICAL DATA DECIMATION}[0001] COMPUTATION OF STATISTICS FOR STATISTICAL DATA DECIMATION [0002]

본 발명의 실시형태들은, 플라즈마 시스템에서 통계 데이터 결정 및 통계값의 사용을 수행하는 것에 관한 것이다.Embodiments of the present invention are directed to performing statistical data determination and use of statistical values in a plasma system.

플라즈마 시스템에서, 무선 주파수 (RF) 신호는 생성기에 의해 생성된다. 신호는 플라즈마 반응기 내에서 플라즈마를 생성하기 위해 플라즈마 반응기에 전달된다. 플라즈마 반응기에서 생성된 플라즈마는 다양한 애플리케이션들, 예를 들어, 웨이퍼 세정, 웨이퍼 상의 재료들을 증착, 웨이퍼를 에칭 등을 위해 사용된다.In a plasma system, a radio frequency (RF) signal is generated by a generator. The signal is delivered to the plasma reactor to produce a plasma in the plasma reactor. Plasma generated in a plasma reactor is used for a variety of applications, such as wafer cleaning, depositing materials on a wafer, etching a wafer, and the like.

애플리케이션들을 제어하기 위해 플라즈마의 속성들을 제어하는 것이 바람직하다. 예를 들어, 에칭 레이트를 달성하기 위해 플라즈마 균일도를 제어하는 것이 바람직하다. 다른 예로서, 증착 레이트를 달성하기 위해 플라즈마의 전력을 제어하는 것이 바람직하다.It is desirable to control the properties of the plasma to control the applications. For example, it is desirable to control the plasma uniformity to achieve an etch rate. As another example, it is desirable to control the power of the plasma to achieve the deposition rate.

속성을 제어하기 위해, 속성은 플라즈마 시스템 내의 센서를 사용하여 측정된다.To control the property, the property is measured using a sensor in the plasma system.

이러한 맥락에서, 본 발명에 설명된 실시형태들이 등장한다.In this context, the embodiments described in the present invention appear.

본 발명의 실시형태들은, 플라즈마 시스템 내의 모델과 연관된 데이터의 양을 감소시키기 위해 통계값을 생성하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 발명의 실시형태들이 다수의 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터-판독가능 매체 상의 방법으로 구현될 수 있음을 인식해야 한다. 수 개의 실시형태들이 후술된다.Embodiments of the present invention provide apparatus, methods and computer programs for generating statistical values to reduce the amount of data associated with a model in a plasma system. It should be appreciated that embodiments of the present invention may be implemented in a number of ways, for example, in a process, apparatus, system, device, or computer-readable medium. Several embodiments are described below.

몇몇 실시형태들에서, 통계값은 플라즈마 챔버를 제어하거나 RF 신호를 생성하기 위해 사용된다. 예를 들어, 플라즈마 챔버를 제어하기 위해 컴퓨터-생성된 모델의 출력에서 변수의 모든 값들을 분석하는 것 대신에, 통계값이 그 값들로부터 생성되며, 통계값이 미리-결정된 범위 내에 있는지를 결정한다. 통계값이 미리-결정된 범위 내에 있다고 결정할 시에, 플라즈마 챔버는 제어되지 않으며, 예를 들어, 플라즈마 챔버로 공급되는 RF 신호는 변경되지 않고, 기타 등등이 행해진다. 한편, 통계값이 미리-결정된 범위 외부에 있지 않다고 결정할 시에, 플라즈마 챔버는 통계값을 사용하여 제어되지 않으며, 예를 들어, 플라즈마 챔버로 공급된 RF 신호는 통계값에 기초하여 생성되고, 기타 등등이 행해진다.In some embodiments, the statistics are used to control the plasma chamber or to generate an RF signal. For example, instead of analyzing all values of a variable in the output of a computer-generated model to control the plasma chamber, a statistical value is generated from those values and determines whether the statistical value is within a pre-determined range . When determining that the statistical value is within a pre-determined range, the plasma chamber is not controlled, e.g., the RF signal supplied to the plasma chamber is not changed, and so on. On the other hand, when it is determined that the statistical value is not outside the pre-determined range, the plasma chamber is not controlled using the statistic value, for example, the RF signal supplied to the plasma chamber is generated based on the statistical value, And so on.

다양한 실시형태들에서, 방법은, 무선 주파수 (RF) 시스템으로부터 변수를 수신하는 단계, RF 시스템의 모델을 통해 변수를 전파하는 단계, 및 카운트를 생성하기 위해 변수에 대한 모델의 출력을 카운팅하는 단계를 포함한다. 방법은 카운트가 카운트 임계치를 충족시키는지를 결정하는 단계, 카운트가 카운트 임계치를 충족시키는지를 결정할 시에 모델의 출력에서 변수의 통계값을 생성하는 단계, 및 변수를 조정하기 위해 RF 시스템에 통계값을 전송하는 단계를 더 포함한다.In various embodiments, the method includes receiving a variable from a radio frequency (RF) system, propagating the variable via a model of the RF system, and counting the output of the model for the variable to generate a count . The method includes the steps of determining whether the count meets a count threshold, generating a statistical value of the variable at the output of the model in determining whether the count meets the count threshold, And transmitting the data.

다양한 실시형태들에서, 방법은 무선 주파수 (RF) 생성기로부터 변수와 연관된 데이터를 수신하는 단계를 포함한다. RF 생성기는, 임피던스 매칭 회로를 통해 플라즈마 챔버로 공급될 RF 신호를 생성하기 위해 구성된다. 변수는, RF 생성기, 임피던스 매칭 회로, 및 플라즈마 챔버를 포함하는 RF 시스템과 연관된다. 방법은, 수신 데이터에 기초하여 컴퓨터-생성된 모델의 출력에서 값들을 생성하는 단계, 컴퓨터-생성된 모델로부터 출력된 값들의 양을 카운팅하는 단계, 양이 카운트 임계치를 초과하는지를 결정하는 단계, 양이 카운트 임계치를 초과한다고 결정하는 것에 응답하여 컴퓨터-생성된 모델로부터 출력되고 생성된 데이터로부터 통계값을 생성하는 단계, 및 RF 생성기에 의해 생성된 RF 신호를 조정하기 위해 RF 생성기에 통계값을 전송하는 단계를 더 포함한다.In various embodiments, the method includes receiving data associated with a variable from a radio frequency (RF) generator. The RF generator is configured to generate an RF signal to be supplied to the plasma chamber through an impedance matching circuit. The variable is associated with an RF generator, an impedance matching circuit, and an RF system including a plasma chamber. The method includes generating values in an output of the computer-generated model based on received data, counting an amount of values output from the computer-generated model, determining if the amount exceeds a count threshold, Generating statistical values from data output and generated from the computer-generated model in response to determining that the count threshold is exceeded, and transmitting statistical values to the RF generator to adjust the RF signal generated by the RF generator .

몇몇 실시형태들에서, 방법은, 무선 주파수 (RF) 생성기로부터 변수와 연관된 데이터를 수신하는 단계를 포함한다. RF 생성기는, 임피던스 매칭 회로를 통해 플라즈마 챔버로 공급될 RF 신호를 생성하기 위하여 사용된다. 변수는, RF 생성기, 임피던스 매칭 회로, 및 플라즈마 챔버를 포함하는 RF 시스템과 연관된다. 방법은, 수신 데이터에 기초하여 컴퓨터-생성된 모델의 출력에서 값들을 생성하는 단계, 컴퓨터-생성된 모델로부터 출력된 값들의 양을 카운팅하는 단계, 양이 카운트 임계치를 초과하는지를 결정하는 단계, 양이 카운트 임계치를 초과한다고 결정하는 것에 응답하여 컴퓨터-생성된 모델로부터 출력된 값들로부터 통계값을 생성하는 단계, 통계값이 미리-결정된 범위 외부에 있는지를 결정하는 단계, 통계값이 미리-결정된 범위 외부에 있다고 결정하는 것에 응답하여 밀-결정된 범위 내에 있도록 통계값을 조정하는 단계, 및 RF 생성기에 의해 생성된 RF 신호를 조정하기 위해 RF 생성기를 제어하도록 RF 생성기에 조정된 통계값을 전송하는 단계를 포함한다.In some embodiments, the method includes receiving data associated with a variable from a radio frequency (RF) generator. The RF generator is used to generate an RF signal to be supplied to the plasma chamber through an impedance matching circuit. The variable is associated with an RF generator, an impedance matching circuit, and an RF system including a plasma chamber. The method includes generating values in an output of the computer-generated model based on received data, counting an amount of values output from the computer-generated model, determining if the amount exceeds a count threshold, Generating a statistic value from the values output from the computer-generated model in response to determining that the statistical value exceeds the count threshold; determining if the statistical value is outside a pre-determined range; Adjusting the statistical values to be within a mill-determined range in response to determining that the RF signal is outside the predetermined range, and transmitting the adjusted statistical value to the RF generator to control the RF generator to adjust the RF signal generated by the RF generator .

다수의 실시형태들에서, 방법은 무선 주파수 (RF) 생성기로부터의 변수와 연관된 데이터를 수신하는 단계를 포함한다. RF는 임피던스 매칭 회로를 통해 플라즈마 챔버로 공급될 RF 신호를 생성하기 위해 사용된다. 변수는 RF 생성기, 임피던스 매칭 회로, 및 플라즈마 챔버를 포함하는 RF 시스템과 연관된다. 방법은, 수신 데이터에 기초하여 컴퓨터-생성된 모델의 출력에서 값들을 생성하는 단계, 컴퓨터-생성된 모델로부터 출력된 값들의 양을 카운팅하는 단계, 양이 카운트 임계치를 초과하는지를 결정하는 단계, 및 양이 카운트 임계치를 초과한다고 결정하는 것에 응답하여 컴퓨터-생성된 모델로부터 출력된 값들로부터 통계값을 생성하는 단계를 더 포함한다. 방법은, 통계값이 미리-결정된 범위 외부에 있는지를 결정하는 단계, 통계값이 미리-결정된 범위 외부에 있다고 결정하는 것에 응답하여 실패 (fault) 의 표시를 생성하는 단계, 및 실패 표시를 RF 생성기에 전송하는 단계를 포함한다.In many embodiments, a method includes receiving data associated with a variable from a radio frequency (RF) generator. The RF is used to generate an RF signal to be supplied to the plasma chamber through an impedance matching circuit. The variables are associated with an RF generator, an impedance matching circuit, and an RF system including a plasma chamber. The method includes generating values in an output of the computer-generated model based on received data, counting an amount of values output from the computer-generated model, determining if the amount exceeds a count threshold, and Generating a statistic value from the values output from the computer-generated model in response to determining that the amount exceeds the count threshold. The method includes the steps of determining whether the statistical value is outside a pre-determined range, generating an indication of a fault in response to determining that the statistical value is outside a pre-determined range, .

본 발명에 설명된 하나 이상의 실시형태들의 몇몇 이점들은, 플라즈마 챔버를 제어하기 위해 컴퓨터-생성된 모델의 출력에서 변수의 모든 값들 대신 통계값의 사용을 포함한다. 예를 들어, 값들이 미리-결정됨 범위 내에 있는지를 결정하는 것 대신에, 통계값이 미리-결정된 범위 내에 있는지가 결정된다. 통계값이 미리-결정된 범위 내에 있다고 결정할 시에, 플라즈마 챔버를 추가적으로 제어하기 위해 변화가 행해지지 않는다. 한편, 통계값이 미리-결정된 범위 외부에 있다고 결정할 시에, 변경된 통계값을 달성하기 위해 플라즈마 챔버를 제어하도록 통계값에 대한 변화가 행해진다.Some advantages of the one or more embodiments described in the present invention include the use of statistical values in place of all values of a variable at the output of a computer-generated model to control the plasma chamber. For example, instead of determining whether values are within a pre-determined range, it is determined whether the statistical value is within a pre-determined range. When determining that the statistical value is within a pre-determined range, no change is made to further control the plasma chamber. On the other hand, when it is determined that the statistical value is outside the pre-determined range, a change is made to the statistical value to control the plasma chamber to achieve the changed statistical value.

컴퓨터-생성된 모델의 출력에서 모든 값들 대신에 통계값의 사용은, 값들을 프로세싱하는 것과 연관된 프로세싱 비욜들을 절약한다. 예를 들어, 다수의 서버들, 예를 들어, 서버 팜 (farm) 등을 사용하는 것 대신에, 통계값을 생성하고 그 값들에 기초하여 플라즈마 챔버를 제어하도록 값들을 프로세싱하기 위해, 다수의 프로세서들, 예를 들어, 하나, 2개 등은, 통계값을 생성하고 통계값에 기초하여 플라즈마 챔버를 제어하는데 충분하다.The use of statistical values instead of all values in the output of a computer-generated model saves processing costs associated with processing values. For example, instead of using multiple servers, e.g., a server farm, etc., to process the values to generate statistics and control the plasma chamber based on those values, E.g., one, two, etc., are sufficient to generate a statistical value and control the plasma chamber based on the statistical value.

본 발명에 설명된 하나 이상의 실시형태들의 다른 이점들은, 변수의 통계값을 생성한 이후 수신되는 데이터를 데시메이팅 (decimate) 하는 것을 포함한다. 데이터의 데시메이션은, 호스트 제어기의 저장 디바이스 내에 비워진 위치들을 생성한다. 비워진 위치들은 플라즈마 시스템과 연관된 변수에 관한 더 많은 데이터를 수신하는데 사용된다.Other advantages of the one or more embodiments described in the present invention include decimating the received data after generating the statistical values of the variables. The decimation of the data creates vacated locations in the storage device of the host controller. The vacated locations are used to receive more data about the variables associated with the plasma system.

다른 양태들이 첨부한 도면들과 함께 취해진 다음의 상세한 설명으로부터 명백해질 것이다.Other aspects will become apparent from the following detailed description taken in conjunction with the accompanying drawings.

실시형태들은, 첨부한 도면들과 함께 취해진 다음의 설명을 참조하여 최상으로 이해될 수도 있다.Embodiments may be best understood by reference to the following description taken in conjunction with the accompanying drawings.

도 1은 본 발명에 설명된 실시형태에 따른, 변수의 통계값을 생성하기 위한 플라즈마 시스템의 블록도이다.
도 2는 본 발명에 설명된 실시형태에 따른, 변수의 통계값을 생성하기 위한 다른 플라즈마 시스템의 다이어그램이다.
도 3은 본 발명에 설명된 실시형태에 따른, 도 1 또는 도 2의 플라즈마 시스템의 호스트 시스템의 다이어그램이다.
도 4는 본 발명에 설명된 일 실시형태에 따른, 도 1 또는 도 2의 플라즈마 시스템의 다른 호스트 시스템의 다이어그램이다.
도 5는 본 발명에 설명된 일 실시형태에 따른, 메모리 위치에 액세스하기 위한 포인터들의 사용을 예시하기 위한 저장 디바이스의 다이어그램이다.
도 6은 본 발명에 설명된 일 실시형태에 따른, 삽입 정렬 (sort) 동작의 다이어그램이다.
도 7은 본 발명에 설명된 일 실시형태에 따른, 병합 정렬 동작을 예시하기 위한 메모리 어레이들의 다이어그램이다.
도 8은 본 발명에 설명된 일 실시형태에 따른, 병합 정렬 동작 내의 비교 동작을 예시하기 위한 저장 디바이스의 다이어그램이다.
도 9는 본 발명에 설명된 일 실시형태에 따른, 도 1 또는 도 2의 플라즈마 시스템의 통계 결정 (DS) 모듈의 다이어그램이다.
도 10은 본 발명에 설명된 일 실시형태에 따른, 도 1 또는 도 2의 플라즈마 시스템의 SD 모듈의 다이어그램이다.
도 11은 본 발명에 설명된 일 실시형태에 따른, 플라즈마 시스템에서 바이어스를 보상하고 및/또는 실패를 검출하기 위한 시간 슬라이스 샘플링 방법의 일 실시형태의 다이어그램이다.
도 12는 본 발명에 설명된 일 실시형태에 따른, 이동 분산을 생성하는데 사용되는 SD 모듈의 블록도이다.
도 13은 본 발명에 설명된 일 실시형태에 따른 통계값을 생성하기 위한 방법의 흐름도이다.
1 is a block diagram of a plasma system for generating statistical values of a variable, in accordance with an embodiment described herein.
2 is a diagram of another plasma system for generating statistical values of a variable, in accordance with an embodiment described in the present invention.
Figure 3 is a diagram of a host system of the plasma system of Figure 1 or Figure 2, in accordance with the embodiment described in the present invention.
Figure 4 is a diagram of another host system of the plasma system of Figure 1 or Figure 2, in accordance with one embodiment described in the present invention.
5 is a diagram of a storage device for illustrating the use of pointers for accessing a memory location, in accordance with one embodiment described in the present invention.
Figure 6 is a diagram of an insertion sort operation, in accordance with one embodiment described in the present invention.
Figure 7 is a diagram of memory arrays for illustrating a merge alignment operation, in accordance with one embodiment described in the present invention.
Figure 8 is a diagram of a storage device for illustrating a comparison operation in a merge sort operation, in accordance with one embodiment described in the present invention.
Figure 9 is a diagram of a statistics determination (DS) module of the plasma system of Figure 1 or Figure 2, in accordance with one embodiment described in the present invention.
10 is a diagram of an SD module of the plasma system of FIG. 1 or FIG. 2, in accordance with one embodiment described in the present invention.
11 is a diagram of one embodiment of a time slice sampling method for compensating for bias and / or detecting a failure in a plasma system, in accordance with one embodiment described in the present invention.
12 is a block diagram of an SD module used to create a mobile variance, in accordance with one embodiment described in the present invention.
13 is a flowchart of a method for generating a statistical value according to an embodiment described in the present invention.

다음의 실시형태들은 통계 데이터 데시메이션을 수행하기 위한 시스템들 및 방법들을 설명한다. 본 발명의 실시형태들이 이들 특정한 세부사항들 중 몇몇 또는 전부 없이도 실시될 수도 있다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 본 발명의 실시형태들을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않는다.The following embodiments describe systems and methods for performing statistical data decimation. Embodiments of the invention may be practiced without some or all of these specific details. In other instances, well-known process operations are not described in detail in order not to unnecessarily obscure the embodiments of the present invention.

도 1은 변수의 통계값을 생성하기 위한 플라즈마 시스템 (100) 의 일 실시형태의 블록도이다. 플라즈마 시스템 (100) 은 호스트 시스템 (140), x메가헤르츠 (MHz) 무선 주파수 (RF) 생성기, y MHz RF 생성기, 및 z MHz RF 생성기를 포함한다. 각각의 RF 생성기는 제어기를 포함한다. 예를 들어, x MHz RF 생성기는 x 제어기를 포함하고, y MHz RF 생성기는 y 제어기를 포함하며, z MHz RF 생성기는 z 제어기를 포함한다. x MHz, y MHz, 또는 z MHz의 예들은 2MHz, 27MHz, 및 60MHz 를 포함한다. 몇몇 실시형태들에서, xMHz는 2MHz이고, yMHz는 27MHz이며, zMHz는 60MHz이다. 또한, 호스트 시스템 (140) 은 호스트 제어기 (150) 를 포함한다.1 is a block diagram of one embodiment of a plasma system 100 for generating statistical values of a variable. The plasma system 100 includes a host system 140, a x MHz radio frequency (RF) generator, a y MHz RF generator, and a z MHz RF generator. Each RF generator includes a controller. For example, an x MHz RF generator includes an x controller, a y MHz RF generator includes a y controller, and a z MHz RF generator includes a z controller. Examples of x MHz, y MHz, or z MHz include 2 MHz, 27 MHz, and 60 MHz. In some embodiments, x MHz is 2 MHz, y MHz is 27 MHz, and z MHz is 60 MHz. The host system 140 also includes a host controller 150.

몇몇 실시형태들에서, 제어기는 프로세서 및 저장 디바이스를 포함한다. 수 개의 실시형태들에서, 프로세서는 중앙 프로세싱 유닛 (CPU), 또는 마이크로프로세서, 또는 주문형 집적회로 (ASIC), 또는 프로그래밍가능 로직 디바이스 (PLD) 등이다. 저장 디바이스의 예들은 판독-전용 메모리 (ROM), 랜덤 액세스 메모리 (RAM), 또는 이들의 조합을 포함한다. 다양한 실시형태들에서, 저장 디바이스는 플래시 메모리, 또는 저장 디스크들의 리던던트한 어레이 (RAID), 또는 하드 디스크 등이다.In some embodiments, the controller includes a processor and a storage device. In some embodiments, the processor is a central processing unit (CPU), or a microprocessor, or an application specific integrated circuit (ASIC), or a programmable logic device (PLD). Examples of storage devices include read-only memory (ROM), random access memory (RAM), or a combination thereof. In various embodiments, the storage device is a flash memory, or a redundant array (RAID) of storage disks, or a hard disk.

x 제어기는, 시리얼 접속, 또는 병렬 접속, 또는 병렬 시리얼 병렬 인터페이스 (PSPI) 를 포함하는 케이블 (144) 을 통해 호스트 시스템 (140) 에 커플링된다. 유사하게, y MHz RF 생성기는 케이블을 통해 호스트 시스템에 커플링되고, z MHz RF 생성기는 케이블을 통해 호스트 시스템 (140) 에 커플링된다. RF 생성기를 호스트 시스템 (140) 에 커플링시키는 각각의 케이블은, 시리얼 접속, 또는 병렬 접속, 또는 병렬 시리얼 병렬 인터페이스 (PSPI) 를 포함한다.x controller is coupled to the host system 140 via a cable 144 that includes a serial connection, or a parallel connection, or a parallel serial parallel interface (PSPI). Similarly, the y MHz RF generator is coupled to the host system via a cable, and the z MHz RF generator is coupled to the host system 140 via a cable. Each cable that couples the RF generator to the host system 140 includes a serial connection, or a parallel connection, or a parallel serial parallel interface (PSPI).

플라즈마 시스템 (100) 은 임피던스 매칭 회로 (106) 및 플라즈마 챔버 (111) 를 더 포함한다. 임피던스 매칭 회로 (106) 는 RF 송신 라인 (132) 을 통해 플라즈마 챔버 (111) 에 접속된다. 몇몇 실시형태들에서, RF 송신 라인 (132) 의 일부는, RF 터널에 의해 둘러싸인 절연체에 의해 둘러싸인 RF 케이블을 포함하고, 척 (152) 으로 유도되는 RF 송신 라인 (132) 의 다른 부분은 RF 실린더에 의해 둘러싸인다.The plasma system 100 further includes an impedance matching circuit 106 and a plasma chamber 111. The impedance matching circuit 106 is connected to the plasma chamber 111 via an RF transmission line 132. In some embodiments, a portion of RF transmit line 132 includes an RF cable surrounded by an insulator surrounded by an RF tunnel, and another portion of RF transmit line 132, which is directed to chuck 152, .

임피던스 매칭 회로는, 임피던스 매칭 회로에 접속된 로드의 임피던스를 임피던스 매칭 회로에 접속된 소스의 임피던스와 매칭시킨다. 소스는, RF 에너지를 소비하는 로드에 RF 에너지를 제공한다. 소스의 예들은 x, y, 및 z RF 생성기들 중 하나 이상, 및 RF 생성기들을 임피던스 매칭 회로 (106) 에 커플링시키는 RF 케이블들 중 하나 이상을 포함한다. 몇몇 실시형태들에서, 소스는, 임피던스 매칭 회로 (106) 와 x, y, 및 z MHz RF 생성기들 중 하나 이상 사이에 커플링된 플라즈마 시스템 (100) 의 다른 디바이스들 (미도시), 예를 들어, RF 케이블을 통해 공급된 RF 신호를 필터링하는데 사용되는 필터 등을 포함한다. 로드의 예들은 플라즈마 챔버 (111) 및 RF 송신 라인 (132) 을 포함한다. 로드의 다른 예들은 다른 디바이스들 (미도시), 예를 들어, 임피던스 매칭 회로 (106) 와 플라즈마 챔버 (111) 사이에 커플링된 플라즈마 시스템 (100) 의 RF 송신 라인 (132) 을 통해 공급된 RF 신호를 필터링하기 위한 필터 등을 포함한다.The impedance matching circuit matches the impedance of the load connected to the impedance matching circuit with the impedance of the source connected to the impedance matching circuit. The source provides RF energy to the load that consumes RF energy. Examples of sources include one or more of the x, y, and z RF generators, and RF cables coupling the RF generators to the impedance matching circuit 106. In some embodiments, the source includes other devices (not shown) of the plasma system 100 coupled between the impedance matching circuit 106 and one or more of the x, y, and z MHz RF generators, A filter used to filter the RF signal supplied through the RF cable, and the like. Examples of loads include a plasma chamber 111 and an RF transmission line 132. Other examples of loads may be provided through RF transmit lines 132 of plasma system 100 coupled between other devices (not shown), for example, impedance matching circuit 106 and plasma chamber 111 A filter for filtering an RF signal, and the like.

플라즈마 챔버 (111) 는 RF 송신 라인 (132) 에 접속된 척 (152), 예를 들어, 정전척 (ESC), 자기 척 등을 포함한다. 플라즈마 챔버 (111) 는 척 (152) 에 대면하는 상부 전극 (154) 을 더 포함한다. 예를 들어, 상부 전극 (154) 의 하부 표면 (156) 은 척 (152) 의 상부 표면 (158) 에 걸쳐 위치되고 그 표면에 대면한다. 다양한 실시형태들에서, 상부 전극 (154) 은 접지된다. 척 (152) 은, 금속, 예를 들어, 애노드화된 알루미늄, 알루미늄의 합금 등으로 제작된 하부 전극을 포함한다. 또한, 상부 전극 (154) 은 금속, 예를 들어, 알루미늄, 알루미늄의 합금 등으로 제작된다.The plasma chamber 111 includes a chuck 152, for example, an electrostatic chuck (ESC), a magnetic chuck, etc., connected to an RF transmission line 132. The plasma chamber 111 further includes an upper electrode 154 facing the chuck 152. For example, the lower surface 156 of the upper electrode 154 is positioned over and confronting the upper surface 158 of the chuck 152. In various embodiments, the upper electrode 154 is grounded. The chuck 152 includes a lower electrode made of a metal, for example, an anodized aluminum, an alloy of aluminum, or the like. The upper electrode 154 is made of a metal, for example, an alloy of aluminum and aluminum.

워크피스 (160), 예를 들어, 반도체 웨이퍼, 집적 회로가 전개되는 반도체 웨이퍼 등은, 워크피스 (160) 의 프로세싱을 위해 상부 표면 (158) 상에 배치된다. 워크피스 (160) 를 프로세싱하는 예들은 워크피스 (160) 를 세정하는 것, 또는 워크피스 (160) 를 에칭하는 것, 또는 워크 피스 (160) 상에 막들, 예를 들어, 산화물 막들 등을 증착하는 것, 또는 이들의 조합 등을 포함한다. 워크피스 (160) 상에 전개된 집적 회로는, 예를 들어, 셀 전화기들, 태블릿들, 스마트폰들, 컴퓨터들, 랩탑들, 네트워킹 장비 등과 같은 다양한 컴퓨팅 디바이스들에서 사용된다.A workpiece 160, e.g., a semiconductor wafer, a semiconductor wafer on which the integrated circuit is deployed, etc., is disposed on the top surface 158 for processing of the workpiece 160. Examples of processing the workpiece 160 include cleaning the workpiece 160 or etching the workpiece 160 or depositing films, e.g., oxide films, etc., on the workpiece < RTI ID = , Or a combination thereof. The integrated circuit developed on the workpiece 160 is used in a variety of computing devices such as, for example, cell phones, tablets, smart phones, computers, laptops, networking equipment, and the like.

몇몇 실시형태들에서, 플라즈마 챔버 (111) 는 다른 컴포넌트들 (미도시), 예를 들어, 상부 전극 (156) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 확장부, 척 (152) 을 둘러싸는 하부 유전체 링, 척 (152) 을 둘러싸는 하부 전극 확장부, 상부 플라즈마 배제 구역 (PEZ) 링, 하부 PEZ 링 등을 포함한다.In some embodiments, the plasma chamber 111 includes other components (not shown), for example, an upper dielectric ring surrounding the upper electrode 156, an upper electrode extension surrounding the upper dielectric ring, a chuck 152 A lower electrode extension surrounding the chuck 152, an upper plasma exclusion zone (PEZ) ring, a lower PEZ ring, and the like.

다양한 실시형태들에서, 상부 전극 (154) 은 중앙 가스 피드, 예를 들어, 가스 공급 라인 (미도시) 등에 커플링된 하나 이상의 홀들을 포함한다. 중앙 가스 피드는 가스 소스 (미도시) 로부터 하나 이상의 프로세스 가스들을 수신한다. 프로세스 가스들의 예들은 O2와 같은 산소-함유 가스를 포함한다. 프로세스 가스의 다른 예들은 플루오르-함유 가스, 예를 들어, 테트라플루오르메탄 (CF4), 술퍼 헥사플루오라이드 (SF6), 헥사플루오르에탄 (C2F6) 등을 포함한다. 상부 전극 (154) 은 접지된다. 하부 전극 (152) 은 임피던스 매칭 회로 (106) 를 통해 x MHz RF 생성기에, 임피던스 매칭 회로 (106) 를 통해 y MHz RF 생성기에, 및 임피던스 매칭 회로 (106) 를 통해 z MHz RF 생성기에 커플링된다.In various embodiments, the top electrode 154 includes one or more holes coupled to a central gas feed, e. G., A gas supply line (not shown). The central gas feed receives one or more process gases from a gas source (not shown). Examples of process gases include oxygen-containing gases such as O 2 . Other examples of process gases include fluorine-containing gases, such as tetrafluoromethane (CF 4 ), sulfohexafluoride (SF 6 ), hexafluoroethane (C 2 F 6 ), and the like. The upper electrode 154 is grounded. The lower electrode 152 is coupled to an x MHz RF generator via an impedance matching circuit 106, to an y MHz RF generator via an impedance matching circuit 106, and to a z MHz RF generator via an impedance matching circuit 106 do.

프로세스 가스가 상부 전극 (154) 과 척 (152) 사이에 공급된 경우, 및 x, y, 및 z MHz RF 생성기들 중 하나 이상이 임피던스 매칭 회로 (106) 를 통해 척 (152) 에 하나 이상의 RF 신호 전력을 공급하는 경우, 프로세스 가스는 플라즈마 챔버 (111) 내에서 플라즈마를 생성하도록 점화된다. 예를 들어, x MHz 생성기는 RF 신호 (104) 를 RF 케이블 (130) 을 통해 임피던스 매칭 회로 (106) 에 공급한다. 임피던스 매칭 회로 (106) 는, 변경된 RF 신호를 생성하기 위해 x, y, 및 z RF 생성기들 중 대응하는 하나로부터 수신된 하나 이상의 RF 신호들을 변경시키고, 척 (152) 과 상부 전극 (154) 사이의 갭 내에서 플라즈마를 생성하기 위해 프로세스 가스를 점화시키도록 RF 송신 라인 (132) 을 통해 변경된 RF 신호를 제공한다. 다른 예로서, y MHz RF 생성기는, RF 신호를 변경시키는 임피던스 매칭 회로 (106) 에 y MHz RF 생성기를 커플링시키는 RF 케이블을 통하여 RF 신호를 공급한다. 이러한 예에서, 변경된 RF 신호는, 플라즈마를 생성하기 위해 임피던스 매칭 회로 (106) 및 RF 송신 라인 (132) 을 통해 척 (152) 으로 추가적으로 전송된다.One or more of the x, y, and z MHz RF generators may be coupled to the chuck 152 via the impedance matching circuit 106 by one or more RF When supplying the signal power, the process gas is ignited to generate a plasma in the plasma chamber 111. For example, the x MHz generator feeds the RF signal 104 to the impedance matching circuit 106 via the RF cable 130. The impedance matching circuit 106 modifies one or more RF signals received from a corresponding one of the x, y, and z RF generators to produce a modified RF signal and provides an impedance match between the chuck 152 and the top electrode 154 Lt; RTI ID = 0.0 > RF < / RTI > transmission line 132 to ignite the process gas to produce a plasma within the gap of the RF transmission line. As another example, the y MHz RF generator supplies the RF signal through an RF cable that couples the y MHz RF generator to an impedance matching circuit 106 that alters the RF signal. In this example, the modified RF signal is further transmitted to the chuck 152 via the impedance matching circuit 106 and the RF transmit line 132 to generate a plasma.

플라즈마를 생성하기 위한 플라즈마 시스템 (100) 의 동작 동안, 각각의 RF 제어기는, 플라즈마 시스템 (100) 내의 포인트에 커플링된 센서 (미도시) 로부터 변수의 데이터의 양을 수신한다. 예를 들어, x 제어기는 RF 케이블 (130) 에 접속된 전압 및 전류 프로브로부터 변수의 값들을 수신한다. 다른 예로서, y 제어기는, y MHz RF 생성기를 임피던스 매칭 회로 (106) 에 접속시키는 RF 케이블에 접속된 전압 및 전류 프로브로부터 변수의 값들을 수신한다. 다른 예로서, y 제어기는 RF 송신 라인 (132) 에 접속된 전압 프로브로부터변수의 값들을 수신한다. 또 다른 예로서, z 제어기는, 플라즈마 챔버 내의 윈도우를 통해 플라즈마에 광학적으로 커플링된 광학 센서의 값들을 수신한다.During operation of the plasma system 100 to generate the plasma, each RF controller receives the amount of data of the variable from a sensor (not shown) coupled to the point in the plasma system 100. For example, the x controller receives the values of the variables from the voltage and current probes connected to the RF cable 130. As another example, the y controller receives the values of the variables from the voltage and current probes connected to the RF cable connecting the y MHz RF generator to the impedance matching circuit 106. As another example, the y controller receives the values of the variables from the voltage probes connected to the RF transmit line 132. As another example, the z-controller receives values of an optical sensor optically coupled to a plasma through a window in a plasma chamber.

변수의 예들은, 플라즈마 시스템 (100) 의 일 포인트에서의 RF 신호의 전력, 또는 플라즈마의 전력, 또는 RF 신호의 주파수, 또는 로드 임피던스의 실수부, 또는 로드 임피던스의 허수부, 또는 그 포인트에서의 전압 크기, 또는 그 포인트에서의 전류 크기, 또는 그 포인트에서의 복소 전압과 복소 전류 사이의 위상, 또는 그 포인트에서의 웨이퍼 바이어스, 또는 그 포인트에서의 이온 에너지, 또는 그 포인트에서의 플라즈마 전위, 또는 그 포인트에서의 복소 전류, 또는 그 포인트에서의 복소 전압, 또는 그 포인트에서의 로드 임피던스, 또는 이들의 조합을 포함한다.Examples of variables are the power of the RF signal at one point in the plasma system 100 or the power of the plasma or the frequency of the RF signal or the real part of the load impedance or the imaginary part of the load impedance, The voltage magnitude, or the magnitude of the current at that point, or the phase between the complex voltage and the complex current at that point, or the wafer bias at that point, or the ion energy at that point, or the plasma potential at that point, or The complex current at that point, or the complex voltage at that point, or the load impedance at that point, or a combination thereof.

로드 임피던스의 예들은 플라즈마 시스템 (100) 의 하나 이상의 컴포넌트들의 임피던스를 포함한다. 예를 들어, 로드 임피던스는 플라즈마 시스템 (100) 내의 포인트에서의 임피던스이다. 다른 예로서, 로드 임피던스는 RF 케이블 (130), 임피던스 매칭 회로 (106) 에 y MHz RF 생성기를 커플링시키는 RF 케이블, 임피던스 매칭 회로 (106) 에 z MHz RF 생성기를 커플링시키는 RF 케이블, 임피던스 매칭 회로 (106), RF 송신 라인 (132), 및 플라즈마 챔버 (111) 중 하나 이상의 임피던스이다.Examples of load impedances include the impedance of one or more components of the plasma system 100. For example, the load impedance is the impedance at the point in the plasma system 100. As another example, the load impedance may be determined by the RF cable 130, an RF cable coupling the y MHz RF generator to the impedance matching circuit 106, an RF cable coupling the z MHz RF generator to the impedance matching circuit 106, The matching circuit 106, the RF transmission line 132, and the plasma chamber 111.

몇몇 실시형태들에서, 플라즈마 시스템 (100) 내의 포인트는, x MHz RF 생성기의 출력에서의 일 포인트, y MHz RF 생성기의 출력에서의 포인트, 또는 z MHz RF 생성기의 출력에서의 일 포인트, 또는 RF 케이블 (130) 상의 포인트, 또는 임피던스 매칭 회로 (106) 에 y MHz RF 생성기를 커플링시키는 RF 케이블 상의 일 포인트, 또는 임피던스 매칭 회로 (106) 에 z MHz RF 생성기를 커플링시키는 RF 케이블 상의 일 포인트, 또는 임피던스 매칭 회로 (106) 의 입력에서의 일 포인트, 또는 임피던스 매칭 회로 (106) 의 출력에서의 일 포인트, 또는 RF 송신 라인 (132) 에서의 일 포인트, 또는 척 (152) 에서의 일 포인트를 포함한다.In some embodiments, the points in the plasma system 100 may be one point at the output of the x MHz RF generator, a point at the output of the y MHz RF generator, or one point at the output of the z MHz RF generator, One point on the cable 130, or one point on the RF cable that couples the y MHz RF generator to the impedance matching circuit 106, or one point on the RF cable that couples the z MHz RF generator to the impedance matching circuit 106 , Or one point at the input of the impedance matching circuit 106 or one point at the output of the impedance matching circuit 106 or one point at the RF transmission line 132 or one point at the chuck 152 .

다양한 실시형태들에서, x MHz RF 생성기의 출력은 임피던스 매칭 회로 (106) 의 입력에 RF 케이블 (130) 을 통해 커플링되고, y MHz RF 생성기의 출력은 임피던스 매칭 회로 (106) 의 입력에 RF 케이블을 통해 커플링되며, z MHz RF 생성기의 출력은 임피던스 매칭 회로 (106) 의 입력에 RF 케이블을 통해 커플링된다. 수 개의 실시형태들에서, 임피던스 매칭 회로 (106) 의 출력은 RF 송신 라인 (132) 을 통해 척 (152) 에 커플링된다.In various embodiments, the output of the x MHz RF generator is coupled to the input of the impedance matching circuit 106 via an RF cable 130, and the output of the y MHz RF generator is coupled to the input of the impedance matching circuit 106, And the output of the z MHz RF generator is coupled to the input of the impedance matching circuit 106 via an RF cable. In some embodiments, the output of the impedance matching circuit 106 is coupled to the chuck 152 via an RF transmit line 132.

호스트 제어기 (150) 는 하나 이상의 컴포넌트들, 예를 들어, 변수 요청기 (170), 변수 수신기 (110), 모델 (113), 모델값 생성기 (115), 데이터 양 계산기 (112), 제한 크로스오버 결정 모듈 (114), 통계 데이터 데시메이션 (SDD) 모듈 (172), 스위치 모듈 (180), RF 제어 블록 (197), 및 전송기 (174) 를 포함한다. SDD 모듈 (172) 은 통계 결정 (SD) 모듈 (116) 및 데이터 삭제 모듈 (120) 을 포함한다.The host controller 150 may include one or more components, such as a variable requestor 170, a variable receiver 110, a model 113, a model value generator 115, a data amount calculator 112, Decision module 114, a statistical data decimation (SDD) module 172, a switch module 180, an RF control block 197, and a transmitter 174. The SDD module 172 includes a statistics determination (SD) module 116 and a data erasure module 120.

몇몇 실시형태들에서, 여기에 설명된 바와 같이, 호스트 제어기의 하나 이상의 컴포넌트들은, 예를 들어, 저장 디바이스 등과 같은 비-일시적인 컴퓨터-판독가능 매체 상의 컴퓨터 프로그램으로서 구현된다. 다양한 실시형태들에서, 호스트 제어기의 하나 이상의 컴포넌트들은 하드웨어, 예를 들어, 주문형 집적 회로 등으로서 구현된다. 예를 들어, 스위칭 모듈 (180) 은 트랜지스터 또는 트랜지스터들의 그룹이다. 수 개의 실시형태들에서, 여기에 설명된 바와 같이, 호스트 제어기의 하나 이상의 컴포넌트들은 하드웨어 및 컴퓨터 프로그램의 조합으로서 구현된다.In some embodiments, as described herein, one or more components of the host controller are implemented as a computer program on a non-temporary computer-readable medium, such as, for example, a storage device or the like. In various embodiments, one or more components of the host controller are implemented as hardware, e.g., an application specific integrated circuit or the like. For example, the switching module 180 is a transistor or a group of transistors. In some embodiments, as described herein, one or more components of the host controller are implemented as a combination of hardware and computer programs.

변수 요청기 (170) 는 x 제어기로부터 변수의 데이터를 케이블 (144) 의 통신 채널을 통해 요청한다. 몇몇 실시형태들에서, 변수 요청기 (170) 는, x 제어기가 변수의 데이터를 변수 수신기 (110) 에 전송하게 하도록 x 제어기에 변수 수신기 (110) 의 어드레스, 예를 들어, 포트 어드레스 등을 전송한다.The variable requestor 170 requests the data of the variable from the x controller via the communication channel of the cable 144. [ In some embodiments, the variable requestor 170 sends an address of the variable receiver 110, for example, a port address, etc., to the x controller to cause the x controller to transmit the data of the variable to the variable receiver 110 do.

다수의 실시형태들에서, 호스트 제어기 (150) 는 변수 요청기 (170) 를 배제하고, x 제어기는 변수 수신기 (110) 에 변수의 데이터를 주기적으로 전송한다. 요청을 수신할 시에, x 제어기는 변수 수신기 (110) 에 케이블 (144) 의 통신 채널 (102) 을 통해 변수의 데이터를 전송한다.In many embodiments, the host controller 150 excludes the variable requestor 170 and the x controller periodically transmits the data of the variable to the variable receiver 110. Upon receipt of the request, the x controller sends the variable's data over the communication channel 102 of the cable 144 to the variable receiver 110.

몇몇 실시형태들에서, 변수 요청기 (170) 및 변수 수신기 (110) 는 하나의 컴포넌트로서 구현된다.In some embodiments, the variable requestor 170 and the variable receiver 110 are implemented as a single component.

유사하게, 수 개의 실시형태들에서, 변수 수신기 (110) 는 x 제어기, y 제어기, 및 z 제어기의 조합으로부터 변수의 데이터를 수신한다.Similarly, in some embodiments, the variable receiver 110 receives variable data from a combination of an x controller, a y controller, and a z controller.

변수 수신기 (110) 는 x, y, 및 z MHz RF 생성기들 중 하나 이상으로부터 변수의 데이터를 수신하고, 데이터를 모델 (113) 에 전송한다. 모델 (113) 의 예들은 RF 케이블 모델, 또는 임피던스 매칭 모델, 또는 RF 송신 모델, 또는 척 모델, 또는 RF 케이블 모델 및 임피던스 매칭 모델의 결합, 또는 RF 케이블 모델 및 임피던스 매칭 모델 및 RF 송신 모델의 결합, 또는 RF 케이블 모델 및 임피던스 매칭 모델 및 RF 송신 모델 및 척 모델의 결합 등을 포함한다.The variable receiver 110 receives the data of the variable from one or more of the x, y, and z MHz RF generators and transmits the data to the model 113. Examples of the model 113 include a combination of an RF cable model or an impedance matching model or an RF transmission model or a chuck model or an RF cable model and an impedance matching model or a combination of an RF cable model and an impedance matching model and an RF transmission model , Or a combination of an RF cable model and an impedance matching model and an RF transmission model and a chuck model.

플라즈마 시스템의 컴포넌트의 모델은 컴포넌트의 컴퓨터-생성된 모델이다. 예를 들어, RF 송신 모델은 RF 송신 라인 (132) (도 1) 의 컴퓨터-생성된 모델이다. 다른 예로서, RF 송신 모델은, RF 송신 라인 (132) 의 전기 컴포넌트들, 예를 들어, 커패시터들, 또는 인덕터들 등을 포함하는 전기 회로를 포함한다. 도시하기 위해, RF 송신 라인 (132) 이 L 헨리의 인덕턴스를 갖는 인덕터, 및 C 패럿의 커패시턴스를 갖는 커패시터를 포함하는 경우, RF 송신 모델은 L 헨리의 인덕턴스를 갖는 인덕터 및 C 패럿의 커패시턴스를 갖는 커패시터를 포함한다. 또한, RF 송신 모델에서, 전기 회로 내의 컴포넌트들은, RF 송신 라인 (132) 의 전기 회로의 전기 컴포넌트들이 접속되는 것과 동일한 방식, 예를 들어, 직렬, 병렬 등으로 접속된다. 예를 들어, 인덕터가 RF 송신 라인 (132) 내의 커패시터와 병렬로 접속된 경우, RF 송신 모델은 인덕터와 병렬인 인덕터 접속기를 포함한다.A model of a component of a plasma system is a computer-generated model of the component. For example, the RF transmission model is a computer-generated model of the RF transmission line 132 (FIG. 1). As another example, the RF transmission model includes an electrical circuit that includes electrical components of the RF transmission line 132, e.g., capacitors, or inductors, and the like. For the sake of illustration, if the RF transmit line 132 includes an inductor with the inductance of L Henley and a capacitor with a capacitance of Cfarot, then the RF transmission model would have an inductor with the inductance of L Henley, Capacitors. Also, in the RF transmission model, the components in the electrical circuit are connected in the same manner, for example, in series, parallel, etc., as the electrical components of the electrical circuit of the RF transmission line 132 are connected. For example, when an inductor is connected in parallel with a capacitor in the RF transmit line 132, the RF transmit model includes an inductor connector in parallel with the inductor.

유사하게, 임피던스 매칭 모델은, RF 송신 라인 (132) 으로부터 RF 송신 모델을 생성하는 것과 유사한 방식으로 임피던스 매칭 회로 (106) 에 기초하여 생성된다. 또한, RF 케이블 모델은, RF 송신 라인 (132) 으로부터 RF 송신 모델을 생성하는 것과 유사한 방식으로 RF 케이블, 예를 들어, RF 케이블 (130) (도 2) 등에 기초하여 생성된다. 또한, 척 모델은 RF 송신 라인 (132) 으로부터 RF 송신 모델을 생성하는 것과 유사한 방식으로 척 (152) 에 기초하여 생성된다.Similarly, an impedance matching model is generated based on the impedance matching circuit 106 in a manner similar to creating an RF transmission model from the RF transmission line 132. The RF cable model is also generated based on an RF cable, e.g., RF cable 130 (FIG. 2), in a manner similar to creating an RF transmission model from RF transmission line 132. The chuck model is also generated based on the chuck 152 in a manner similar to generating the RF transmission model from the RF transmission line 132.

몇몇 실시형태들에서, 모델 (113) 은 호스트 제어기의 프로세서에 의해 생성된다.In some embodiments, the model 113 is generated by the processor of the host controller.

모델을 생성하는 예들은, 명칭이 "Using Modeling to Determine Wafer Bias Associated with a Plasma System" 이고 2013년 1월 13일자로 출원되었으며, 여기에 그 전체가 참조로서 포함되는 출원 번호 제 13/756,390호에서 제공된다.Examples of generating a model are described in Application No. 13 / 756,390, filed January 13, 2013, entitled " Using Modeling to Determine Wafer Bias Associated with a Plasma System, " / RTI >

모델값 생성기 (115) 는, 변수 수신기 (110) 에 의해 수신된 값들, 및 특징들, 예를 들어, 모델 (113) 의 커패시턴스, 또는 인덕턴스, 또는 임피던스, 또는 복소 전류, 또는 복수 전류 등에 기초하여 모델 (113) 의 출력에서 변수의 값들을 생성한다. 예를 들어, 모델값 생성기 (115) 는, 임피던스 매칭 모델의 출력에서 임피던스 값을 생성하기 위해 RF 케이블 모델 및 임피던스 매칭 모델의 컴포넌트들을 통하여 x 제어기로부터 수신된 임피던스 값을 전파한다. 몇몇 실시형태들에서, x 제어기로부터 수신된 임피던스 값은 x MHz RF 생성기의 출력에서의 임피던스 값이다. 다른 예로서, 모델값 생성기 (115) 는, RF 송신 모델의 출력에서 복소 전압 및 전류값을 생성하기 위하여, RF 케이블 모델, 임피던스 매칭 모델, 및 RF 송신 모델의 컴포넌트들을 통해 y 제어기로부터 수신된 복소 전류 및 전압값을 전파한다. 또 다른 예에서, 모델값 생성기 (115) 는, 척 모델의 출력에서 복소 전압 및 전류를 생성하기 위하여, RF 케이블 모델, 임피던스 매칭 모델, RF 송신 모델, 및 척 모델의 컴포넌트들을 통해 y 제어기로부터 수신된 전력값을 전파한다.Model value generator 115 may generate a model value based on the values received by variable receiver 110 and the characteristics, e.g., the capacitance, or inductance, or impedance, or complex current, And generates the values of the variables at the output of the model 113. For example, the model value generator 115 propagates the impedance values received from the x controller through the components of the RF cable model and the impedance matching model to produce an impedance value at the output of the impedance matching model. In some embodiments, the impedance value received from the x controller is an impedance value at the output of the x MHz RF generator. As another example, the model value generator 115 may be configured to generate a complex voltage and current value at the output of the RF transmission model, via the components of the RF cable model, the impedance matching model, and the RF transmission model, Current and voltage values. In another example, the model value generator 115 receives from the y controller through the components of the RF cable model, the impedance matching model, the RF transmission model, and the chuck model to generate a complex voltage and current at the output of the chuck model Propagated power value.

몇몇 실시형태들에서, 변수의 값은, 모델의 컴포넌트의 값 및 특징들, 예를 들어, 임피던스 값, 전력 소비값, 전압값, 전류값 등의 지향 합이 계산되는 경우, 변수의 값이 전파된다. 지향 합의 예는 출원 번호 13/756,390에서 제공된다.In some embodiments, the value of the variable is a function of which the value of the variable propagates when the directed sum of the component's values and features, e.g., impedance value, power consumption value, voltage value, current value, do. An example of directed agreement is provided in Application No. 13 / 756,390.

다양한 실시형태들에서, 모델값 생성기 (115) 는 하나 이상의 변수들의 값들로부터 하나의 변수의 값을 생성한다. 예를 들어, 모델값 생성기 (115) 는 출력에서의 복소 전압 및 전류의 전압 크기, 출력에서의 복소 전압 및 전류의 전류 크기, 및 출력에서의 복소 전압 및 전류의 전력 크기로부터 모델 (113) 의 출력에서의 웨이퍼 바이어스의 값을 생성한다. 웨이퍼 바이어스를 생성하는 다른 예들은 출원 번호 13/756,390에서 제공된다. 다른 예로서, 모델값 생성기 (115) 는 출력에서의 웨이퍼 바이어스 및 출력에서의 제로-피크 전압으로부터 모델 (113) 의 출력에서 이온 에너지의 값을 생성한다. 이온 에너지를 생성하는 다른 예들은, 명칭이 "Using Modeling to Determine Ion Energy Associated with a Plasma System" 이고 2013년 3월 15일자로 출원되었으며, 여기에 그 전체가 참조로서 포함되는 출원 번호 제 61/799,969호에서 제공된다.In various embodiments, the model value generator 115 generates a value of one variable from values of one or more variables. For example, the model value generator 115 may determine the magnitude of the complex voltage and current at the output from the magnitude of the voltage of the complex voltage and current at the output, the magnitude of the complex voltage and current at the output, And generates a value of wafer bias at the output. Other examples of generating wafer biases are provided in Application No. 13 / 756,390. As another example, the model value generator 115 generates a value of the ion energy at the output of the model 113 from the wafer bias at the output and the zero-peak voltage at the output. Other examples of generating ion energy are described in Application Serial No. 61 / 799,969, entitled " Using Modeling to Determine Ion Energy Associated with a Plasma System, " filed March 15, 2013, Lt; / RTI >

몇몇 실시형태들에서, x, y, 및 z MHz RF 생성기들 중 하나 이상으로부터 변수 수신기 (110) 에 의해 수신된 각각의 값에 대해, 모델 (113) 의 출력에서의 값이 계산된다.In some embodiments, for each value received by the variable receiver 110 from one or more of the x, y, and z MHz RF generators, the value at the output of the model 113 is computed.

모델 (113) 의 출력에서의 변수의 데이터는 모델값 생성기 (115) 로부터 데이터 양 계산기 (112) 로 전송된다. 데이터 양 계산기 (112) 는 모델값 생성기 (115) 로부터 수신된 변수의 값들의 수를 카운팅하고, 카운트를 제한 크로스오버 결정 모듈 (114) 에 전송한다.The data of the variable at the output of the model 113 is transferred from the model value generator 115 to the data amount calculator 112. The data amount calculator 112 counts the number of values of the variable received from the model value generator 115 and sends the count to the limiting crossover determination module 114. [

몇몇 실시형태들에서, 데이터 양 계산기 (112) 는, 변수 수신기 (110) 의 최대 저장 용량을 초과하지 않는 변수값들의 수를 계산한다.In some embodiments, the amount of data calculator 112 calculates the number of variable values that do not exceed the maximum storage capacity of the variable receiver 110.

제한 크로스오버 결정 모듈 (114) 은, 데이터 양 계산기 (112) 로부터 수신된 값들의 수가, 크로스오버 결정 모듈 (114) 에 사전-저장된 임계치보다 큰지를 결정한다. 임계치의 예들은 1000개의 값들, 또는 10,000개의 값들, 또는 100,000개의 값들 등을 포함한다. 임계치의 다른 예들은 500-1000개의 값들, 또는 1000-10,000개의 값들, 또는 10,000-100,000개의 값들, 또는 100,000-1,000,000개의 값들, 또는 1,000,000-10,000,000개의 값들을 포함한다.The limiting crossover determination module 114 determines whether the number of values received from the amount of data calculator 112 is greater than a pre-stored threshold value in the crossover determination module 114. Examples of threshold values include 1000 values, or 10,000 values, or 100,000 values, and so on. Other examples of threshold values include 500-1000 values, or 1000-10,000 values, or 10,000-100,000 values, or 100,000-1,000,000 values, or 1,000,000-10,000,000 values.

값들의 수가 임계치보다 크다고 결정할 시에, 신호는, 값들로부터 통계 값의 생성을 시작하기 위해 제한 크로스오버 결정 모듈 (114) 로부터 SD 모듈 (116) 로 전송된다. 한편, 값들의 수가 임계치를 초과하지 않는다고 결정할 시에, 신호는 제한 크로스오버 결정 모듈 (114) 로부터 SD 모듈 (116) 로 전송되지 않고, SD 모듈 (116) 은 값들로부터 통계값의 생성을 시작하지 않는다.When determining that the number of values is greater than the threshold, the signal is sent from the limiting crossover determination module 114 to the SD module 116 to begin generating statistics from the values. On the other hand, when determining that the number of values does not exceed the threshold, the signal is not sent from the limiting crossover determination module 114 to the SD module 116, and the SD module 116 begins generating the statistics from the values Do not.

몇몇 실시형태들에서, 임계치는 호스트 제어기 (150) 의 저장 디바이스의 저장 용량에 기초하여 생성된다. 예를 들어, SD 모듈 (116) 이 변수의 n 값들을 각각 저장하는 2개의 버퍼들을 포함한 경우, 임계치는 n 값이다. 2개의 버퍼들 중 제 1 버퍼가 풀인 경우, SD 모듈 (116) 은 제 1 버퍼로부터 2개의 버퍼들 중 하나로 데이터를 카피하고, 데이터의 통계값의 계산을 시작한다. SD 모듈 (16) 은 제 1 버퍼 내의 값들에 기초하여 통계값을 계산한다. 다양한 실시형태들에서, 카피 이후, 제 1 버퍼 내의 데이터는 모델값 생성기 (115) 에 의해 생성된 데이터로 오버라이팅 (overwrite) 된다.In some embodiments, the threshold is generated based on the storage capacity of the storage device of the host controller 150. For example, if the SD module 116 contains two buffers each storing n values of a variable, then the threshold is n values. If the first of the two buffers is a pool, the SD module 116 copies the data from the first buffer to one of the two buffers and begins to calculate the statistical value of the data. The SD module 16 calculates a statistical value based on the values in the first buffer. In various embodiments, after copying, the data in the first buffer is overwritten with the data generated by the model value generator 115.

수 개의 실시형태들에서, 데이터 양 계산기 (112) 대신, 비율 계산기가 호스트 제어기 (150) 내에 위치된다. 비율 계산기는 컴퓨터 프로그램, 하드웨어, 또는 이들의 조합으로서 구현된다. 비율 계산기는, 시간 윈도우 내에서 호스트 제어기 (150) 의 프로세서에 의해 프로세싱되는 값들의 수에 대한, 시간 윈도우 내의 모델값 생성기 (115) 로부터 수신된 값들의 수의 비율을 계산한다. 이들 실시형태들에서, 제한 크로스오버 결정 모듈 (114) 은, 제한 크로스오버 결정 모듈 (114) 의 저장 디바이스 내에 사전-저장된 제한보다 계산된 비율이 큰지를 결정한다. 계산된 비율이 제한보다 작다고 결정할 시에, 통계값은 모델값 생성기 (115) 에 의해 생성된 값들로부터 생성되지 않는다. 한편, 계산된 비율이 제한보다 크거나 동일하다고 결정할 시에, 통계값은 모델값 생성기 (115) 에 의해 생성된 값들로부터 생성된다.In some embodiments, instead of the amount-of-data calculator 112, a rate calculator is located within the host controller 150. The rate calculator is implemented as a computer program, hardware, or a combination thereof. The rate calculator calculates the ratio of the number of values received from the model value generator 115 in the time window to the number of values processed by the processor of the host controller 150 within the time window. In these embodiments, the limiting crossover determination module 114 determines whether the calculated ratio is greater than the pre-stored limit in the storage device of the limiting crossover determination module 114. [ When determining that the calculated ratio is less than the limit, the statistical value is not generated from the values generated by the model value generator 115. On the other hand, when determining that the calculated ratio is greater than or equal to the limit, the statistical value is generated from the values generated by the model value generator 115.

몇몇 실시형태들에서, 호스트 제어기 (150) 의 프로세서의 프로세싱 속도 (시간 윈도우 내에서 프로세싱된 값들의 수와 동일함) 는, 수 개의 인자들, 예를 들어, 모델값 생성기 (115) 로부터 수신된 값들로부터 통계값에 액세스 및 계산하는데 걸리는 시간, 또는 압력을 생성하기 위한 신호가 프로세서에 의해 전송된 이후 플라즈마 챔버 (111) 에서 압력을 달성하는데 걸리는 시간, 또는 플라즈마 챔버 (111) 내의 압력의 값들을 감지 및 수신하는데 걸리는 시간, 또는 온도를 생성하기 위한 신호가 프로세서에 의해 전송된 이후 플라즈마 챔버 (111) 에서 온도를 달성하는데 걸리는 시간, 또는 플라즈마 챔버 (111) 내의 온도의 값들을 감지 및 수신하는데 걸리는 시간, 또는 갭을 생성하기 위한 시간이 프로세서에 의해 전송된 이후 플라즈마 챔버 (111) 에서 상부 전극 (154) 과 척 (152) 사이의 갭을 생성하는데 걸리는 시간, 또는 플라즈마 챔버 (111) 내의 갭의 값들을 감지 및 수신하는데 걸리는 시간, 또는 이들의 조합에 기초하여 결정된다. 예를 들어, 호스트 제어기 (150) 의 프로세서는, 압력값이 압력 센서 (미도시) 에 의해 감지되고 프로세서에 의해 수신될 때까지 압력값을 프로세싱하기를 대기한다. 이러한 대기는 프로세서의 프로세싱 속도를 감소시킨다. 다른 예로서, 호스트 제어기 (150) 의 프로세서는, 압력 및 온도를 달성하기 위한 신호가 프로세서에 의해 전송된 이후, 압력 및 온도가 플라즈마 챔버 (111) 내에서 달성될 때까지, 상부 전극 (154) 과 척 (152) 사이의 갭을 변경시키기 위해 신호를 전송하기를 대기한다. 이러한 대기는 프로세서의 프로세싱 속도를 감소시킨다.In some embodiments, the processing rate of the processor of the host controller 150 (which is equal to the number of values processed in the time window) may be determined based on several factors, e.g., The time taken to access and calculate the statistical values from the values or the time it takes to achieve the pressure in the plasma chamber 111 after the signal for generating the pressure is transmitted by the processor or the values of the pressure in the plasma chamber 111 Or the time it takes to achieve the temperature in the plasma chamber 111 after the signal for generating the temperature is transmitted by the processor, or the values of the temperature in the plasma chamber 111, Time, or gap, is transferred from the plasma chamber 111 to the upper < RTI ID = 0.0 > It is determined on the basis of the 154 and the time it takes to create a gap between the chuck 152, or the time it takes to detect and receive values of the gap in the plasma chamber 111, or a combination thereof. For example, the processor of the host controller 150 waits to process the pressure value until the pressure value is sensed by a pressure sensor (not shown) and received by the processor. This waiting reduces the processing speed of the processor. As another example, the processor of the host controller 150 may control the temperature of the upper electrode 154 until the pressure and temperature are achieved in the plasma chamber 111, after the signal for achieving pressure and temperature is transmitted by the processor. And to transfer the signal to change the gap between the chuck 152 and the chuck 152. This waiting reduces the processing speed of the processor.

SD 모듈 (116) 은, 데이터 양 계산기 (112) 에 의해 모델값 생성기 (115) 로부터 수신된 변수의 데이터의 양이 임계치를 초과한다는 신호를 제한 크로스오버 결정 모듈 (112) 로부터 수신하는 것에 응답하여, 변수의 데이터로부터 통계값을 결정한다. 예를 들어, SD 모듈 (116) 은, 예를 들어, 삽입 정렬 동작, 또는 병합 정렬 동작, 또는 이동 사분범위 (IQR) 계산 동작, 또는 사분범위 계산 동작, 또는 최대값 계산 동작, 또는 최소값 계산 동작, 또는 평균값 계산 동작, 또는 중간값 계산 방법, 또는 분산값 계산 방법, 또는 표준 편차값 계산 방법, 또는 이동 평균값 계산 방법, 또는 이동 중간값 계산 방법, 또는 이동 분산값 계산 방법, 또는 이동 표준 편차값 계산 방법, 또는 모드, 또는 이동 모드, 또는 이들의 조합 등과 같은 통계 동작을 적용하여, 변수의 값들로부터 통계값을 생성한다.The SD module 116 is responsive to receiving from the limiting crossover determination module 112 a signal that the amount of data of the variable received from the model value generator 115 by the amount of data calculator 112 exceeds a threshold value , The statistical value is determined from the data of the variable. For example, the SD module 116 may perform operations such as, for example, an insertion sort operation, a merge sort operation, or a moving quadrant range (IQR) calculation operation, or a quadrant range calculation operation, Or a moving average value calculation method or an intermediate value calculation method or a dispersion value calculation method or a standard deviation value calculation method or a moving average value calculation method or a moving intermediate value calculation method or a moving standard deviation value calculation method or a moving standard deviation value A statistical value is generated from the values of the variables by applying a statistical operation such as a calculation method, a mode, or a moving mode, or a combination thereof.

통계값의 생성 이후, SD 모듈 (116) 은 변수 수신기 (110) 를 데이터 삭제 모듈 (120) 과 커플링시키기 위해 스위치 모듈 (180) 을 폐쇄한다. 스위치 모듈 (180) 이 폐쇄된 경우, 데이터 삭제 모듈 (120) 은 데이터 수신기 (110) 의 저장 디바이스 내에 저장된 데이터에 액세스하고, 저장 디바이스 내에 저장된 데이터를 삭제, 예를 들어, 소거, 리셋 등을 행하여, 변수 수신기 (110) 가 x, y, 및 z 제어기들 중 하나 이상으로부터 변수의 부가적인 데이터를 수신 및 저장하게 한다. 이러한 방식으로, 큰 양의 데이터를 저장하기 위해 큰 수의 변수 수신기들을 구현하는 것과 연관된 비용은 감소된다. 변수 수신기 (110) 내에 저장된 변수의 데이터를 삭제함으로써, 변수 수신기 (110) 는 변수의 데이터의 저장을 위해 다수회 사용된다.After generation of the statistical value, the SD module 116 closes the switch module 180 to couple the variable receiver 110 with the data erasure module 120. When the switch module 180 is closed, the data erasure module 120 accesses the data stored in the storage device of the data receiver 110 and erases, e.g., erases, or resets, the data stored in the storage device , And variable receiver 110 to receive and store additional data of the variable from one or more of the x, y, and z controllers. In this way, the cost associated with implementing a large number of variable receivers to store large amounts of data is reduced. By deleting the data of the variable stored in the variable receiver 110, the variable receiver 110 is used many times for storing the data of the variable.

몇몇 실시형태들에서, 통계값은 SD 모듈 (116) 에 의해 RF 제어 블록 (197) 으로 제공된다. RF 제어 블록 (197) 은, SD 모듈 (116) 로부터 수신된 변수 또는 다른 변수의 통계값으로부터 변수의 통계값을 결정한다. 예를 들어, RF 제어 블록 (197) 은, SD 모듈 (116) 로부터 수신된 변수의 통계값으로부터 통계값 전력 및/또는 주파수의 통계값을 결정한다. 다른 예로서, RF 제어 블록 (197) 은, SD 모듈 (116) 로부터 수신된 것과 동일하도록 주파수의 통계값을 결정한다. 다른 예로서, RF 제어 블록 (197) 은 모델 (113) 의 출력에서 웨이퍼 바이어스의 통계값을 수신하고, 출력에서의 복소 전압 및 전류의 전압 크기, 출력에서의 복소 전압 및 전류의 전류 크기, 및 출력에서의 복소 전압 및 전류의 전력 크기를 결정한다. 이러한 예에서, 출력에서의 복소 전압 및 전류의 전압 크기, 출력에서의 복소 전압 및 전류의 전류 크기, 및 출력에서의 복소 전압 및 전류의 전력 크기는, 출력에서의 웨이퍼 바이어스의 값을 충족시킨다. 다른 예로서, RF 제어 블록 (197) 은, 모델 (113) 의 출력에서 이온 에너지의 통계값을 수신하고, 출력에서의 웨이퍼 바이어스 값 및 출력에서의 제로-피크 전압값을 결정한다. 이러한 예에서, 웨이퍼 바이어스 값 및 제로-피크 전압은 이온 에너지의 값을 충족시킨다. RF 제어 블록 (197) 은 RF 제어 블록 (197) 에 의해 결정된 변수의 통계값을 전송기 (174) 에 전송한다.In some embodiments, the statistical values are provided to the RF control block 197 by the SD module 116. The RF control block 197 determines the statistical value of the variable from the statistical value of the variable or other variable received from the SD module 116. For example, the RF control block 197 determines statistical values of the statistical power and / or frequency from the statistical values of the variables received from the SD module 116. As another example, the RF control block 197 determines the statistical value of the frequency to be the same as that received from the SD module 116. [ As another example, the RF control block 197 receives statistical values of the wafer bias at the output of the model 113, and calculates the magnitude of the complex voltage and current at the output, the magnitude of the complex voltage at the output and the magnitude of the current, The complex voltage at the output and the power magnitude of the current are determined. In this example, the magnitude of the complex voltage and current at the output, the magnitude of the complex voltage at the output and the magnitude of the current, and the magnitude of the complex voltage and current at the output meet the value of the wafer bias at the output. As another example, the RF control block 197 receives statistics of the ion energy at the output of the model 113, and determines the wafer bias value at the output and the zero-peak voltage value at the output. In this example, the wafer bias value and the zero-peak voltage meet the value of the ion energy. The RF control block 197 transmits the statistical value of the variable determined by the RF control block 197 to the transmitter 174.

다양한 실시형태들에서, SD 모듈 (116) 은, RF 제어 블록 (197) 에 통계값을 전송하는 것에 부가하거나 그 전송 없이 전송기 (174) 에 변수의 통계값을 전송한다.In various embodiments, the SD module 116 transmits the statistical values of the variables to the transmitter 174 without adding or transmitting the statistics to the RF control block 197.

전송기 (174) 는, 대응하는 통신 채널들을 통해 RF 제어 블록 (197) 으로부터 및/또는 SD 모듈 (116) 로부터 수신된 변수의 통계값을 x, y, 및 z 제어기들 중 하나 이상으로 전송한다. 예를 들어, 전송기 (174) 는 x 제어기로 통신 채널 (184) 을 통해 변수의 통계값을 전송하고, y 제어기로 통신 채널을 통해 변수의 통계값을 전송하며, z 제어기로 통신 채널을 통해 변수의 통계값을 전송한다. 다른 예로서, 전송기 (174) 는, x 제어기로부터 수신된 변수의 데이터로부터 생성된 변수의 통계값을 통신 채널 (184) 을 통해 x 제어기로 전송한다. 또 다른 예로서, 전송기 (174) 는 y 제어기로부터 수신된 변수의 데이터로부터 생성된 변수의 통계값을, y 제어기에 커플링된 통신 채널을 통해 y 제어기로 전송한다.The transmitter 174 transmits statistics of the variables received from the RF control block 197 and / or from the SD module 116 over the corresponding communication channels to one or more of the x, y, and z controllers. For example, the transmitter 174 may transmit the statistical value of the variable via the communication channel 184 to the x controller, transmit the statistical value of the variable via the communication channel to the y controller, And the like. As another example, the transmitter 174 transmits statistical values of the variables generated from the data of the variables received from the x controller to the x controller via the communication channel 184. As another example, the transmitter 174 transmits the statistical value of the variable generated from the data of the variable received from the y controller to the y controller via the communication channel coupled to the y controller.

RF 생성기의 제어기는, 전송기 (174) 로부터 변수의 통계값을 수신하고, RF 생성기의 RF 공급부, 예를 들어, RF 공급부 (186) 등에 통계값을 제공한다. RF 공급부는, 전송기 (174) 로부터 수신된 변수의 통계값을 갖는 RF 신호, 예를 들어, RF 신호 (124) 등을 생성하는 드라이버, 예를 들어, 트랜지스터들, 트랜지스터들의 그룹 등을 포함한다. RF 신호는 드라이버에 접속된 RF 증폭기에 의해 증폭되고, RF 증폭기에 커플링된 RF 케이블을 통해 임피던스 매칭 회로 (106) 로 전송된다.The controller of the RF generator receives statistical values of the variables from the transmitter 174 and provides statistical values to the RF generator of the RF generator, e.g., the RF supply 186. The RF supply includes a driver, e.g., transistors, a group of transistors, etc., that generate an RF signal, e.g., RF signal 124, etc., having a statistical value of a variable received from transmitter 174. The RF signal is amplified by an RF amplifier connected to the driver and transmitted to an impedance matching circuit 106 via an RF cable coupled to the RF amplifier.

임피던스 매칭 회로 (106) 는, RF 신호를 생성하기 위해 RF 생성기로부터 RF 케이블, 예를 들어, RF 케이블 (130) 등을 통해 수신되는 RF 신호를 변경시키기 위한 소스의 임피던스와 로드의 임피던스를 매칭시키고, 변경된 RF 신호를 RF 송신 라인 (182) 을 통해 척 (152) 으로 전송한다. 프로세스 가스가 플라즈마 챔버 (111) 내에서 공급되고 변경된 RF 신호가 척 (152) 에 의해 수신된 경우, 플라즈마는 플라즈마 챔버 (111) 내에서 생성된다. 몇몇 실시형태들에서, 변경된 RF 신호가 수신되기 전에 플라즈마가 생성된 경우, 플라즈마의 속성들, 예를 들어, 임피던스, 전력, 주파수 등은, 임피던스 매칭 회로 (106) 로부터 변경된 RF 신호의 수신 시에 변경된다.The impedance matching circuit 106 matches the impedance of the source with the impedance of the source for changing the RF signal received from the RF generator through an RF cable, e.g., the RF cable 130, to generate an RF signal , And transmits the modified RF signal to the chuck 152 via the RF transmission line 182. [ When the process gas is supplied in the plasma chamber 111 and a modified RF signal is received by the chuck 152, plasma is generated in the plasma chamber 111. [ In some embodiments, properties of the plasma, e.g., impedance, power, frequency, and the like, when the plasma is generated before the modified RF signal is received, Is changed.

몇몇 실시형태들에서, SD 모듈 (116) 은 x 제어기, y 제어기, 및 z 제어기 중 하나 이상으로부터 수신된 변수의 데이터로부터 통계값을 결정한다.In some embodiments, the SD module 116 determines statistical values from data of variables received from one or more of the x controller, y controller, and z controller.

다수의 실시형태들에서, 도 1에 도시된 것 이외에 다수의 RF 생성기들이 사용된다. 예를 들어, 플라즈마 시스템 (100) 은 2개의 RF 생성기들 또는 4개의 RF 생성기들을 포함한다.In many embodiments, a number of RF generators other than those shown in FIG. 1 are used. For example, the plasma system 100 includes two RF generators or four RF generators.

몇몇 실시형태들에서, x, y, 및/또는 z 제어기들로부터 하나 이상의 변수들의 값들을 수신하는 것 대신에, 호스트 제어기 (150) 는 하나 이상의 센서들로부터 값들을 수신한다. x, y, 및/또는 z 제어기들은 호스트 제어기 (150) 와 하나 이상의 센서들 사이에 중개자들로서 작동하지 않는다.In some embodiments, instead of receiving values of one or more variables from x, y, and / or z controllers, host controller 150 receives values from one or more sensors. The x, y, and / or z controllers do not act as intermediaries between host controller 150 and one or more sensors.

다양한 실시형태들에서, 변수 요청기 (170), 변수 수신기 (110), 모델 (113), 모델값 생성기 (115), 데이터 양 계산기 (112), 제한 크로스오버 결정 모듈 (114), 통계 결정 모듈 (116), 스위치 모듈 (180), 데이터 삭제 모듈 (120), RF 제어 블록 (197), 및 전송기 (174) 각각은 별개의 프로세서들로서 구현된다. 예를 들어, 변수 요청기 (170) 는 하나의 프로세서로서 구현되고, 데이터 양 계산기 (112) 는 다른 프로세서로서 구현된다.In various embodiments, variable requestor 170, variable receiver 110, model 113, model value generator 115, data amount calculator 112, restrictive crossover determination module 114, The switch module 180, the data erasure module 120, the RF control block 197, and the transmitter 174 are each implemented as separate processors. For example, the variable requestor 170 is implemented as one processor and the data amount calculator 112 is implemented as another processor.

다수의 실시형태들에서, 변수 요청기 (170), 변수 수신기 (110), 모델 (113), 모델값 생성기 (115), 데이터 양 계산기 (112), 제한 크로스오버 결정 모듈 (114), 통계 결정 모듈 (116), 스위치 모듈 (180), 데이터 삭제 모듈 (120), RF 제어 블록 (197), 및 전송기 (174) 중 하나 이상은 하나의 프로세서로서 구현되고, 변수 요청기 (170), 변수 수신기 (110), 모델 (113), 모델값 생성기 (115), 데이터 양 계산기 (112), 제한 크로스오버 결정 모듈 (114), 통계 결정 모듈 (116), 스위치 모듈 (180), 데이터 삭제 모듈 (120), RF 제어 블록 (197), 및 전송기 (174) 중 임의의 나머지는 다른 프로세서로서 구현된다.In many embodiments, variable requestor 170, variable receiver 110, model 113, model value generator 115, data amount calculator 112, limit crossover determination module 114, One or more of module 116, switch module 180, data erasure module 120, RF control block 197 and transmitter 174 are implemented as a single processor and include a variable requestor 170, A statistical decision module 116, a switch module 180, a data deletion module 120, a data coder 110, a model 113, a model value generator 115, a data amount calculator 112, a limitation crossover determination module 114, ), RF control block 197, and transmitter 174 are implemented as separate processors.

몇몇 실시형태들에서, 상부 전극 (154) 을 접지시키는 것 대신에, 상부 전극 (154) 은 RF 전력을 제공받는다. 다양한 실시형태들에서, 상부 전극 (154) 을 접지시키는 것 대신에, 척 (152) 의 하부 전극이 접지되고, RF 송신 라인은 상부 전극 (154) 으로의 RF 전력을 제공받는다.In some embodiments, instead of grounding the upper electrode 154, the upper electrode 154 is provided with RF power. In various embodiments, instead of grounding the upper electrode 154, the lower electrode of the chuck 152 is grounded and the RF transmission line is provided with RF power to the upper electrode 154.

다양한 실시형태들에서, 통계값은 SD 모듈 (116) 의 저장 디바이스에 저장된다. SD 모듈 (116) 의 저장 디바이스의 사이즈는 변수 수신기 (110) 의 저장 디바이스의 사이즈보다 작다. 예를 들어, SD 모듈 (116) 의 저장 디바이스는 단일 메모리 위치를 포함하고, 변수 수신기 (110) 의 저장 디바이스는 다수의 메모리 위치들을 포함한다. 다른 예로서, SD 모듈 (116) 의 저장 디바이스는 변수 수신기 (110) 의 저장 디바이스의 수보다 더 적은 수의 메모리 위치들을 포함한다.In various embodiments, the statistical values are stored in the storage device of the SD module 116. The size of the storage device of the SD module 116 is smaller than the size of the storage device of the variable receiver 110. For example, the storage device of the SD module 116 comprises a single memory location, and the storage device of the variable receiver 110 comprises a plurality of memory locations. As another example, the storage device of the SD module 116 includes fewer memory locations than the number of storage devices of the variable receiver 110.

몇몇 실시형태들에서, 호스트 제어기 (154) 는, 통계값을 생성하고 플라즈마 챔버 (111) 를 제어하기 위해 다수의 프로세서들, 예를 들어, 1개, 2개, 3개 등을 포함하며, 프로세서들의 수는 비용-효율적이다. 예를 들어, 플라즈마 챔버 (111) 를 제어하기 위해 별개의 프로세서 또는 별개의 서버를 사용하는 것, 예를 들어, 플라즈마 챔버 (111) 내의 온도를 제어하기 위해 하나의 프로세서를 사용하는 것, 플라즈마 챔버 (111) 내의 갭을 제어하기 위해 다른 프로세서를 사용하는 것, 플라즈마 챔버 (111) 내의 압력을 제어하기 위해 다른 프로세서를 사용하는 것, 플라즈마 챔버 (111) 에 의해 수신된 신호의 주파수를 제어하기 위해 또 다른 프로세서를 사용하는 것, 신호의 전력을 제어하기 위해 또 다른 프로세서를 사용하는 것, 또는 이들의 조합 등 대신에, 하나의 프로세서가 통계값을 생성하고 플라즈마 챔버 (111) 를 제어하기 위해 사용된다. 프로세서는 통계값에 기초하여 플라즈마 챔버 (111) 를 제어한다.In some embodiments, the host controller 154 includes a number of processors, e.g., one, two, three, etc., for generating statistics and controlling the plasma chamber 111, Are cost-effective. For example, using a separate processor or a separate server to control the plasma chamber 111, for example, using one processor to control the temperature in the plasma chamber 111, Using a different processor to control the pressure in the plasma chamber 111, using a different processor to control the pressure in the plasma chamber 111, controlling the frequency of the signal received by the plasma chamber 111, Instead of using another processor, using another processor to control the power of the signal, or a combination thereof, one processor may be used to generate statistics and control the plasma chamber 111 do. The processor controls the plasma chamber 111 based on the statistical value.

플라즈마 챔버 (111) 를 제어하는 예들은, RF 생성기에 의해 생성된 RF 신호의 주파수를 변경시키는 것, 또는 RF 신호의 전력을 변경시키는 것, 또는 플라즈마 챔버 (111) 내의 온도를 변경시키는 것, 또는 플라즈마 챔버 (111) 내의 갭을 변경시키는 것, 또는 플라즈마 챔버 (111) 내의 압력을 변경시키는 것, 또는 그의 조합을 포함한다.Examples of controlling the plasma chamber 111 include changing the frequency of the RF signal generated by the RF generator or changing the power of the RF signal or changing the temperature in the plasma chamber 111, Changing the gap in the plasma chamber 111, or changing the pressure in the plasma chamber 111, or a combination thereof.

몇몇 실시형태들에서, 호스트 제어기 (150) 의 프로세서는, 가스 저장소 (미도시) 로부터 상부 전극 (154) 의 가스 유입구들로의 가스의 공급을 용이하게 하는 가스 공급 밸브 (미도시) 를 제어한다. 예를 들어, 호스트 제어기 (150) 의 프로세서는, 가스, 예를 들어, 프로세스 가스 등의 플라즈마 챔버 (111) 로의 공급을 제어하기 위한 양에 의해 가스 공급 밸브를 개방 또는 폐쇄하기 위해 전류를 공급하는 드라이버, 예를 들어, 트랜지스터, 트랜지스터들의 그룹을 제어한다. 또한, 공급의 제어는 프로세서로 하여금, 가스가 공급되는 플라즈마 챔버 (111) 내의 압력을 제어하게 한다.In some embodiments, the processor of the host controller 150 controls a gas supply valve (not shown) that facilitates the supply of gas from the gas reservoir (not shown) to the gas inlets of the upper electrode 154 . For example, the processor of the host controller 150 may be configured to supply a current to open or close the gas supply valve by an amount for controlling the supply of gas, e.g., process gas, to the plasma chamber 111 Drivers, for example, transistors, control a group of transistors. Control of the supply also allows the processor to control the pressure in the plasma chamber 111 to which the gas is supplied.

다양한 실시형태들에서, 상부 전극 (154) 은 모터-구동된 스크류 메커니즘 (미도시) 을 사용하여 위로 또는 아래로 상승된다. 호스트 제어기 (150) 의 프로세서는, 상부 전극 (154) 과 척 (152) 사이의 갭을 제어, 예를 들어, 변경, 증가, 감소 등을 행하기 위해 상부 전극 (154) 을 위로 또는 아래로 이동시키도록 드라이버, 예를 들어, 트랜지스터, 트랜지스터들의 그룹 등을 통해 모터-구동된 스크류 메커니즘을 제어한다.In various embodiments, the upper electrode 154 is lifted up or down using a motor-driven screw mechanism (not shown). The processor of the host controller 150 moves the upper electrode 154 up or down to control, e.g., change, increase, decrease, etc., the gap between the upper electrode 154 and the chuck 152 To control the motor-driven screw mechanism through a driver, e.g., a transistor, a group of transistors, or the like.

수 개의 실시형태들에서, 히터는 척 (152) 내에 포함되고, 히터는 플라즈마 챔버 (111) 내의 온도를 제어, 예를 들어, 변경, 증가, 감소 등을 행하도록 드라이버, 예를 들어, 트랜지스터, 트랜지스터들의 그룹 등을 통해 호스트 제어기 (150) 의 프로세서에 의해 제어된다.In some embodiments, the heater is included in the chuck 152, and the heater is controlled by a driver, e.g., a transistor, for example, to control, e.g., change, increase, Lt; RTI ID = 0.0 > 150 < / RTI >

다수의 실시형태들에서, 열 전달 메커니즘, 예를 들어, 더트 (duct) 등은 플라즈마 챔버 (11) 내에 제공되고, 냉각 액체의 흐름은 플라즈마 챔버 (111) 내의 온도를 제어하기 위해 밸브 및 드라이버, 예를 들어, 트랜지스터, 트랜지스터들의 그룹 등을 통해 호스트 제어기 (150) 의 프로세서에 의해 제어된다.In many embodiments, a heat transfer mechanism, e.g., a duct, etc., is provided in the plasma chamber 11 and the flow of cooling liquid is controlled by a valve and driver to control the temperature in the plasma chamber 111, For example, by a transistor, a group of transistors, and the like.

도 2는 변수의 통계값을 생성하기 위한 플라즈마 시스템 (151) 의 일 실시형태의 다이어그램이다. 플라즈마 시스템 (151) 은 플라즈마 챔버 (111), 임피던스 매칭 회로 (106), x, y, 및 z MHz RF 생성기들, 및 호스트 시스템 (190) 을 포함한다. 호스트 시스템 (190) 은 호스트 제어기 (192) 를 포함한다.2 is a diagram of one embodiment of a plasma system 151 for generating statistical values of a variable. The plasma system 151 includes a plasma chamber 111, an impedance matching circuit 106, x, y, and z MHz RF generators, and a host system 190. The host system 190 includes a host controller 192.

몇몇 실시형태들에서, 플라즈마 시스템 (151) 은, 플라즈마 시스템 (151) 이 호스트 시스템 (140) (도 1) 대신 호스트 시스템 (190) 을 포함한다는 것을 제외하고, 플라즈마 시스템 (100) (도 1) 과 동일하다. 예를 들어, 플라즈마 시스템 (151) 은, 플라즈마 시스템 (151) 이 호스트 제어기 (150) (도 1) 대신에 호스트 제어기 (192) 를 포함한다는 것을 제외하고, 플라즈마 시스템 (100) (도 1) 과 구조적으로 동일하다.1), except that the plasma system 151 includes a host system 190 in place of the host system 140 (FIG. 1). In some embodiments, the plasma system 151 is a plasma system, . For example, the plasma system 151 may include a plasma system 100 (FIG. 1) and a plasma system 100, except that the plasma system 151 includes a host controller 192 in place of the host controller 150 Structurally the same.

호스트 제어기 (190) 는, 호스트 제어기 (190) 가 바이어스 보상 모듈 (196), 이벤트 검출 모듈 (198), 및 통신 블록 (191) 을 포함한다는 것을 제외하고 호스트 제어기 (150) (도 1) 와 동일하다. RF 제어 블록 (197) 은 바이어스 보상 모듈 (196) 및 이벤트 검출 모듈 (198) 에 접속된다. SD 모듈 (116) 은 바이어스 보상 모듈 (196) 및 이벤트 검출 모듈 (198) 에 접속된다.The host controller 190 is similar to the host controller 150 (FIG. 1) except that the host controller 190 includes a bias compensation module 196, an event detection module 198, and a communication block 191 Do. The RF control block 197 is connected to the bias compensation module 196 and the event detection module 198. The SD module 116 is connected to the bias compensation module 196 and the event detection module 198.

바이어스 보상 모듈 (196) 은, RF 제어 블록 (197) 또는 SD 블록 (116) 으로부터 수신된 변수의 통계값이, 바이어스 보상 모듈 (196) 의 저장 디바이스에 사전-저장되는 미리-결정된 범위 내에 있는지를 결정한다.The bias compensation module 196 determines whether the statistical value of the variable received from the RF control block 197 or SD block 116 is within a pre-stored range pre-stored in the storage device of the bias compensation module 196 .

몇몇 실시형태들에서, 바이어스 보상 모듈 (196) 은 변수의 다수의 통계값들에 대한 다수의 미리-결정된 범위들을 갖는다. 예를 들어, 바이어스 보상 모듈 (196) 의 저장 디바이스는, x 제어기로부터 수신된 변수의 데이터로부터 생성되는 변수의 통계값에 대한 제 1 미리-결정된 범위를 저장한다. 다른 예로서, 바이어스 보상 모듈 (196) 의 저장 디바이스는, y 제어기로부터 수신된 변수의 데이터로부터 생성되는 변수의 통계값에 대한 제 2 미리-결정된 범위를 저장한다. 다양한 실시형태들에서, 제 1 미리-결정된 범위는 제 2 미리-결정된 범위와 동일하다. 몇몇 실시형태들에서, 제 1 미리-결정된 범위는 제 2 미리-결정된 범위와 상이하다.In some embodiments, the bias compensation module 196 has a number of pre-determined ranges for multiple statistical values of a variable. For example, the storage device of the bias compensation module 196 stores a first pre-determined range of statistical values of variables generated from data of variables received from the x controller. As another example, the storage device of the bias compensation module 196 stores a second pre-determined range of statistical values of the variables generated from the data of the variables received from the y controller. In various embodiments, the first pre-determined range is the same as the second pre-determined range. In some embodiments, the first pre-determined range is different from the second pre-determined range.

변수의 통계값이 미리-결정된 범위 내에 있다고 결정할 시에, 바이어스 보상 모듈 (196) 은, x, y, 및 z 제어기들 중 대응하는 하나에 하나 이상의 통신 링크들을 통해 통계값을 전송하는 전송기 (122) 에 통계값을 전송한다. 한편, 변수의 통계값이 미리-결정된 범위 내에 있지 않다고 결정할 시에, 바이어스 보상 모듈 (196) 은 미리-결정된 범위 내에 있도록 통계값을 조정, 예를 들어, 변경, 수정, 증가, 감소, 튜닝 등을 행하고, 조정된 통계값을 전송기 (122) 에 제공한다.The bias compensation module 196 includes a transmitter 122 for transmitting statistics values over one or more communication links to a corresponding one of the x, y, and z controllers, ). On the other hand, when determining that the statistical value of the variable is not within a pre-determined range, the bias compensation module 196 adjusts the statistical value to be within a pre-determined range, e.g., And provides the adjusted statistical value to the transmitter 122.

전송기 (122) 는 x, y, 및 z 제어기들 중 대응하는 하나 이상에 하나 이상의 통신 채널들을 통해 변수의 조정된 통계값을 전송한다.The transmitter 122 transmits the adjusted statistical value of the variable over one or more communication channels to a corresponding one of the x, y, and z controllers.

RF 생성기의 제어기는, 전송기 (122) 에 제어기를 커플링시키는 통신 채널을 통해 전송기 (122) 로부터 변수의 조정된 통계값을 수신하고, 조정된 통계값을 RF 생성기의 RF 공급부에 제공한다. 예를 들어, x 제어기는 변수의 조정된 통계값을 수신하고, 조정된 통계값을 RF 공급부 (186) 에 제공한다. RF 생성기의 RF 공급부는, 조정된 통계값을 포함하는 RF 신호, 예를 들어, RF 신호 (155) 등을 생성한다. 예를 들어, RF 신호 (155) 는 조정된 통계값의 전력을 갖는다. 다른 예로서, RF 신호 (155) 는 조정된 통계값의 주파수를 갖는다.The controller of the RF generator receives the adjusted statistical value of the variable from the transmitter 122 via the communication channel coupling the controller to the transmitter 122 and provides the adjusted statistical value to the RF generator of the RF generator. For example, the x controller receives the adjusted statistical value of the variable and provides the adjusted statistical value to the RF supplier 186. The RF supply of the RF generator produces an RF signal, e.g., RF signal 155, containing the adjusted statistical value. For example, the RF signal 155 has a power of the adjusted statistical value. As another example, the RF signal 155 has a frequency of the adjusted statistical value.

상술된 것과 유사한 방식으로, 임피던스 매칭 회로 (106) 는, x, y, 및 z MHz RF 생성기들 중 대응하는 하나 이상에 커플링되는 대응하는 하나 이상의 RF 케이블들로부터 하나 이상의 RF 신호들을 수신한다. 임피던스 매칭 회로 (106) 는, 수신된 하나 이상의 RF 신호들에 기초하여 변경된 RF 신호를 생성하고, 변경된 RF 신호를 RF 케이블 (132) 을 통해 척 (152) 에 전송한다. 수신된 변경된 RF 신호에 기초하여, 플라즈마는 플라즈마 챔버 (111) 내에서 생성되거나, 플라즈마가 변경된 RF 신호의 수신 시에 이미 생성된 경우, 플라즈마의 속성들은 변경된 RF 신호에 기초하여 변경된다.In a manner analogous to that described above, the impedance matching circuit 106 receives one or more RF signals from corresponding one or more RF cables coupled to a corresponding one or more of the x, y, and z MHz RF generators. The impedance matching circuit 106 generates a modified RF signal based on the received one or more RF signals and transmits the modified RF signal to the chuck 152 via the RF cable 132. Based on the received modified RF signal, the plasma is generated in the plasma chamber 111, or if the plasma is already generated upon reception of the altered RF signal, the properties of the plasma are altered based on the altered RF signal.

이벤트 검출 모듈 (198) 은 RF 제어 블록 (197) 또는 SD 모듈 (116) 로부터 변수의 통계값을 수신하며, 이벤트 검출 모듈 (198) 의 저장 디바이스에 사전-저장된 미리-결정된 범위 내에 통계값이 있는지를 결정한다. 몇몇 실시형태들에서, 사전-결정된 정도는 미리-결정된 범위와 동일하다. 다양한 실시형태들에서, 사전-결정된 정도는 미리-결정된 범위와는 상이한 범위이다.The event detection module 198 receives statistics of the variables from the RF control block 197 or the SD module 116 and determines whether there are statistical values within a pre-stored range pre-stored in the storage device of the event detection module 198 . In some embodiments, the pre-determined degree is the same as the pre-determined range. In various embodiments, the pre-determined degree is a range that differs from the pre-determined range.

몇몇 실시형태들에서, 이벤트 검출 모듈 (198) 은 변수의 다수의 통계값들에 대한 다수의 미리-결정된 정도들을 갖는다. 예를 들어, 이벤트 검출 모듈 (198) 의 저장 디바이스는, x 제어기로부터 수신된 변수의 데이터로부터 생성되는 변수의 통계값에 대한 제 1 미리-결정된 정도를 저장한다. 다른 예로서, 이벤트 검출 모듈 (198) 의 저장 디바이스는, y 제어기로부터 수신된 변수의 데이터로부터 생성되는 변수의 통계값에 대한 제 2 미리-결정된 정도를 저장한다. 다양한 실시형태들에서, 제 1 미리-결정된 정도는 제 2 미리-결정된 정도와 동일하다. 몇몇 실시형태들에서, 제 1 미리-결정된 정도는 제 2 미리-결정된 정도와는 상이하다.In some embodiments, event detection module 198 has a number of pre-determined degrees of multiple statistical values of a variable. For example, the storage device of the event detection module 198 stores a first pre-determined degree of statistical value of a variable generated from data of a variable received from the x controller. As another example, the storage device of the event detection module 198 stores a second pre-determined degree of statistical value of a variable generated from data of a variable received from the y controller. In various embodiments, the first pre-determined degree is the same as the second pre-determined degree. In some embodiments, the first pre-determined degree differs from the second pre-determined degree.

변수의 통계값이 미리-결정된 정도 내에 있다고 결정할 시에, 실패 신호가 이벤트 검출 모듈 (198) 에 의해 생성되지 않는다. 한편, 변수의 통계값이 미리-결정된 정도 외부에 있다고 결정할 시에, 실패 신호는 이벤트 검출 모듈 (198) 에 의해 생성되고, 전송기 (122) 에 제공된다.A failure signal is not generated by the event detection module 198 when determining that the statistical value of the variable is within a pre-determined degree. On the other hand, when it is determined that the statistical value of the variable is outside the pre-determined extent, a failure signal is generated by the event detection module 198 and provided to the transmitter 122.

전송기 (122) 는 대응하는 x, y, 및 z 제어기들에 하나 이상의 통신 채널들을 통해 실패 신호를 전송한다. 예를 들어, 전송기 (122) 는 x 제어기에 통신 채널 (202) 을 통해 실패 신호를 전송하고, y 제어기에 통신 채널을 통해 실패 신호를 전송한다.The transmitter 122 transmits a failure signal over one or more communication channels to the corresponding x, y, and z controllers. For example, the transmitter 122 transmits a failure signal to the x controller over the communication channel 202 and a failure signal over the communication channel to the y controller.

RF 생성기의 제어기는 실패 신호를 수신하고, 실패 신호에 응답한다. 예를 들어, RF 생성기의 제어기는, 임피던스 매칭 회로 (106) 로의 전송을 위한 RF 신호의 생성을 중지하기 위해 RF 생성기의 RF 공급부에 신호를 전송한다. 다른 예로서, RF 생성기의 제어기는, 바이어스에 대해 보상되는 조정된 통계값이 바이어스 보상 모듈 (196) 로부터 전송기 (122) 를 통해 수신될 때까지, 임피던스 매칭 회로 (106) 로의 전송을 위한 RF 신호의 생성을 중지하기 위해, RF 생성기의 RF 공급부에 신호를 전송한다.The controller of the RF generator receives the failure signal and responds to the failure signal. For example, the controller of the RF generator sends a signal to the RF generator of the RF generator to stop generating the RF signal for transmission to the impedance matching circuit 106. As another example, the controller of the RF generator may control the RF signal for transmission to the impedance matching circuit 106 until the adjusted statistical value compensated for the bias is received via the transmitter 122 from the bias compensation module 196. [ To the RF generator of the RF generator in order to stop the generation of the RF generator.

몇몇 실시형태들에서, 이벤트 검출 모듈 (198) 은, 변수의 통계값에서 실패를 원격 컴퓨터 시스템에 통지하기 위해 원격 컴퓨터 시스템에 통신 블록 (191) 을 통해 실패 검출 신호를 전송한다. 통신 블록 (191) 의 예들은, 예를 들어, 네트워크 인터페이스 어댑터 또는 네트워크 인터페이스 카드와 같은 네트워크 인터페이스 제어기를 포함한다.In some embodiments, the event detection module 198 sends a failure detection signal to the remote computer system via the communication block 191 to notify the remote computer system of the failure in the statistical value of the variable. Examples of communication block 191 include a network interface controller, such as, for example, a network interface adapter or a network interface card.

원격 컴퓨터 시스템의 예들은 사용자에 의해 동작되는 컴퓨터, 서버, 프로세서, 셀 전화기, 스마트폰, 태블릿 등을 포함한다. 사용자는 원격 컴퓨터 시스템의 디스플레이 디바이스, 예를 들어, 음극선 튜브 디스플레이, 액정 디스플레이 디바이스, 광 방출 다이오드 디스플레이 디바이스, 플라즈마 디스플레이 디바이스 등 상에서 통지를 보고, 실패를 해결하기 위한 동작을 취하도록 결정한다.Examples of remote computer systems include computers, servers, processors, cell phones, smart phones, tablets, etc., operated by the user. The user decides to take the action to view the notification and resolve the failure on the display device of the remote computer system, for example, a cathode ray tube display, a liquid crystal display device, a light emitting diode display device, a plasma display device or the like.

다양한 실시형태들에서, SD 모듈 (116) 은 원격 컴퓨터 시스템에 변수의 통계값을 전송하기 위해 통신 블록 (191) 에 접속된다.In various embodiments, the SD module 116 is connected to the communication block 191 to transmit the statistics of the variables to the remote computer system.

다양한 실시형태들에서, 변수 요청기 (170), 변수 수신기 (110), 데이터 양 계산기 (112), 모델 (113), 제한 크로스오버 결정 모듈 (114), 모델값 생성기 (115), 통계 결정 모듈 (116), 스위치 모듈 (180), 데이터 삭제 모듈 (120), 바이어스 보상 모듈 (196), 이벤트 검출 모듈 (198), RF 제어 블록 (197), 전송기 (174), 및 통신 블록 (191) 각각은 별개의 프로세서들로서 구현된다. 예를 들어, 변수 요청기 (170) 는 하나의 프로세서로서 구현되고, 데이터 양 계산기 (112) 는 다른 프로세서로서 구현된다.In various embodiments, variable requestor 170, variable receiver 110, data amount calculator 112, model 113, limit crossover determination module 114, model value generator 115, And the communication block 191 are connected to the control module 116, the switch module 180, the data deletion module 120, the bias compensation module 196, the event detection module 198, the RF control block 197, the transmitter 174, Are implemented as separate processors. For example, the variable requestor 170 is implemented as one processor and the data amount calculator 112 is implemented as another processor.

다수의 실시형태들에서, 변수 요청기 (170), 변수 수신기 (110), 데이터 양 계산기 (112), 모델 (113), 제한 크로스오버 결정 모듈 (114), 모델값 생성기 (115), 통계 결정 모듈 (116), 스위치 모듈 (180), 데이터 삭제 모듈 (120), 바이어스 보상 모듈 (196), 이벤트 검출 모듈 (198), RF 제어 블록 (197), 전송기 (174), 및 통신 블록 (191) 중 하나 이상은 하나의 프로세서로서 구현되고, 변수 요청기 (170), 변수 수신기 (110), 데이터 양 계산기 (112), 모델 (113), 제한 크로스오버 결정 모듈 (114), 모델값 생성기 (115), 통계 결정 모듈 (116), 스위치 모듈 (180), 데이터 삭제 모듈 (120), 바이어스 보상 모듈 (196), 이벤트 검출 모듈 (198), RF 제어 블록 (197), 전송기 (174), 및 통신 블록 (191) 의 임의의 나머지는 다른 프로세서로서 구현된다.In many embodiments, variable requestor 170, variable receiver 110, data amount calculator 112, model 113, limit crossover determination module 114, model value generator 115, Module 116, a switch module 180, a data erasure module 120, a bias compensation module 196, an event detection module 198, an RF control block 197, a transmitter 174, and a communication block 191, At least one of which is implemented as a single processor and includes a variable requestor 170, a variable receiver 110, a data amount calculator 112, a model 113, a restrictive crossover determination module 114, a model value generator 115 A statistical determination module 116, a switch module 180, a data deletion module 120, a bias compensation module 196, an event detection module 198, an RF control block 197, a transmitter 174, Any remaining portion of block 191 is implemented as another processor.

몇몇 실시형태들에서, 호스트 제어기 (192) 는 데이터 삭제 모듈 (120) 및 스위치 (180) 를 배제한다. 이들 실시형태들에서, 데시메이션이 호스트 시스템 (190) 에서 수행되지 않는다. 이들 실시형태들에서, 변수의 모든 값들은 호스트 시스템 (190) 의 하나 이상의 저장 디바이스들 내에 저장되거나, 통신 블록 (191) 을 통하여 저장을 위해 원격 컴퓨터 시스템에 전송되거나, 가상 머신으로 통신 블록 (191) 을 통하여 저장을 위해 전송된다.In some embodiments, the host controller 192 excludes the data erase module 120 and the switch 180. In these embodiments, decimation is not performed in the host system 190. In these embodiments, all values of the variable may be stored in one or more storage devices of the host system 190, transmitted to the remote computer system for storage via the communication block 191, ) For storage.

도 3은 호스트 시스템 (190) (도 2) 의 일 예인 호스트 시스템 (400) 의 일 실시형태의 다이어그램이다. 호스트 시스템 (400) 은 필드 프로그래밍가능 게이트 어레이 (FPGA) (402) 및 마이크로프로세서 (404) 를 포함한다. FPGA (402) 대신에, 임의의 다른 집적 회로, 예를 들어, ASIC가 사용될 수도 있음을 유의해야 한다. 또한, 마이크로프로세서 (404) 대신에, 임의의 다른 집적 회로, 예를 들어, FPGA, ASIC 등이 사용될 수도 있다.FIG. 3 is a diagram of one embodiment of a host system 400 that is an example of a host system 190 (FIG. 2). The host system 400 includes a field programmable gate array (FPGA) 402 and a microprocessor 404. It should be noted that instead of the FPGA 402, any other integrated circuit, for example an ASIC, may be used. Also, instead of the microprocessor 404, any other integrated circuit, such as an FPGA, an ASIC, etc., may be used.

FPGA (402) 는, 하나 이상의 PSPI들을 포함하는 다수의 직렬 병렬 인터페이스 (SPI) (MSPI) (406) 를 포함한다. MSPI (406) 는 PSPI에 대해 각각 9개의 핀들을 포함하는 27개의 핀들을 포함한다. 예를 들어, MSPI (406) 는, x 제어기에 접속된 PSPI, y 제어기에 접속된 PSPI, 및 z 제어기에 접속된 PSPI를 포함한다 (도 2). MSPI (406) 는 데이터, 예를 들어, x, y, 및 z 제어기들의 직렬 데이터 출력 (SDO) 포트들로부터 다시 (back) 판독된 전력, x, y, 및 z 제어기들의 SDO 포트들로부터 다시 판독된 주파수, x, y, 및 z 제어기들의 SDO 포트들로부터 다시 판독된 플라즈마 임피던스의 실수 부분, x, y, 및 z 제어기들의 SDO 포트들로부터 다시 판독된 플라즈마 임피던스의 허수 부분, 및 x, y, 및 z 제어기들의 PSPI들로부터의 다른 변수들 등을 수신하고, 소프트-코어 디지털 신호 프로세서 (DSP) (408) 및/또는 고속 포트 (410) 에 데이터를 전송한다.The FPGA 402 includes a plurality of serial parallel interface (SPI) (MSPI) 406 including one or more PSPIs. MSPI 406 includes 27 pins each including 9 pins for PSPI. For example, MSPI 406 includes a PSPI connected to an x controller, a PSPI connected to a y controller, and a PSPI connected to a z controller (FIG. 2). MSPI 406 may read back from the SDO ports of power, x, y, and z controllers, back read from the serial data output (SDO) ports of the data, e.g., x, y, The real part of the plasma impedance read back from the SDO ports of the x, y, and z controllers, the imaginary part of the plasma impedance read back from the SDO ports of the x, y, and z controllers, And other variables from the PSPIs of the z controllers, and transmits data to the soft-core digital signal processor (DSP) 408 and / or the high-speed port 410. [

소프트-코어 DSP (408) 는 모델 (131) 및 모델값 생성기 (115) 를 포함한다. 예를 들어, FPGA (402) 는, RF 송신 라인 (132) 의 전기 컴포넌트들, 예를 들어, 커패시터들, 또는 인덕터들 등을 포함하는 전기 회로를 구현한다. 또한, FPGA (402) 는, RF 송신 라인 (132) 의 전기 회로의 전기 컴포넌트들이 접속되는 것과 동일한 방식, 예를 들어, 직렬, 병렬 등으로 전기 회로 내의 컴포넌트들을 접속시킨다.The soft-core DSP 408 includes a model 131 and a model value generator 115. For example, the FPGA 402 implements an electrical circuit that includes electrical components of the RF transmit line 132, e.g., capacitors, or inductors, and the like. The FPGA 402 also connects the components in the electrical circuit in the same manner as the electrical components of the electrical circuit of the RF transmit line 132 are connected, for example, in series, parallel, and the like.

MSPI (406) 에 의해 수신된 변수들의 데이터는 MSPI (406) 로부터 소프트-코어 DSP (408) 에 전송된다. 소프트-코어 DSP (108) 의 모델값 생성기 (115) 는, MSPI (406) 로부터 수신된 값들에 기초하여 모델 (113) 의 출력에서 변수들의 값들을 생성하고, 생성된 값들을 고속 포트 (410) 및 고속 버스 (412) 를 통해 마이크로프로세서 (404) 의 고속 버스 포트 (412) 에 전송한다. 고속 버스의 예들은 500MHz, 또는 400MHz, 또는 300MHz, 또는 600MHz, 또는 5MHz와 500MHz 사이 등으로 데이터를 전송하는 버스를 포함한다. 변수들의 데이터는, SDD (172) 의 일 예인 SDD 로직 블록 (416) 으로 고속 포트 (415) 를 통해 통신된다 (도 2).Data of the variables received by the MSPI 406 is transferred from the MSPI 406 to the soft-core DSP 408. The model value generator 115 of the soft-core DSP 108 generates values of variables in the output of the model 113 based on the values received from the MSPI 406, And high speed bus 412 to the high speed bus port 412 of the microprocessor 404. Examples of high-speed buses include buses that transfer data at 500 MHz, or 400 MHz, or 300 MHz, or 600 MHz, or between 5 MHz and 500 MHz. The data of the variables is communicated through the high speed port 415 to the SDD logic block 416, which is an example of the SDD 172 (FIG. 2).

몇몇 실시형태들에서, 로직 블록은, 하나 이상의 프로세서들에 의해 실행되는 컴퓨터 프로그램이며, 예를 들어, SDD 로직 블록 (416) 은 마이크로프로세서 (404) 에 의해 실행된다. 수 개의 실시형태들에서, 로직 블록은 집적 회로 내의 하드웨어로서 구현된다. 다양한 실시형태들에서, 로직 블록은 컴퓨터 프로그램 및 하드웨어의 조합으로서 구현된다.In some embodiments, the logic block is a computer program that is executed by one or more processors, for example, the SDD logic block 416 is executed by the microprocessor 404. In some embodiments, the logic block is implemented as hardware in an integrated circuit. In various embodiments, the logic blocks are implemented as a combination of computer programs and hardware.

SDD 로직 블록 (416) 은, 통계값을 생성하기 위해 소프트-코어 DSP (408) 로부터 고속 포트 (415) 를 통해 수신되는 변수들의 데이터에 통계 변환을 적용한다. 예를 들어, SDD 로직 블록 (416) 은, 통계값을 생성하기 위해 소프트-코어 DSP (408) 로부터 고속 포트 (415) 를 통해 수신되는 변수들의 데이터로부터 평균, 중간값, 또는 모드, 또는 표준 편차, 또는 최대값, 최소값, 또는 사분범위 (IQR) 등을 생성한다. 다른 예로서, SDD 로직 블록 (416) 은 소프트-코어 DSP (408) 로부터 수신된 전력의 다수의 값들의 이동 평균을 생성한다. 또 다른 예로서, SDD 로직 블록 (416) 은 소프트-코어 DSP (408) 로부터 수신된 플라즈마 임피던스의 실수 부분의 다수의 값들의 이동 중간값을 생성한다. 다른 예로서, SDD 로직 블록 (416) 은 통계값을 생성하기 위해 소프트-코어 DSP (408) 로부터의 변수들의 데이터 값들로부터 이동 IQR, 또는 IQR, 또는 최대값, 또는 최소값, 또는 평균값, 또는 중간값, 또는 분산, 또는 표준 편차, 또는 이동 평균, 또는 이동 중간값, 또는 이동 분산, 또는 이동 표준 편차, 또는 모드, 또는 이동 모드, 또는 이들의 조합 등을 생성한다.The SDD logic block 416 applies statistical transforms to the data of the variables received via the high speed port 415 from the soft-core DSP 408 to generate statistical values. For example, the SDD logic block 416 may generate an average, a median, or a mode, or a standard deviation, from the data of the variables received via the high speed port 415 from the soft-core DSP 408, , Or a maximum value, a minimum value, or a quadrant range (IQR). As another example, the SDD logic block 416 generates a moving average of a plurality of values of power received from the soft-core DSP 408. As another example, the SDD logic block 416 generates a moving intermediate value of a plurality of values of the real part of the plasma impedance received from the soft-core DSP 408. As another example, the SDD logic block 416 may generate a statistical value from the data values of the variables from the soft-core DSP 408, such as a moving IQR, or IQR, or a maximum, minimum, or average value, , Or variance, or standard deviation, or moving average, or moving median, or moving dispersion, or moving standard deviation, or mode, or moving mode, or combinations thereof.

다수의 실시형태들에서, SDD 로직 블록 (416) 은, 변수의 통계값을 제외하고 시간 윈도우에 걸쳐 수신된 변수의 하나 이상의 값들을 삭제한다. 예를 들어, SDD 로직 블록 (416) 은 저장 디바이스로부터, 호스트 시스템 (400) 내에서, 값들의 중간값을 제외하고 플라즈마 임피던스의 허수 부분의 값들을 삭제한다. 다른 예로서, SDD 로직 블록 (416) 은 저장 디바이스로부터, 호스트 시스템 (400) 내에서, 값들의 모드를 제외하고 주파수의 값들을 삭제한다.In many embodiments, the SDD logic block 416 deletes one or more values of the received variable over the time window, excluding the statistical value of the variable. For example, the SDD logic block 416 removes from the storage device, in the host system 400, the values of the imaginary part of the plasma impedance except for the median of the values. As another example, the SDD logic block 416 removes frequency values from the storage device, except for the mode of values, in the host system 400.

몇몇 실시형태들에서, 호스트 시스템 (400) 에서 데시메이션이 수행되지 않는다. 이들 실시형태들에서, 변수의 모든 값들은 호스트 시스템 (400) 의 하나 이상의 저장 디바이스들 내에 저장되거나, VME 통신 블록 (422) 을 통해 저장을 위하여 원격 컴퓨터 시스템에 전송되거나, VME 통신 블록 (422) 을 통해 저장을 위하여 가상 머신에 전송되거나, 기타 등등이 행해진다. VME 통신 블록 (422) 의 예들은 이더넷 통신 블록, 이더CAT 통신 블록, 유니버셜 시리얼 버스 (USB) 포트, 네트워크 인터페이스 제어기, 시리얼 포트, 및 병렬 포트를 포함한다. VME 통신 블록은 통신 블록 (191) 의 일 예이다 (도 2).In some embodiments, decimation is not performed in the host system 400. In these embodiments, all values of the variables may be stored in one or more storage devices of the host system 400, transmitted to the remote computer system for storage via the VME communication block 422, Or transferred to a virtual machine for storage via the Internet, or the like. Examples of VME communication block 422 include an Ethernet communication block, an Ether CAT communication block, a universal serial bus (USB) port, a network interface controller, a serial port, and a parallel port. The VME communication block is an example of communication block 191 (FIG. 2).

바이어스 보상 모듈 (196) (도 2) 의 일 예인 바이어스 보상 모듈 (418) 은, 바이어스를 보상하기 위해 SDD 로직 블록 (416) 으로부터 수신된 통계값에 기초하여 바이어스의 양을 결정한다. 예를 들어, 통계값이 미리-결정된 범위 외부에 있다고 결정할 시에, 바이어스 보상 모듈 (418) 은 미리-결정된 범위 내에 있도록 통계값을 조정한다.Bias compensation module 418, an example of bias compensation module 196 (FIG. 2), determines the amount of bias based on the statistics received from SDD logic block 416 to compensate for bias. For example, when determining that the statistical value is outside a pre-determined range, the bias compensation module 418 adjusts the statistical value to be within a pre-determined range.

몇몇 실시형태들에서, 바이어스 보상 모듈 (418) 은 고속 포트 (415), 고속 버스 (412), 고속 포트 (410), MSPI (406), 및 통신 채널을 통해 조정된 통계값을 RF 생성기의 제어기에 제공한다. 다양한 실시형태들에서, 바이어스 보상 모듈 (418) 은 VME 통신 블록 (422) 을 통해 조정된 통계값을 RF 생성기의 포트, 예를 들어, 이더넷 포트, 이더CAT 포트, USB 포트, 병렬 포트, 시리얼 포트 등에 또는 원격 컴퓨터 시스템의 포트에 제공한다.In some embodiments, the bias compensation module 418 provides the adjusted statistical values via the high speed port 415, the high speed bus 412, the high speed port 410, the MSPI 406, . In various embodiments, the bias compensation module 418 may send the adjusted statistics via the VME communication block 422 to a port of the RF generator, e.g., an Ethernet port, an Ether CAT port, a USB port, a parallel port, Or to a port of a remote computer system.

마이크로프로세서 (404) 는, 플라즈마 시스템 (151) 내의 포인트에서 (도 2), 예를 들어, 플라즈마 챔버 (111) 내의 포인트, 또는 임피던스 매칭 회로 (106) 내의 포인트, 또는 RF 송신 라인 (132) 상의 포인트 (도 2), 또는 x MHz RF 생성기 내의 포인트, y MHz RF 생성기 내의 포인트, 또는 z MHz RF 생성기 내의 포인트, 또는 임피던스 매칭 회로 (106) 에 RF 생성기를 커플링시키는 RF 케이블 상의 포인트 등에서 이벤트, 예를 들어, 실패 등을 검출하는 이벤트/실패 검출 모듈 (420) 을 포함한다. 예를 들어, SD 로직 블록 (416) 으로부터 수신된 통계값이 미리-결정된 범위 외부에 있다고 결정할 시에, 이벤트/실패 검출 모듈 (420) 은, 이벤트가 플라즈마 시스템 (151) (도 2) 내에서 발생한다고 결정한다. 이벤트의 발생의 표시는 VME 통신 블록 (422) 을 통해 이벤트/실패 검출 모듈 (420) 로부터 하나 이상의 디바이스들, 예를 들어, x MHz RF 생성기, y MHz RF 생성기, z MHz RF 생성기, 원격 컴퓨터 시스템 등에 전송된다. 이벤트/실패 검출 모듈 (420) 은 이벤트/실패 검출 모듈 (198) (도 2) 의 일 예이다.The microprocessor 404 may be connected to the RF transmission line 132 at a point within the plasma system 151 (FIG. 2), for example a point in the plasma chamber 111 or a point in the impedance matching circuit 106, Point in the x MHz RF generator, point in the y MHz RF generator, or point in the z MHz RF generator, or point on the RF cable that couples the RF generator to the impedance matching circuit 106, For example, an event / failure detection module 420 for detecting a failure or the like. For example, when determining that the statistic value received from the SD logic block 416 is outside a pre-determined range, the event / failure detection module 420 determines whether the event is within the plasma system 151 (FIG. 2) . An indication of the occurrence of the event may be received from the event / failure detection module 420 via the VME communication block 422, for example, one or more devices, such as an x MHz RF generator, a y MHz RF generator, a z MHz RF generator, . The event / failure detection module 420 is an example of the event / failure detection module 198 (FIG. 2).

도 4는 호스트 시스템 (190) (도 2) 의 다른 예인 호스트 시스템 (405) 의 일 실시형태의 블록도이다. 호스트 시스템 (450) 은, 호스트 시스템 (450) 이 마이크로프로세서 (454) 및 FPGA (403) 를 포함한다는 것을 제외하고 호스트 시스템 (400) (도 4) 과 유사하다. 마이크로프로세서 (452) 는, 마이크로프로세서 (452) 가 변수 모듈 (454) 을 포함한다는 것을 제외하고 마이크로프로세서 (404) (도 3) 와 유사하다. 또한, FPGA (403) 는, FPGA (403) 가 소프트-코어 DSP (408) (도 3) 를 배제한다는 것을 제외하고, FPGA (402) 와 유사하다.4 is a block diagram of one embodiment of a host system 405 that is another example of the host system 190 (Fig. 2). The host system 450 is similar to the host system 400 (FIG. 4) except that the host system 450 includes a microprocessor 454 and an FPGA 403. The microprocessor 452 is similar to the microprocessor 404 (FIG. 3) except that the microprocessor 452 includes a variable module 454. The FPGA 403 is also similar to the FPGA 402 except that the FPGA 403 excludes the soft-core DSP 408 (FIG. 3).

변수 모듈 (454) 의 모델값 생성기 (115) 는 고속 포트 (410), 고속 버스 (412), 및 고속 포트 (415) 를 통해 MSPI (406) 로부터 하나 이상의 변수들의 데이터를 수신한다. 변수 모듈 (454) 의 모델값 생성기 (115) 는, MSPI (406) 로부터 수신된 변수들의 데이터 및 특징들, 예를 들어, 모델 (113) 의 커패시턴스, 임피던스 등에 기초하여 모델 (113) 의 출력에서 변수들의 데이터를 결정한다. 예를 들어, MSPI (406) 을 통해 수신된 플라즈마 임피던스가 Z1이고 RF 송신 모델의 엘리먼트들의 임피던스가 Z2인 경우, 모델값 생성기 (115) 는 Z1 및 Z2의 지향 합산이도록 RF 송신 모델의 출력에서 임피던스를 결정한다. 다른 예로서, 3개의 통신 채널들을 통해 수신된 복소 전압 및 전류가 복소 V&I1이고, RF 송신 모델의 복소 전압 및 전류가 복소 V&I2인 경우, 모델값 생성기 (115) 는 V&I1 및 V&I2의 지향 합산이도록 RF 송신 모델의 출력에서 복소 V&I를 결정한다.The model value generator 115 of the variable module 454 receives data of one or more variables from the MSPI 406 via the high speed port 410, the high speed bus 412, and the high speed port 415. The model value generator 115 of the variable module 454 receives the data and characteristics of the variables received from the MSPI 406 at the output of the model 113 based on, for example, the capacitance, Determine the data of the variables. For example, if the plasma impedance received via the MSPI 406 is Z1 and the impedance of the elements of the RF transmission model is Z2, then the model value generator 115 generates an impedance at the output of the RF transmission model to be a directional sum of Z1 and Z2 . As another example, if the complex voltage and current received over the three communication channels is a complex V < RTI ID = 0.0 > I1 < / RTI > and the complex voltage and current in the RF transmission model is a complex V & The complex V & I is determined at the output of the transmission model.

SDD 로직 블록 (416) 은, 변수 모듈 (454) 에 의해 생성된 변수들의 데이터를 수신하고, 상술된 것과 유사한 방식으로 데이터로부터 통계값을 결정한다. 또한, 바이어스 보상 모듈 (418) 은 SDD 로직 블록 (416) 으로부터 통계값을 수신하고, 통계값에 기초하여 플라즈마 챔버 (111) (도 1) 에 적용할 바이어스를 결정한다. 예를 들어, 통계값이 미리-결정된 범위 외부에 있다고 결정할 시에, 바이어스 보상 모듈 (418) 은 미리-결정된 범위 내에 있도록 통계값을 조정한다.The SDD logic block 416 receives the data of the variables generated by the variable module 454 and determines the statistical values from the data in a manner similar to that described above. The bias compensation module 418 also receives statistics from the SDD logic block 416 and determines the bias to apply to the plasma chamber 111 (Figure 1) based on the statistics. For example, when determining that the statistical value is outside a pre-determined range, the bias compensation module 418 adjusts the statistical value to be within a pre-determined range.

바이어스 보상 모듈 (418) 은, 상술된 것과 유사한 방식으로 조정된 통계값을 x, y, 및 z 제어기들 중 하나 이상의 하나 이상의 PSPI들로 전송한다 (도 2). 예를 들어, 바이어스 보상 모듈 (418) 은, 전력의 조정된 통계값 및 주파수의 조정된 통계값을 결정하고, 고속 포트 (415), 고속 버스 (412), 고속 포트 (410), MSPI (406), 및 통신 채널들을 통해 조정된 통계값들을 x 제어기에 제공한다. 몇몇 실시형태들에서, 바이어스 보상 모듈 (418) 은 VME 통신 블록 (422) 을 통해 조정된 통계값을 RF 생성기의 포트, 예를 들어, 이더넷 포트, 이더CAT 포트, USB 포트, 병렬 포트, 시리얼 포트 등에 또는 원격 컴퓨터 시스템의 포트에 전송한다.The bias compensation module 418 sends the adjusted statistical values in one or more of the x, y, and z controllers to the one or more PSPIs in a manner similar to that described above (FIG. 2). For example, the bias compensation module 418 may determine the adjusted statistical value of the power and the adjusted statistical value of the frequency, and determine the adjusted statistical value of the frequency of the high speed port 415, the high speed bus 412, the high speed port 410, the MSPI 406 ), And statistical values adjusted via communication channels to the x controller. In some embodiments, the bias compensation module 418 may communicate the adjusted statistical values via the VME communication block 422 to a port of the RF generator, e.g., an Ethernet port, an Ether CAT port, a USB port, a parallel port, Or to a port of a remote computer system.

이벤트/실패 검출 모듈 (420) 은, SDD 로직 블록 (416) 으로부터 수신된 통계값에 기초하여 플라즈마 시스템 (151) (도 2) 내에서 이벤트를 검출한다. 예를 들어, 통계값이 미리-결정된 정도 외부에 있다고 결정할 시에, 이벤트/실패 검출 모듈 (420) 은, 이벤트가 플라즈마 시스템 (151) 내에서 발생한다고 결정한다. 통계값은, 변수 모듈 (454) 에 의해 생성된 변수들의 데이터로부터 생성된다.The event / failure detection module 420 detects an event within the plasma system 151 (FIG. 2) based on the statistics received from the SDD logic block 416. For example, when determining that the statistical value is outside a pre-determined degree, the event / failure detection module 420 determines that an event occurs in the plasma system 151. [ The statistical values are generated from the data of the variables generated by the variable module 454.

이벤트의 발생의 표시는 이벤트/실패 검출 모듈 (420) 로부터 VME 통신 블록 (422) 을 통해 하나 이상의 디바이스들, 예를 들어, 원격 컴퓨터 시스템, x MHz RF 생성기, y MHz RF 생성기, z MHz RF 생성기 등에 전송된다. 사용자는 원격 컴퓨터 시스템의 디스플레이 디바이스 상에서 표시를 보고, 실패를 해결하기 위한 동작을 취하도록 결정할 수도 있다.An indication of the occurrence of the event may be received from the event / failure detection module 420 via the VME communication block 422, such as one or more devices, e.g., a remote computer system, an x MHz RF generator, a y MHz RF generator, . The user may view the display on the display device of the remote computer system and decide to take action to resolve the failure.

도 5는 메모리 위치에 액세스하기 위한 포인터들의 사용을 도시하기 위한 저장 디바이스 (500) 의 일 실시형태의 다이어그램이다. 저장 디바이스 (500) 는 변수 수신기 (110) (도 1 & 도 2) 내에 존재한다. 몇몇 실시형태들에서, 저장 디바이스 (500) 는 데이터 양 계산기 (112) 또는 제한 크로스오버 결정 모듈 (114), 또는 SD 모듈 (116) 내에 존재한다.5 is a diagram of one embodiment of a storage device 500 for illustrating the use of pointers for accessing a memory location. The storage device 500 is present in the variable receiver 110 (FIGS. 1 and 2). In some embodiments, the storage device 500 is in the data amount calculator 112 or the limiting crossover determination module 114, or the SD module 116. [

저장 디바이스 (500) 는 메모리 어레이 1 및 메모리 어레이 2를 포함한다. 메모리 어레이 1는 변수의 데이터를 저장하고, 메모리 어레이 2는 메모리 어레이 1 내의 위치들의 메모리 어드레스들을 저장한다. 변수의 데이터의 예들은 인덱스 0, 인덱스 1, 인덱스 2, 인덱스 3, 및 인덱스 4로서 도시되어 있다.The storage device 500 includes a memory array 1 and a memory array 2. The memory array 1 stores the data of the variables, and the memory array 2 stores the memory addresses of the locations in the memory array 1. Examples of data for variables are shown as Index 0, Index 1, Index 2, Index 3, and Index 4.

도시된 바와 같이, 변수의 데이터는 메모리 어레이 1 내에서 수신되고, 메모리 어드레스들 0x0, 0x1, 0x2, 0x3, 및 0x4에 저장된다. 변수의 데이터가 메모리 어레이 1 내에서 수신된 경우, 데이터에 대한 포인터는 프로세서, 예를 들어 데이터 양 계산기 (112) 의 프로세서, 또는 제한 크로스오버 결정 모듈 (114) 의 프로세서, 또는 SD 모듈 (116) 의 프로세서 등에 의해 메모리 어레이 2 내에서 생성된다. 0x0 포인터는 메모리 어드레스 0x5에 저장되고, 값 인덱스 0을 포인팅한다. 0x1 포인터는 메모리 어드레스 0x6에 저장되고, 값 인덱스 1을 포인팅한다. 또한, 0x2 포인터는 메모리 어드레스 0x7에 저장되고 값 인덱스 2를 포인팅하고, 0x3 포인터는 메모리 어드레스 0x8에 저장되고 값 인덱스 3을 포인팅하며, 0x4 포인터는 메모리 어드레스 0x9에 저장되고, 값 인덱스 4를 포인팅한다.As shown, the data of the variable is received in memory array 1 and stored at memory addresses 0x0, 0x1, 0x2, 0x3, and 0x4. A pointer to the data may be stored in a processor, for example a processor of the amount of data calculator 112, or a processor of the limited crossover decision module 114, or a module of the SD module 116, Lt; RTI ID = 0.0 > 2 < / RTI > The 0x0 pointer is stored at memory address 0x5 and points to the value index 0. The 0x1 pointer is stored at memory address 0x6 and points to the value index 1. The 0x2 pointer is also stored in the memory address 0x7 and points to the value index 2, the 0x3 pointer is stored in the memory address 0x8 and points to the value index 3, the 0x4 pointer is stored in the memory address 0x9, and the value index 4 is pointed .

5개의 값들이 도 5에 도시되어 있지만, 몇몇 실시형태들에서, 5개보다 더 많은 또는 더 적은 값들이 메모리 어레이 1에 저장된다.Although five values are shown in FIG. 5, in some embodiments, more or less than five values are stored in memory array 1.

몇몇 실시형태들에서, 포인터들이 메모리 어드레스에서 변수의 데이터를 액세스, 변경, 또는 삭제하기 위해 그 메모리 어드레스를 포인팅하는데 사용됨을 유의해야 한다. 다양한 실시형태들에서, 포인터는 저장 디바이스 내의 값의 위치를 변경시키는데 사용된다. 저장 디바이스 내의 각각의 위치, 예를 들어, 메모리 어레이, 메모리 어레들의 그룹 등은 메모리 어드레스에 의해 식별된다.It should be noted that, in some embodiments, pointers are used to point to the memory address to access, change, or delete the data of the variable at the memory address. In various embodiments, the pointer is used to change the position of the value in the storage device. Each location in the storage device, e.g., a memory array, a group of memory arrays, etc., is identified by a memory address.

도 6은 삽입 정렬 동작의 일 실시형태의 다이어그램이다. 변수의 데이터는, 메모리 어레이 1의 일 예인 메모리 어레이 (502) 내에 저장된다 (도 5). 예를 들어, 1, 2, 5, 3 및 4는 메모리 어레이 (502) 내에 저장된 변수의 값들이다. 삽입 정렬 동작에서, 모든 값들 중 가장 낮은 값으로부터 모든 값들 중 가장 높은 값으로 메모리 어레이 (502) 의 값들을 정렬시키기 위해, 메모리 어레이 (502) 의 각각의 값과 메모리 어레이 (502) 의 나머지 값들 사이에서 비교가 수행된다. 예를 들어, 5는 2와 비교된다. 5가 2보다 크므로, 메모리 어레이 (502) 내의 좌측으로부터의 제 3 위치를 포인팅하는 포인터가 여전히 제 3 위치를 포인팅한다고 결정한다. 다른 예로서, 5는 1과 비교된다. 5가 1보다 크므로, 메모리 어레이 (502) 내의 좌측으로부터의 제 3 위치를 포인팅하는 포인터가 여전히 제 3 위치를 포인팅한다고 결정한다. 또 다른 예로서, 3은 5와 비교되며, 3이 5보다 작다고 결정된다. 또한, 메모리 어레이 (502) 내의 좌측으로부터의 제 3 위치를 포인팅하는 포인터는 메모리 어레이 (502) 내의 좌측으로부터의 제 4 위치에 대한 포인트로 변경되고, 제 4 위치를 포인팅하는 포인터는 이제 제 3 위치를 포인팅하는 포인트로 변경된다. 이러한 예에서, 3은 메모리 어레이 (502) 내의 5로 장소들을 스위칭하게 된다.Figure 6 is a diagram of one embodiment of an insertion alignment operation. The data of the variable is stored in the memory array 502, which is an example of the memory array 1 (Fig. 5). For example, 1, 2, 5, 3, and 4 are the values of the variables stored in the memory array 502. Between the respective values of the memory array 502 and the remaining values of the memory array 502 in order to align the values of the memory array 502 from the lowest value of all values to the highest of all values Lt; / RTI > For example, 5 is compared to 2. 5 is greater than 2, it is determined that the pointer pointing to the third position from the left in the memory array 502 still points to the third position. As another example, 5 is compared to 1. 5 is greater than one, it is determined that the pointer pointing to the third position from the left in the memory array 502 still points to the third position. As another example, 3 is compared to 5, and 3 is determined to be less than 5. Also, the pointer pointing to the third position from the left in the memory array 502 is changed to a point for the fourth position from the left in the memory array 502, and the pointer pointing to the fourth position is now shifted to the third position As shown in FIG. In this example, 3 is to switch places to 5 in memory array 502.

값들로부터 최소값 및 최대값을 결정하기 위해 값들 중 가장 낮은 값으로부터 값들 중 가장 높은 값으로 메모리 어레이 (502) 내의 값들을 정렬시키기 위한 정렬이 수행된다.Alignment is performed to align the values in the memory array 502 from the lowest of the values to the highest of the values to determine the minimum and maximum values from the values.

5개의 값들이 도 6에 도시되었지만, 몇몇 실시형태들에서, 5개보다 더 크거나 더 작은 값들이 메모리 어레이 (502) 에 저장된다.Although five values are shown in Figure 6, in some embodiments, values greater than or less than five are stored in the memory array 502. [

도 7은 병합 정렬 동작을 도시하기 위한 3개의 메모리 어레이들 (504, 506, 및 508) 의 일 실시형태의 다이어그램이다. 메모리 어레이들 (504, 506, 및 508) 은 저장 디바이스 (510) 의 부분들이다. 저장 디바이스 (510) 는 변수 수신기 (110) (도 1 & 도 2) 내에 존재한다. 몇몇 실시형태들에서, 저장 디바이스 (510) 는 데이터 양 계산기 (112), 또는 제한 크로스오버 결정 모듈 (114), 또는 SD 모듈 (116) 내에 존재한다. 다양한 실시형태들에서, 메모리 어레이들 (504 및 506) 은 변수 수신기 (110) 의 저장 디바이스 내에 존재하고, 병합된 메모리 어레이 (508) 는 SD 모듈 (116) 의 저장 디바이스 내에 존재한다.FIG. 7 is a diagram of one embodiment of three memory arrays 504, 506, and 508 for illustrating a merge alignment operation. The memory arrays 504, 506, and 508 are portions of the storage device 510. Storage device 510 resides within variable receiver 110 (FIGS. 1 and 2). In some embodiments, the storage device 510 is present in the data amount calculator 112, or the limiting crossover determination module 114, or the SD module 116. [ In various embodiments, memory arrays 504 and 506 reside within the storage device of variable receiver 110 and merged memory array 508 resides within the storage device of SD module 116. [

메모리 어레이들 (504 및 506) 내의 변수의 값들은, 삽입 정렬 동작을 수행한 이후 생성된다. 예를 들어, 메모리 어레이 (504) 내의 변수의 값들은, 메모리 어레이 (504) 내의 모든 값들 중 가장 낮은 값으로부터 메모리 어레이 (504) 내의 모든 값들의 가장 높은 값으로 정렬된다. 다른 예로서, 메모리 어레이 (506) 내의 변수의 값들은 메모리 어레이 (506) 내의 모든 값들 중 가장 낮은 값으로부터 메모리 어레이 (506) 내의 모든 값들 중 가장 높은 값으로 정렬된다.The values of the variables in the memory arrays 504 and 506 are generated after performing the insertion sort operation. For example, the values of the variables in the memory array 504 are sorted from the lowest value of all values in the memory array 504 to the highest value of all values in the memory array 504. [ As another example, the values of the variables in the memory array 506 are sorted from the lowest value of all values in the memory array 506 to the highest of all values in the memory array 506.

변수의 데이터는 메모리 어레이들 (504 및 506) 내에서 수신된다. 병합 정렬 동작 동안, 메모리 어레이 (504) 의 모든 값들 중 최대값이 결정되고, 메모리 어레이 (506) 의 모든 값들 중 최소값이 결정된다. 메모리 어레이 (506) 내의 최소값이 메모리 어레이 (504) 의 최대값보다 큰지가 추가적으로 결정된다.The data of the variable is received in the memory arrays 504 and 506. During the merge alignment operation, the maximum value of all values of the memory array 504 is determined, and the minimum value of all values of the memory array 506 is determined. It is additionally determined whether the minimum value in the memory array 506 is greater than the maximum value of the memory array 504. [

메모리 어레이 (506) 내의 최소값이 메모리 어레이 (504) 내의 최대값보다 크지 않다고 결정할 시에, 메모리 어레이 (504) 의 각각의 값은 메모리 어레이 (506) 내의 각각의 값과 비교된다. 한편, 메모리 어레이 (506) 내의 최소값이 메모리 어레이 (504) 내의 최대값보다 크다고 결정할 시에, 메모리 어레이들 (504 및 506) 의 값들 사이에서 비교가 수행된다. 비교가 수행되지 않은 경우, 메모리 어레이들 (504 및 506) 의 모든 값들을 포함하는 병합된 메모리 어레이 (508) 가 생성된다. 예를 들어, 메모리 어레이들 (504 및 506) 의 값들은, 메모리 어레이들 (504 및 506) 내의 값들의 일 순서로 병합된 메모리 어레이 (508) 내에 기입된다.Each value of the memory array 504 is compared to a respective value in the memory array 506 when determining that the minimum value in the memory array 506 is not greater than the maximum value in the memory array 504. [ On the other hand, when determining that the minimum value in the memory array 506 is greater than the maximum value in the memory array 504, a comparison is made between the values in the memory arrays 504 and 506. [ If the comparison is not performed, a merged memory array 508 containing all the values of the memory arrays 504 and 506 is generated. For example, the values of memory arrays 504 and 506 are written into a merged memory array 508 in a sequence of values in memory arrays 504 and 506.

다양한 실시형태들에서, 값 "7" 이 저장된 메모리 어레이 (506) 의 메모리 어드레스는, 값 "6" 이 저장된 메모리 어드레스 (504) 의 메모리 어드레스 직후, 예를 들어, 그의 우측 다음, 그에 연속하여 등에 존재한다. 몇몇 실시형태들에서, 값 "7" 이 저장된 메모리 어레이 (506) 의 메모리 어레이는, 값 "6" 이 저장된 메모리 어레이 (504) 의 메모리 어드레스 이후, 예를 들어, 그 직후는 아니지만, 그의 다음 우측이 아니거나, 그의 2개의 메모리 어드레스들 내이거나, 그의 다수의 메모리 어드레스들 내에 존재한다.In various embodiments, the memory address of the memory array 506 in which the value "7" is stored is stored immediately after the memory address of the memory address 504 where the value "6 & exist. In some embodiments, the memory array of the memory array 506 in which the value "7" is stored is stored after the memory address of the memory array 504 in which the value "6 " is stored, for example, Or within its two memory addresses, or within its multiple memory addresses.

다양한 실시형태들에서, 메모리 어레이들 (504 및 506) 은 비워진 메모리 어드레스들의 수, 예를 들어, 1, 2 등에 의해 분리된다.In various embodiments, the memory arrays 504 and 506 are separated by the number of vacated memory addresses, e.g., 1, 2, and so on.

각각의 메모리 어레이 (504 및 506) 가 6개의 값들을 포함하지만, 몇몇 실시형태들에서, 각각의 메모리 어레이 (504 및 506) 가 변수의 값들의 상이한 수를 포함함을 유의해야 한다.It should be noted that although each memory array 504 and 506 includes six values, in some embodiments, each memory array 504 and 506 includes a different number of values of the variable.

다양한 실시형태들에서, 병합된 메모리 어레이 (508) 는, 메모리 어레이들 (504 및 506) 내의 메모리 어드레스들의 총 수의 사이즈와 동일한 사이즈, 예를 들어, 메모리 어드레스들의 수 등을 갖는다.In various embodiments, the merged memory array 508 has the same size as the total number of memory addresses in the memory arrays 504 and 506, e.g., the number of memory addresses, and so on.

도 8은 병합 정렬 동작 내의 비교 동작을 도시하기 위한 저장 디바이스 (550) 의 일 실시형태의 다이어그램이다. 저장 디바이스 (550) 는 메모리 어레이들 (552 및 554), 및 병합된 메모리 어레이 (556) 를 포함한다. 메모리 어레이들 (553, 554, 및 556) 은, 변수 수신기 (11) (도 1 & 도 2) 내에 위치된 저장 디바이스 (550) 의 부분들이다. 몇몇 실시형태들에서, 저장 디바이스 (550) 는 데이터 양 계산기 (112), 또는 제한 크로스오버 결정 모듈 (114), 또는 SD 모듈 (116) 내에 존재한다. 다양한 실시형태들에서, 메모리 어레이들 (552 및 554) 은 변수 수신기 (110) 의 저장 디바이스 내에 존재하고, 병합된 메모리 어레이 (556) 는 SD 모듈 (116) 의 저장 디바이스 내에 존재한다.8 is a diagram of one embodiment of a storage device 550 for illustrating comparison operations within a merge sorting operation. The storage device 550 includes memory arrays 552 and 554, and a merged memory array 556. [ The memory arrays 553, 554, and 556 are portions of the storage device 550 located within the variable receiver 11 (FIGS. 1 and 2). In some embodiments, the storage device 550 resides in the data amount calculator 112, or the limiting crossover determination module 114, or the SD module 116. In various embodiments, the memory arrays 552 and 554 reside in the storage device of the variable receiver 110 and the merged memory array 556 resides in the storage device of the SD module 116.

메모리 어레이들 (552 및 554) 의 값들 사이의 하나씩의 비교를 수행하는 동안, 메모리 어레이 (552) 의 메모리 어드레스 내의 값이 메모리 어레이 (554) 의 메모리 어드레스 내의 값보다 작은지가 결정된다. 예를 들어, 메모리 어레이 (552) 의 값 "4" 이 메모리 어레이 (554) 의 값 "3" 보다 작은지가 결정된다. 다른 예로서, 메모리 어레이 (552) 내의 변수의 각각의 값은, 메모리 어레이들 (552 및 554) 내의 메모리 어드레스들의 순서로 메모리 어레이 (554) 내의 변수의 각각의 값과 비교된다. 비교의 순서를 추가적으로 예시하기 위해, 메모리 어레이 (552) 의 메모리 어드레스 (MA1) 내의 값 "1" 은, 메모리 어레이 (554) 의 메모리 어드레스들 (MA5, MA6, MA7, 및 MA8) 내의 값들 "3", "5", "6", 및 "8" 과 비교된다. 그 후, 메모리 어레이 (552) 의 메모리 어드레스 (MA2) 내의 값 "2" 은, 메모리 어레이 (554) 의 메모리 어드레스들 (MA5, MA6, MA7, 및 MA8) 내의 값들 "3", "5", "6" 및 "8" 과 비교된다. 메모리 어드레스 (MA1) 는 메모리 어드레스 (MA2) 보다 작다.During the one-to-one comparison between the values of the memory arrays 552 and 554, it is determined whether the value in the memory address of the memory array 552 is less than the value in the memory address of the memory array 554. [ For example, it is determined if the value "4" in the memory array 552 is less than the value "3" in the memory array 554. As another example, each value of a variable in memory array 552 is compared to a respective value of a variable in memory array 554 in the order of memory addresses in memory arrays 552 and 554. The value "1" in memory address MA1 of memory array 552 is equal to the value "1 " in memory addresses MA5, MA6, MA7, and MA8 of memory array 554, Quot ;, "5 "," 6 ", and "8" The value "2" in the memory address MA2 of the memory array 552 is then reset to the values 3, 5, Quot; 6 "and" 8 ". The memory address MA1 is smaller than the memory address MA2.

메모리 어레이들 (552 및 554) 중 하나의 메모리 어드레스 내의 값이 메모리 어레이들 (552 및 554) 중 나머지의 메모리 어드레스 내의 값보다 작다고 결정할 시에, 병합된 메모리 어레이 (556) 내의 비워진 메모리 어드레스로 더 작은 값을 삽입, 예를 들어, 기입 등을 행하도록 결정된다. 예를 들어, 메모리 어레이 (554) 내의 값 "3" 이 메모리 어레이 (552) 내의 값 "4" 보다 작다고 결정할 시에, 값 "3" 은 병합된 메모리 어레이 (556) 의 메모리 어드레스 (558) 로 기입된다. 다른 예로서, 메모리 어레이 (552) 내의 값 "4" 이 메모리 어레이 (554) 내의 값 "5" 보다 작다고 결정할 시에, 값 "4" 은 병합된 메모리 어레이 (556) 의 메모리 어드레스 (560) 로 기입된다. 병합된 메모리 어레이 (556) 내의 비워진 메모리 어드레스는, 병합된 메모리 어레이 (556) 의 점유된 메모리 어드레스에 연속한다.When determining that the value in the memory address of one of the memory arrays 552 and 554 is less than the value in the remaining memory address of the memory arrays 552 and 554, It is determined to insert a small value, for example, to perform writing or the like. 3 "in the memory array 554 is less than the value" 4 "in the memory array 552, the value" 3 " . 4 "in the memory array 552 is less than the value" 5 "in the memory array 554, the value 4 is stored as the memory address 560 of the merged memory array 556 . The vacated memory addresses in the merged memory array 556 are contiguous to the occupied memory addresses of the merged memory array 556.

병합 정렬 동작 동안, 메모리 어레이들 (552 및 554) 의 값들 사이에서 비교가 수행된 이후, 병합된 어레이 (556) 으로 기입되지 않은 메모리 어레이 (554) 의 임의의 값은 메모리 어레이 (554) 의 나머지 기입되지 않은 값들과 비교된다. 예를 들어, 메모리 어레이 (554) 의 값 "5" 은 메모리 어레이 (504) 의 값 "6" 과 비교된다. 병합된 메모리 어레이 (556) 로 아직 기입되지 않은 값들을 포함하는 메모리 어레이 (554) 의 메모리 어드레스들의 순서로 비교가 수행된다. 예를 들어, 값들 "5", "6", 및 "8" 이 병합된 메모리 어레이 (556) 로 기입되지 않은 경우, 값들 "5", "6", 및 "8" 이 메모리 어레이 (554) 에 저장된 메모리 어드레스들로부터의 가장 작은 메모리 어드레스 내의 값 "5" 는 값들 "6" 및 "8" 과 비교된다. 값 "6" 의 메모리 어드레스는 메모리 어레이 (554) 내의 값 "8" 의 메모리 어드레스보다 크다.Any value of the memory array 554 that has not been written into the merged array 556 may be stored in the memory array 554 after the comparison between the values of the memory arrays 552 and 554 is performed during the merge alignment operation Compared to unprinted values. For example, the value "5" of the memory array 554 is compared to the value "6" A comparison is performed in the order of the memory addresses of the memory array 554 including the values not yet written into the merged memory array 556. [ 5 ", " 6 ", and "8" are stored in the memory array 554 when the values "5 ", & The value "5" in the smallest memory address from the memory addresses stored in < / RTI > The memory address of the value "6" is larger than the memory address of the value "8"

병합 정렬 동작 동안, 기입되지 않은 값들을 비교하는 동안에, 기입되지 않은 값들 중 더 작은 값이 병합된 메모리 어레이 (556) 로 기입된다. 예를 들어, 메모리 어레이 (554) 내의 값들 "5" 및 "6" 사이에서 비교가 수행된 경우, 값 "5" 가 병합된 메모리 어레이 (556) 로 기입된다. 다른 예로서, 메모리 어레이 (554) 내의 값들 "6" 및 "8" 사이에서 비교가 수행된 경우, 값 "6" 이 메모리 어레이 (556) 으로 기입된다. 기입되지 않은 값들의 비교 이후 임의의 나머지 값은, 값을 이용하여 기입된 메모리 어드레스에 연속하는 병합된 메모리 어레이 (556) 의 비워진 어드레스로 기입된다. 예를 들어, 메모리 어레이 (554) 의 값 "8" 은 메모리 어레이 (556) 의 메모리 어드레스 (562) 로 기입된다.During the merge alignment operation, while comparing the uncommitted values, the smaller of the uncommitted values is written into the merged memory array 556. For example, if a comparison is made between the values "5" and "6" in the memory array 554, the value "5" is written into the merged memory array 556. As another example, if a comparison is made between values "6" and "8" in memory array 554, the value "6" is written into memory array 556. Any remaining values after the comparison of the un-written values are written to the vacated address of the merged memory array 556 contiguous to the memory address written using the value. For example, the value "8" of the memory array 554 is written to the memory address 562 of the memory array 556.

병합 정렬 동작의 종료 시에, 병합된 메모리 어레이 (556) 는 메모리 어레이들 (552 및 554) 내의 모든 값들 중 가장 낮은 값으로부터 메모리 어레이들 (552 및 554) 의 모든 값들 중 가장 높은 값으로 정렬된다.At the end of the merge alignment operation, the merged memory array 556 is aligned to the highest of all values of the memory arrays 552 and 554 from the lowest of all values in the memory arrays 552 and 554 .

각각의 메모리 어레이 (552 및 554) 가 4개의 값들을 포함하지만, 몇몇 실시형태들에서, 각각의 메모리 어레이 (552 및 554) 는 변수의 상이한 수의 값들을 포함함을 유의해야 한다.It should be noted that although each memory array 552 and 554 includes four values, in some embodiments, each memory array 552 and 554 includes a different number of values of the variable.

다양한 실시형태들에서, 메모리 어레이들 (552 및 554) 은 비워진 메모리 어드레스들의 수, 예를 들어, 1, 2 등에 의해 분리된다. 몇몇 실시형태들에서, 메모리 어드레스 (MA5) 는 메모리 어레이 (552) 의 메모리 어드레스 (MA4) 에 연속한다.In various embodiments, the memory arrays 552 and 554 are separated by the number of vacated memory addresses, e.g., 1, 2, and so on. In some embodiments, the memory address MA5 is contiguous to the memory address MA4 of the memory array 552. [

다양한 실시형태들에서, 병합된 메모리 어레이 (556) 는 메모리 어레이들 (552 및 554) 내의 메모리 어드레스들의 총 수의 사이즈와 동일한 사이즈를 갖는다.In various embodiments, the merged memory array 556 has the same size as the size of the total number of memory addresses in the memory arrays 552 and 554.

도 9는 SD 모듈 (116) (도 1 및 도 2) 의 일 예인 SD 모듈 (580) 의 일 실시형태의 다이어그램이다. SD 모듈 (580) 은 이동 IQR 모듈, IQR 모듈, 삽입 정렬 모듈, 병합 정렬 모듈, 모드 모듈, 이동 모드 모듈, 평균 모듈, 중간값 모듈, 분산 모듈, 표준 편차 모듈, 이동 평균 모듈, 이동 중간값 모듈, 이동 분산 모듈, 및 이동 표준 편차 모듈을 포함한다.FIG. 9 is a diagram of one embodiment of an SD module 580, which is an example of the SD module 116 (FIGS. 1 and 2). The SD module 580 includes a mobile IQR module, an IQR module, an insertion alignment module, a merge alignment module, a mode module, a movement mode module, an average module, an intermediate value module, a dispersion module, a standard deviation module, , A mobile dispersion module, and a mobile standard deviation module.

이동 IQR 모듈은 메모리 어레이, 예를 들어, 메모리 어레이 (502) (도 6), 또는 메모리 어레이 (504) (도 7), 또는 메모리 어레이 (506) (도 7), 또는 병합된 메모리 어레이 (508) (도 7), 또는 메모리 어레이 (552) (도 8), 또는 메모리 어레이 (554) (도 8), 또는 병합된 메모리 어레이 (556) (도 8) 등 내의 변수의 값들의 이동 IQR을 결정한다. 유사하게, IQR 모듈은 메모리 어레이 내의 변수의 값들의 IQR을 계산한다. 또한, 삽입 정렬 모듈은 메모리 어레이 내의 변수의 값들에 삽입 정렬 동작을 적용한다. 병합 정렬 모듈은 메모리 어레이 내의 변수의 값들에 병합 정렬 동작을 적용한다. 모드 모듈은 메모리 어레이 내의 변수의 값들의 모드를 결정한다. 유사하게, 이동 모드 모듈은 메모리 어레이 내의 변수의 값들의 이동 모드를 결정한다. 평균 모듈은 메모리 어레이 내의 변수의 값들의 평균을 계산한다. 중간값 모듈은 메모리 어레이 내의 변수의 값들의 중간값을 생성한다.The mobile IQR module may be implemented as a memory array, e.g., a memory array 502 (FIG. 6), or a memory array 504 (FIG. 7), or a memory array 506 Determine the moving IQR of the values of the variables in the memory array 552 (FIG. 7), or the memory array 552 (FIG. 8), or the memory array 554 (FIG. 8), or the merged memory array 556 do. Similarly, the IQR module calculates the IQR of the values of the variables in the memory array. The insertion alignment module also applies an insertion sort operation to the values of the variables in the memory array. The merge sort module applies a merge sort operation to the values of the variables in the memory array. The mode module determines the mode of the values of the variables in the memory array. Similarly, the movement mode module determines the mode of movement of the values of the variables in the memory array. The average module calculates the average of the values of the variables in the memory array. The median module generates a median of the values of the variables in the memory array.

분산 모듈은 메모리 어레이 내의 값들의 분산을 계산하고, 표준 편차 모듈은 메모리 어레이 내의 값들의 표준 편차를 결정한다. 이동 평균 모듈은 메모리 어레이 내의 변수의 값들의 이동 평균을 계산하고, 이동 중간값 모듈은 메모리 어레이 내의 변수의 값들의 이동 중간값을 결정한다. 이동 분산 모듈은 메모리 어레이 내의 값들의 이동 분산을 계산하고, 이동 분산 모듈은 메모리 어레이 내의 값들의 이동 분산을 결정한다. 이동 표준 편차는 메모리 어레이 내의 값들의 이동 표준 편차를 생성한다.The distribution module calculates the variance of the values in the memory array, and the standard deviation module determines the standard deviation of the values in the memory array. The moving average module calculates a moving average of the values of the variables in the memory array, and the moving intermediate module determines the moving intermediate value of the values of the variables in the memory array. The mobile distribution module calculates the mobile dispersion of the values in the memory array, and the mobile dispersion module determines the mobile dispersion of the values in the memory array. The moving standard deviation produces a moving standard deviation of the values in the memory array.

이동 통계값, 예를 들어, 이동 IQR 값, 또는 이동 모드 값, 또는 이동 평균값, 또는 이동 중간값, 또는 이동 분산값, 또는 이동 표준 편차값 등은, 메모리 어레이, 예를 들어, SD 모듈 (116) (도 1 & 도 2) 에 의해, 모델값 생성기 (115) (도 1 & 도 2) 로부터 등에 의해 수신된 값들로서 변수의 값들을 동적으로 고려하는 값이다. 예를 들어, 메모리 어레이 (502) 의 값들 "3" 및 "4" 이 수신되지 않는 시간에서 메모리 어레이 (502) (도 6) 내의 값들 "1", "2", 및 "5" 의 이동 평균은, 값들 "1", "2", "5", "3" 및 "4" 의 이동 평균과는 상이하다. 다른 예로서, 병합된 메모리 어레이 (556) 의 나머지 값들 "3" 및 "4" 이 병합된 메모리 어레이 (556) 내에서 생성되지 않는 시간에 병합된 메모리 어레이 (556) (도 8) 내의 값들 "1", 2", 및 "3" 의 이동 표준 편차는, 병합된 메모리 어레이 (556) 의 값들 "1", "2", "3", "3" 및 "4" 의 이동 평균과는 상이하다.For example, the motion statistics value, e.g., a moving IQR value, or a moving mode value, or a moving average value, or a moving intermediate value, or a moving variance value, or a moving standard deviation value, (Fig. 1 & Fig. 2), values that dynamically consider the values of the variables as values received from the model value generator 115 (Figs. For example, a moving average of values "1", "2", and "5" in memory array 502 (FIG. 6) Is different from the moving average of the values "1", "2", "5", "3" and "4". As another example, values in the memory array 556 (FIG. 8) merged at times when the remainder values "3" and "4" of the merged memory array 556 are not generated in the merged memory array 556, The moving standard deviation of the merged memory array 556 is different from the moving average of the values 1, 2, 3, 3 and 4 of the merged memory array 556 Do.

다양한 실시형태들에서, 모델값 생성기 (115) 로부터의 SD 모듈 (116) 의 버퍼 내의 값들의 수신 레이트는, 변수 수신기 (110) (도 1& 도 2) 에 의한 값들의 수신 레이트와 동일하다.In various embodiments, the rate of reception of the values in the buffer of the SD module 116 from the model value generator 115 is equal to the rate of reception of the values by the variable receiver 110 (FIGS. 1 and 2).

몇몇 실시형태들에서, SD 모듈 (580) 은 이동 IQR 모듈, 또는 IQR 모듈, 또는 삽입 정렬 모듈, 또는 병합 정렬 모듈, 또는 모드 모듈, 또는 이동 모드 모듈, 또는 평균 모듈, 또는 중간값 모듈, 또는 분산 모듈, 또는 표준 편차 모듈, 또는 이동 평균 모듈, 또는 이동 중간값 모듈, 또는 이동 분산 모듈, 또는 이동 표준 편차 모듈, 또는 이들의 조합을 포함한다. 예를 들어, SD 모듈 (580) 은 이동 IQR 모듈 및 삽입 정렬 모듈을 포함한다. 다른 예로서, SD 모듈 (580) 은 병합 정렬 모듈 및 이동 평균 모듈 및 이동 표준 편차 모듈을 포함한다.In some embodiments, the SD module 580 may be a mobile IQR module, or an IQR module, or an insertion alignment module, or a merge alignment module, or a mode module, or a mobile mode module, or an average module, Module, or a standard deviation module, or a moving average module, or a moving intermediate value module, or a mobile dispersion module, or a moving standard deviation module, or a combination thereof. For example, the SD module 580 includes a mobile IQR module and an insertion alignment module. As another example, the SD module 580 includes a merge alignment module and a moving average module and a moving standard deviation module.

다양한 실시형태들에서, 이동 IQR 모듈, 및 IQR 모듈, 및 삽입 정렬 모듈, 및 병합 정렬 모듈, 및 모드 모듈, 및 이동 모드 모듈, 및 평균 모듈, 및 중간값 모듈, 및 분산 모듈, 및 표준 편차 모듈, 및 이동 평균 모듈, 및 이동 중간값 모듈, 및 이동 분산 모듈, 및 이동 표준 편차 모듈 각각은 별개의 프로세서들로서 구현된다. 예를 들어, 이동 IQR 모듈은 하나의 프로세서로서 구현되고, 모드 모듈은 다른 프로세서로서 구현된다.In various embodiments, a mobile IQR module, and an IQR module, and an insertion alignment module, and a merge alignment module, and a mode module, and a moving mode module, and an average module, and an intermediate value module, , And the moving average module, and the moving intermediate value module, and the mobile dispersion module, and the moving standard deviation module, respectively, are implemented as separate processors. For example, the mobile IQR module is implemented as one processor, and the mode module is implemented as another processor.

다수의 실시형태들에서, 이동 IQR 모듈, IQR 모듈, 삽입 정렬 모듈, 병합 정렬 모듈, 모드 모듈, 이동 모드 모듈, 평균 모듈, 중간값 모듈, 분산 모듈, 표준 편차 모듈, 이동 평균 모듈, 이동 중간값 모듈, 이동 분산 모듈, 및 이동 표준 편차 모듈 중 하나 이상은 하나의 프로세서로서 구현되고, 이동 IQR 모듈, IQR 모듈, 삽입 정렬 모듈, 병합 정렬 모듈, 모드 모듈, 이동 모드 모듈, 평균 모듈, 중간값 모듈, 분산 모듈, 표준 편차 모듈, 이동 평균 모듈, 이동 중간값 모듈, 이동 분산 모듈, 및 이동 표준 편차 모듈 중 임의의 나머지는 다른 프로세서로서 구현된다.In many embodiments, a mobile IQR module, an IQR module, an insertion alignment module, a merge alignment module, a mode module, a movement mode module, an average module, an intermediate value module, a distribution module, a standard deviation module, One or more of the modules, the mobile distributed module, and the mobile standard deviation module are implemented as a single processor and include a mobile IQR module, an IQR module, an insertion alignment module, a merge alignment module, a mode module, , Distributed module, standard deviation module, moving average module, moving intermediate value module, mobile distributed module, and moving standard deviation module are implemented as separate processors.

이동 IQR 모듈, IQR 모듈, 삽입 정렬 모듈, 병합 정렬 모듈, 모드 모듈, 이동 모드 모듈, 평균 모듈, 중간값 모듈, 분산 모듈, 표준 편차 모듈, 이동 평균 모듈, 이동 중간값 모듈, 이동 분산 모듈, 및 이동 표준 편차 모듈 각각은 비-일시적인 컴퓨터-판독가능 매체에 저장된 컴퓨터 프로그램, 또는 하드웨어, 또는 하드웨어와 컴퓨터 프로그램의 조합으로서 구현된다.The mobile IQR module, the IQR module, the insertion alignment module, the merge alignment module, the mode module, the movement mode module, the average module, the median module, the dispersion module, the standard deviation module, the moving average module, Each of the moving standard deviation modules is implemented as a computer program, or hardware, or a combination of hardware and computer programs stored in a non-transitory computer-readable medium.

몇몇 실시형태들에서, 평균은 삽입 정렬 동작을 수행하는 것과 병렬로 계산된다. 예를 들어, 변수의 값들은 값들의 최소값으로부터 값들의 최대값까지 값들을 정렬시키는 것과 병렬로 합산된다.In some embodiments, the average is calculated in parallel with performing the insertion alignment operation. For example, the values of a variable are summed in parallel with aligning values from a minimum of values to a maximum of values.

수 개의 실시형태들에서, IQR 또는 중간값은, 삽입 정렬 동작을 수행한 이후 결정된다. 삽입 정렬 동작이 수행된 이후, 변수의 값들은 값들의 가장 낮은 값으로부터 값들의 가장 높은 값으로 정렬된다. 값들의 수가 홀수인 경우, 정렬된 값들의 중간에 위치된 값이 중간값이다. 값들의 수가 짝수인 경우, 정렬된 값들의 중간에 위치된 2개의 값들의 평균이 중간값이다. 계산된 중간값은 IQR을 결정하는데 사용된다.In some embodiments, the IQR or median value is determined after performing the insert alignment operation. After the insertion sort operation is performed, the values of the variables are sorted from the lowest value of the values to the highest value of the values. If the number of values is odd, the middle value of the aligned values is the middle value. If the number of values is an even number, the mean of the two values located in the middle of the sorted values is the median value. The calculated median value is used to determine the IQR.

다양한 실시형태들에서, 평균은 병합 정렬 동작을 수행하는 것과 병렬로 계산된다. 평균은 병합 정렬 동작 이후 정렬된 모든 값들로부터 계산된다.In various embodiments, the average is calculated in parallel to performing the merge sort operation. The average is calculated from all values aligned after the merge sort operation.

수 개의 실시형태들에서, IQR 또는 중간값이 병합 정렬 동작을 수행한 이후 결정된다. 병합 정렬 동작이 수행된 이후, 변수의 값들은 값들의 가장 낮은 값으로부터 값들의 가장 높은 값으로 정렬된다. 값들의 수가 홀수인 경우, 정렬된 값들의 중간의 값이 중간값이다. 값들의 수가 짝수인 경우, 정렬된 값들의 중간에 위치된 2개의 값들의 평균이 중간값이다. 계산된 중간값은 IQR을 결정하는데 사용된다.In some embodiments, the IQR or median value is determined after performing the merge sorting operation. After the merge sort operation is performed, the values of the variables are sorted from the lowest value of the values to the highest value of the values. If the number of values is odd, the middle value of the aligned values is the middle value. If the number of values is an even number, the mean of the two values located in the middle of the sorted values is the median value. The calculated median value is used to determine the IQR.

도 10은 SD 모듈 (116) (도 1 및 도 2) 의 일 예인 SD 모듈 (590) 의 일 실시형태의 다이어그램이다. SD 모듈 (590) 은 이동 IQR 모듈, IQR 모듈, 삽입 정렬 모듈, 병합 정렬 모듈, 모드 모듈, 이동 모드 모듈, 평균 모듈, 중간값 모듈, 분산 모듈, 표준 편차 모듈, 이동 평균 모듈, 이동 중간값 모듈, 이동 분산 모듈, 및 이동 표준 편차 모듈을 포함한다.FIG. 10 is a diagram of one embodiment of an SD module 590, which is an example of the SD module 116 (FIGS. 1 and 2). The SD module 590 includes a mobile IQR module, an IQR module, an insertion alignment module, a merge alignment module, a mode module, a movement mode module, an average module, an intermediate value module, a dispersion module, a standard deviation module, , A mobile dispersion module, and a mobile standard deviation module.

또한, SD 모듈 (590) 에서, 병합 정렬 모듈은 이동 IQR 모듈, IQR 모듈, 삽입 정렬 모듈, 모드 모듈, 이동 모드 모듈, 평균 모듈, 중간값 모듈, 분산 모듈, 표준 편차 모듈, 이동 평균 모듈, 이동 중간값 모듈, 이동 분산 모듈, 및 이동 표준 편차 모듈에 접속된다.In addition, in the SD module 590, the merge alignment module may include a mobile IQR module, an IQR module, an insertion alignment module, a mode module, a movement mode module, an average module, an intermediate value module, a dispersion module, a standard deviation module, An intermediate value module, a mobile dispersion module, and a moving standard deviation module.

이동 IQR 모듈은 병합된 메모리 어레이, 예를 들어, 병합된 메모리 어레이 (508) (도 7) 또는 병합된 메모리 어레이 (556) (도 8) 등 내의 값들의 이동 IQR을 계산한다. 유사하게, IQR 모듈은 병합된 메모리 어레이 내의 값들의 IQR을 계산한다. 또한, 평균 모듈은 병합된 메모리 어레이 내의 값들의 평균을 계산한다. 중간값 모듈은 병합된 메모리 어레이 내의 값들의 중간값을 생성한다. 모드 모듈은 병합된 메모리 어레이 내의 값들의 모드를 생성하고, 이동 모드 모듈은 병합된 메모리 어레이 내의 값들의 이동 모드를 계산한다. 또한, 분산 모듈은 병합된 메모리 어레이 내의 값들의 분산을 계산한다. 표준 편차 모듈은 병합된 메모리 어레이 내의 값들의 표준 편차를 계산하고, 이동 평균 모듈은 병합된 메모리 어레이 내의 값들의 이동 평균을 계산한다. 이동 중간값 모듈은 병합된 메모리 어레이 내의 값들의 이동 중간값을 결정하고, 이동 분산 모듈은 병합된 메모리 어레이 내의 값들의 이동 분산을 계산한다. 이동 표준 편차 모듈은 병합된 메모리 어레이 내의 값들의 이동 표준 편차를 생성한다.The mobile IQR module computes the mobile IQR of the values in the merged memory array, e.g., the merged memory array 508 (FIG. 7) or the merged memory array 556 (FIG. 8) Similarly, the IQR module calculates the IQR of the values in the merged memory array. The average module also calculates the average of the values in the merged memory array. The intermediate value module generates an intermediate value of the values in the merged memory array. A mode module generates a mode of values in the merged memory array, and a shift mode module calculates a shift mode of values in the merged memory array. The distribution module also calculates the variance of the values in the merged memory array. The standard deviation module calculates the standard deviation of the values in the merged memory array, and the moving average module calculates the moving average of the values in the merged memory array. The moving intermediate value module determines the moving intermediate value of the values in the merged memory array and the mobile distribution module calculates the moving dispersion of the values in the merged memory array. The moving standard deviation module generates a moving standard deviation of the values in the merged memory array.

몇몇 실시형태들에서, SD 모듈 (590) 은 이동 IQR 모듈, 또는 IQR 모듈, 또는 삽입 정렬 모듈, 또는 병합 정렬 모듈, 또는 모드 모듈, 또는 이동 모드 모듈, 또는 평균 모듈, 또는 중간값 모듈, 또는 분산 모듈, 또는 표준 편차 모듈, 또는 이동 평균 모듈, 또는 이동 중간값 모듈, 또는 이동 분산 모듈, 또는 이동 표준 편차 모듈, 또는 이들의 조합을 포함한다.In some embodiments, the SD module 590 may be a mobile IQR module, or an IQR module, or an insertion alignment module, or a merge alignment module, or a mode module, or a mobile mode module, or an average module, Module, or a standard deviation module, or a moving average module, or a moving intermediate value module, or a mobile dispersion module, or a moving standard deviation module, or a combination thereof.

도 11은 시간 슬라이스 샘플링 방법을 적용하기 위한 시스템 (601) 의 일 실시형태의 다이어그램이다. 시스템 (601) 은 바이어스 보상 모듈 (196) 및 이벤트 검출 모듈 (198) 을 포함한다. 바이어스 보상 모듈 (196) 및/또는 이벤트 검출 모듈 (198) 은 SD 모듈 (116) (도 1 & 도 2) 로부터 변수의 값의 생성 시간을 수신한다. 예를 들어, 시간 t1은 SD 모듈 (116) 에 의한 변수값 V21의 생성 시간이다. 다른 예로서, 시간 t2는 SD 모듈 (116) 에 의한 변수값 V22의 생성 시간이고, 시간 t2는 SD 모듈 (116) 에 의한 변수값 V23의 생성 시간이며, 시간 t4은 SD 모듈 (116) 에 의한 변수값 V24) 의 생성 시간이다. 또 다른 예로서, 시간 t5는 SD 모듈 (116) 에 의한 변수값 V25의 생성 시간이고, SD 모듈 (116) 에 의한 변수값 V11의 생성 시간이다. 시간 t1 내지 시간 t5는 SD 모듈 (115) 에 의해 계산된다. 몇몇 실시형태들에서, 시간 t1은 변수값 V15 및 변수 V21의 생성 시간이다.11 is a diagram of one embodiment of a system 601 for applying a time slice sampling method. The system 601 includes a bias compensation module 196 and an event detection module 198. The bias compensation module 196 and / or the event detection module 198 receives the generation time of the value of the variable from the SD module 116 (FIGS. 1 and 2). For example, the time t1 is the generation time of the variable value V21 by the SD module 116. As another example, the time t2 is the generation time of the variable value V22 by the SD module 116, the time t2 is the generation time of the variable value V23 by the SD module 116, and the time t4 is the time Variable value V24). As another example, the time t5 is the generation time of the variable value V25 by the SD module 116, and the generation time of the variable value V11 by the SD module 116. Time t1 to time t5 are calculated by the SD module 115. [ In some embodiments, time t1 is the time of generation of variable value V15 and variable V21.

몇몇 실시형태들에서, 변수값들 V11, V12, V13, V14, 및 V15는 변수 1인 제 1 변수의 값들이다. 변수값들 V21, V22, V23, V24, 및 V25는 변수 2인 제 2 변수의 값들이다. 변수 1은 변수 2와 상이하다. 예를 들어, 변수 1은 전력이고 변수 2는 전압이다. 다른 예로서, 변수 1은 전류이고 변수 2는 전압이다.In some embodiments, the variable values V11, V12, V13, V14, and V15 are the values of the first variable, The variable values V21, V22, V23, V24, and V25 are the values of the second variable, Variable 1 is different from Variable 2. For example, variable 1 is power and variable 2 is voltage. As another example, variable 1 is the current and variable 2 is the voltage.

다양한 실시형태들에서, 변수 1 및 변수 2는 동일한 값이고, 상이한 RF 생성기들로부터의 값들에 기초하여 생성된다. 예를 들어, 변수 1은 x MHz RF 생성기의 전압값들로부터 생성되고, 변수 2는 y MHz RF 생성기의 전압값들로부터 생성된다. 다른 예로서, 변수 1은 y MHz RF 생성기의 주파수 값들로부터 생성되고, 변수 2는 z MHz RF 생성기의 주파수 값들로부터 생성된다.In various embodiments, variable 1 and variable 2 are the same value and are generated based on values from different RF generators. For example, variable 1 is generated from the voltage values of the x MHz RF generator, and variable 2 is generated from the voltage values of the y MHz RF generator. As another example, variable 1 is generated from the frequency values of the y MHz RF generator and variable 2 is generated from the frequency values of the z MHz RF generator.

변수들 V11 내지 V15는 SD 모듈 (116) (도 1 & 도 2) 의 메모리 어레이, 예를 들어, 삽입 정렬된 어레이, 병합된 어레이 등에 저장된다. 예를 들어, 변수값들 V11 내지 V15는 값들의 가장 낮은 값으로부터 값들의 가장 높은 값으로 정렬된다. 이러한 예에서, V11은 가장 낮은 값이고 V15는 가장 높은 값이다. 또한, 변수들 V21 내지 V25는 SD 모듈 (116) (도 1 & 도 2) 의 메모리 어레이, 예를 들어, 삽입 정렬된 어레이, 병합된 어레이 등에 저장된다. 예를 들어, 변수값들 V21 내지 V25는 값들의 가장 낮은 값으로부터 값들의 가장 높은 값으로 정렬된다. 이러한 예에서, V21은 가장 낮은 값이고 V25는 가장 높은 값이다. 또한, 시간들 t1 내지 t5는 바이어스 보상 모듈 (196) 및/또는 이벤트 검출 모듈 (198) 의 메모리 어레이 (607) 에 저장된다. 시간들 t1 내지 t5는 메모리 어레이 (607) 에서의 저장을 위해 SD 모듈 (116) 로부터 바이어스 보상 모듈 (196) 및/또는 이벤트 검출 모듈 (198) 에 의해 수신된다.Variables V11 through V15 are stored in the memory array of the SD module 116 (FIGS. 1 and 2), for example, an array of interleaved arrays, a merged array, or the like. For example, the variable values V11 to V15 are sorted from the lowest value of the values to the highest value of the values. In this example, V11 is the lowest value and V15 is the highest value. Variables V21 through V25 are also stored in the memory array of the SD module 116 (FIGS. 1 and 2), for example, an array of interleaved arrays, a merged array, or the like. For example, the variable values V21 to V25 are sorted from the lowest value of the values to the highest value of the values. In this example, V21 is the lowest value and V25 is the highest value. In addition, times tl through t5 are stored in the bias compensation module 196 and / or the memory array 607 of the event detection module 198. Times tl through t5 are received by the bias compensation module 196 and / or the event detection module 198 from the SD module 116 for storage in the memory array 607.

바이어스 보상 모듈 (196) 은, 변수값 V21의 생성 시간 t1이 변수값 V15의 생성 시간과 동일한지를 결정한다. V21의 변수값의 생성 시간 t1이 변수값 V15의 생성 시간과 동일하다고 결정할 시에, 변수값들 V21 및 V15는 바이어스가 플라즈마 시스템에 존재하는지를 결정하는데 사용된다. 예를 들어, 변수값 V21이 미리-결정된 범위 외부에 있고 변수값 V15가 미리-결정된 범위 외부에 있다고 결정할 시에, 바이어스가 존재한다고 결정된다. 이러한 예에서, 값들 V15 및 V21 양자는 바이어스가 조정된 통계값들을 생성하기 위해 바이어스 보상 모듈 (196) 에 의하여 조정된다. 다른 예로서, 변수값 V21이 미리-결정된 범위 내에 있고, 변수값 V15가 미리-결정된 범위 내에 있다고 결정할 시에, 바이어스가 플라즈마 시스템 내에 존재한다고 결정된다. 또 다른 예로서, 변수값 V21이 미리-결정된 범위 내에 있고, 변수값 V15가 미리-결정된 범위 외부에 있다고 결정할 시에, 바이어스가 존재하지 않거나 바이어스가 플라즈마 시스템 내에 존재한다고 결정된다.The bias compensation module 196 determines whether the generation time t1 of the variable value V21 is equal to the generation time of the variable value V15. When it is determined that the generation time t1 of the variable value of V21 is equal to the generation time of the variable value V15, the variable values V21 and V15 are used to determine whether or not a bias exists in the plasma system. For example, when determining that the variable value V21 is outside the pre-determined range and the variable value V15 is outside the pre-determined range, it is determined that a bias exists. In this example, both the values V15 and V21 are adjusted by the bias compensation module 196 to generate biased adjusted statistical values. As another example, when determining that the variable value V21 is within a pre-determined range and that the variable value V15 is within a pre-determined range, it is determined that a bias is present in the plasma system. As another example, when determining that the variable value V21 is within a pre-determined range and that the variable value V15 is outside a pre-determined range, it is determined that no bias exists or a bias is present in the plasma system.

유사하게, 바이어스 보상 모듈 (196) 은, 변수값 V25의 생성 시간 t5이 변수값 V11의 생성 시간과 동일한지를 결정한다. 변수값 V25의 생성 시간 t5가 변수값 V11의 생성 시간과 동일하다고 결정할 시에, 변수값들 V25 및 V11은 바이어스가 플라즈마 시스템에 존재하는지를 결정하는데 사용된다.Similarly, the bias compensation module 196 determines whether the generation time t5 of the variable value V25 is equal to the generation time of the variable value V11. When it is determined that the generation time t5 of the variable value V25 is equal to the generation time of the variable value V11, the variable values V25 and V11 are used to determine whether or not the bias exists in the plasma system.

또한, 몇몇 실시형태들에서, 이벤트 검출 모듈 (198) 은, 변수값 V21의 생성 시간 t1이 변수값 V15의 생성 시간과 동일한지를 결정한다. 변수값 V21의 생성 시간 t1이 변수값 V15의 생성 시간과 동일하다고 결정할 시에, 변수값들 V21 및 V15는 플라즈마 시스템에 실패가 존재하는지를 결정하는데 사용된다. 예를 들어, 변수값 V21이 미리-결정된 정도 외부에 존재하고, 변수값 V15가 미리-결정된 정도 외부에 있다고 결정할 시에, 실패가 존재한다고 결정한다. 다른 예로서, 변수값 V21이 미리-결정된 정도 내에 있고, 변수값 V15가 미리-결정된 정도 내에 있다고 결정할 시에, 실패가 플라즈마 시스템 내에 존재하지 않는다고 결정된다. 또 다른 예로서, 변수값 V21이 미리-결정된 정도 내에 존재하고, 변수값 V15가 미리-결정된 정도 외부에 있다고 결정할 시에, 플라즈마 시스템 내에 실패가 존재하지 않거나 실패가 존재한다고 결정된다.Further, in some embodiments, the event detection module 198 determines whether the generation time t1 of the variable value V21 is equal to the generation time of the variable value V15. When it is determined that the generation time t1 of the variable value V21 is equal to the generation time of the variable value V15, the variable values V21 and V15 are used to determine whether there is a failure in the plasma system. For example, when determining that the variable value V21 is outside the pre-determined extent and that the variable value V15 is outside the pre-determined extent, it is determined that a failure exists. As another example, when determining that the variable value V21 is within a pre-determined degree and that the variable value V15 is within a pre-determined degree, it is determined that no failure is present in the plasma system. As another example, when it is determined that the variable value V21 is within a pre-determined degree and the variable value V15 is outside a pre-determined degree, it is determined that there is no failure or there is a failure in the plasma system.

유사하게, 이벤트 검출 모듈 (198) 은, 변수값 V25의 생성 시간 t5가 변수값 V11의 생성 시간과 동일한지를 결정한다. 변수값 V25의 생성 시간 t5가 변수값 V11의 생성 시간과 동일하다고 결정할 시에, 변수값들 V25 및 V11은 실패가 플라즈마 시스템에 존재하는지를 결정하는데 사용된다.Similarly, the event detection module 198 determines whether the generation time t5 of the variable value V25 is equal to the generation time of the variable value V11. When it is determined that the generation time t5 of the variable value V25 is equal to the generation time of the variable value V11, the variable values V25 and V11 are used to determine whether a failure exists in the plasma system.

도 12는 이동 분산 (602) 을 생성하는데 사용되는 SD 모듈 (600) 의 일 실시형태의 블록도이다. SD 모듈 (600) 은 다수의 가산기들 (A1, A2,A3, A4, 및 A5), 승산기 (MU1), 제산기들 (D1 및 D2), 및 제곱근 계산기 (SQRT1) 를 포함한다. SD 모듈 (600) 은 SD 모듈 (116) (도 1 & 도 2) 의 일 예이다.12 is a block diagram of one embodiment of an SD module 600 used to create a mobile distribution 602. [ The SD module 600 includes a plurality of adders A1, A2, A3, A4 and A5, a multiplier MU1, divider units D1 and D2 and a square root calculator SQRT1. The SD module 600 is an example of the SD module 116 (FIGS. 1 and 2).

SD 모듈 (600) 은, 메모리 어레이, 예를 들어, 메모리 어레이 (502) (도 6), 또는 메모리 어레이 (504) (도 7), 또는 메모리 어레이 (506) (도 7), 또는 병합된 메모리 어레이 (508) (도 7), 또는 메모리 어레이 (552) (도 8), 또는 메모리 어레이 (554) (도 8), 또는 병합된 메모리 어레이 (556) (도 8) 등 내의 변수의 값들의 현재 평균을 계산하는 현재 평균 계산기를 포함한다. 또한, 현재 평균이 계산되는 메모리 어레이 내의 현재 데이터 포인트 x, 예를 들어, 메모리 어레이 내의 값, 병합된 메모리 어레이 내의 값 등에 대해, 현재 평균은 분산의 델타값을 생성하기 위해 현재 데이터 포인트로부터 가산기 (A1) 에 의해 감산된다. 가산기 (A2) 는 결과를 생성하기 위해 현재 평균과 델타값을 가산하고, 그 결과는, 현재 평균값이 생성되는 메모리 어레이 내의 데이터 포인트들의 총 수 n에 의해 제산된다. 데이터 포인트 계산기는 데이터 포인트들의 총 수를 계산한다. 데이터 포인트들의 총 수에 의한 결과의 제산은 변수의 다음의 평균값을 생성하도록 제산기 (D1) 에 의해 수행된다. 몇몇 실시형태들에서, 다음의 평균값은 통계값이다.SD module 600 may be implemented as a memory array, e.g., memory array 502 (Figure 6), or memory array 504 (Figure 7), or memory array 506 (Figure 7) The current values of the variables in array 508 (FIG. 7), or memory array 552 (FIG. 8), or memory array 554 (FIG. 8), or merged memory array 556 And a current average calculator that calculates the average. Further, for a current data point x in the memory array for which the current average is computed, e.g., a value in the memory array, a value in the merged memory array, etc., the current average may be subtracted from the current data point to produce a delta value of the variance A1. The adder A2 adds the current average and the delta value to produce a result and the result is divided by the total number n of data points in the memory array in which the current average value is generated. The data point calculator calculates the total number of data points. Division of the result by the total number of data points is performed by the divider D1 to produce the next average value of the variable. In some embodiments, the following average value is a statistical value.

다음의 평균값은, 결과를 생성하기 위해 현재 데이터 포인트 x로부터 가산기 (A4) 에 의해 감산되고, 결과는 결과값을 생성하기 위해 델타값과 승산기 (MU1) 에 의해 승산된다. 결과값은, 다음의 순시 평균 (M2) 을 생성하도록 가산기 (A5) 에 의해 현재 순시 평균 (M2) 에 가산된다. 몇몇 실시형태들에서, 다음의 순시 평균 (M2) 은 통계값이다.The next average value is subtracted by the adder A4 from the current data point x to produce a result and the result is multiplied by a delta value and a multiplier MU1 to produce a result value. The resultant value is added to the current instantaneous average M2 by the adder A5 so as to generate the following instantaneous average M2. In some embodiments, the following instantaneous average (M2) is a statistical value.

다음의 순시값 (M2) 은, 이동 분산 (602) 을 생성하기 위해 메모리 어레이 내의 데이터 포인트들의 총 수보다 작은 수인 수에 의해 제산기 (D2) 에 의하여 제산된다. 다양한 실시형태들에서, 이동 분산 (602) 은 통계값이다.The next instantaneous value M2 is divided by the divider D2 by the number that is less than the total number of data points in the memory array to create the mobile variance 602. [ In various embodiments, the mobile variance 602 is a statistical value.

이동 분산 (602) 의 제곱근은, 이동 표준 편차 (604) 를 생성하기 위해 제곱근 계산기 (SQRT1) 에 의해 계산된다. 수 개의 실시형태들에서, 이동 표준 편차 (604) 는 통계값이다.The square root of the mobile variance 602 is calculated by the square root calculator SQRT1 to produce the moving standard deviation 604. [ In some embodiments, the moving standard deviation 604 is a statistical value.

메모리 어레이 내의 각각의 상이한 값에 대해, 다음의 평균값, 다음의 순시 평균, 및 이동 분산 (602) 이 상이함을 유의해야 한다. 이동 분산 (602) 은 메모리 어레이 내의 값에서의 변화에 따라 변한다.It should be noted that for each different value in the memory array, the next average value, the next instantaneous average, and the mobile variance 602 are different. The mobile dispersion 602 varies with changes in the values in the memory array.

이동 분산 (602) 을 생성하기 위한 의사 코드가 아래에 제공된다.A pseudocode for generating mobile distribution 602 is provided below.

Figure pat00001
Figure pat00001

Figure pat00002
Figure pat00002

의사 코드에서, 데이터 포인트들의 총 수 n, 현재 평균, 및 현재 순시 평균은 제로로 초기화된다.In the pseudocode, the total number n of data points, the current average, and the current instantaneous average are initialized to zero.

도 13은 통계값을 생성하기 위한 방법 (700) 의 일 실시형태의 흐름도이다. 방법 (700) 에서, 변수가 RF 시스템, 예를 들어, x 제어기, 또는 y 제어기, 또는 z 제어기, 또는 이들의 조합 등으로부터 호스트 제어기, 예를 들어, 호스트 제어기 (150) (도 1), 또는 호스트 제어기 (192) (도 2) 등으로 입력된다. 동작 (702) 에서, 변수는 모델 (113) (도 1 내지 도 4) 을 통해 전파된다. 예를 들어, 지향 합산이 계산된다. 이러한 예에서, 지향 합산은, 변수의 값들, 및 변수의 값이 전파되는 모델 (113) 의 컴포넌트들의 값을 갖는다. 몇몇 실시형태들에서, 동작 (702) 은 모델값 생성기 (115) (도 1 내지 도 4) 에 의해 수행된다.13 is a flow diagram of one embodiment of a method 700 for generating statistics values. In method 700, a variable is determined from a RF system, e.g., x controller, or y controller, or z controller, or a combination thereof, to a host controller, e.g., host controller 150 The host controller 192 (Fig. 2) or the like. In operation 702, the variable is propagated through the model 113 (Figs. 1 to 4). For example, a directed sum is calculated. In this example, the directional summation has values of the variables, and values of the components of the model 113 in which the values of the variables propagate. In some embodiments, operation 702 is performed by model value generator 115 (Figs. 1-4).

방법 (700) 은 변수에 대한 모델 (113) 의 출력을 카운팅하는 동작 (704) 을 더 포함한다. 예를 들어, 모델 (113) 을 통해 변수를 전파한 이후 생성되는 값들의 수가 카운팅된다. 값들은 모델 (113) 의 출력에서 생성되며, 데이터 양 계산기 (112) (도 1 및 도 2) 에 의해 계산된다. 몇몇 실시형태들에서, 카운팅되는 모델 (113) 의 출력은 지향 합산을 포함한다.The method 700 further includes an act 704 of counting the output of the model 113 for the variable. For example, the number of values generated after propagating the variable through the model 113 is counted. Values are generated at the output of the model 113 and are calculated by the data amount calculator 112 (Figures 1 and 2). In some embodiments, the output of the model 113 being counted includes a directed sum.

방법 (700) 의 동작 (706) 에서, 제한 크로스오버 결정 모듈 (114) 의 저장 디바이스에 저장된 값들의 미리-저장된 수인 카운트 임계치를 카운트가 충족시키는지가 제한 크로스오버 결정 모듈 (114) 에 의해 결정된다. 동작 (704) 의 카운팅은, 카운트가 카운트 임계치를 충족하지 않을 때까지, 예를 들어, 카운트 임계치보다 작거나 기타 등등까지 계속된다. 한편, 카운트가 카운트 임계치를 충족한 경우, 예를 들어, 카운트 임계치보다 크거나 동일하거나 기타 등등인 경우, 동작 (708) 에서, 통계값은, 모델값 생성기 (115) 에 의해 계산되는 모델 (113) 의 출력으로부터 생성된다. 예를 들어, 통계값은 값들의 지향 합산들로부터 생성된다.At operation 706 of method 700, it is determined by the limit crossover determination module 114 whether the count meets the count threshold, which is a pre-stored number of values stored in the storage device of the limit crossover determination module 114 . The counting of operation 704 continues until the count does not meet the count threshold, e. G., Below the count threshold, or the like. On the other hand, at operation 708, the statistical value may be stored in the memory of the model 113 (e.g., the model 113) calculated by the model value generator 115, if the count meets the count threshold, e.g., greater than or equal to the count threshold, ≪ / RTI > For example, a statistical value is generated from the directed summations of the values.

통계값은 SD 모듈 (116) (도 1 및 도 2) 에 의해 생성된다. 동작 (710) 에서, 통계값은 전송기 (174) (도 1 및 도 2) 에 의해 RF 시스템에 전송되어, 변수를 조정한다. 예를 들어, 통계값은 통계값에 기초하여 RF 신호를 생성하기 위해, x, y, 및/또는 z 제어기들로 전송된다.The statistical values are generated by the SD module 116 (Figures 1 and 2). At operation 710, the statistics are sent to the RF system by transmitter 174 (FIGS. 1 and 2) to adjust the variables. For example, the statistical values are transmitted to the x, y, and / or z controllers to generate an RF signal based on the statistical values.

상술된 실시형태들이 병렬 플레이트 플라즈마 챔버를 참조하여 설명되었지만, 일 실시형태에서, 상술된 실시형태들이 다른 타입들의 플라즈마 챔버들, 예를 들어, 유도성 커플링된 플라즈마 (ICP) 반응기를 포함하는 플라즈마 챔버, 전자-사이클로트론 공진 (ECR) 반응기를 포함하는 플라즈마 챔버 등에 적용됨을 유의한다. 예를 들어, x MHz, y MHz, 및 z MHz RF 생성기들은 ICP 플라즈마 챔버 내의 인덕터에 커플링된다.Although the above-described embodiments have been described with reference to a parallel plate plasma chamber, in one embodiment, the embodiments described above may be applied to other types of plasma chambers, for example, a plasma comprising an inductively coupled plasma (ICP) Chamber, a plasma chamber including an electron-cyclotron resonance (ECR) reactor, and the like. For example, the x MHz, y MHz, and z MHz RF generators are coupled to an inductor in an ICP plasma chamber.

몇몇 실시형태들에서, 킬로헤르츠 (kHz) RF 생성기는 MHz RF 생성기 대신에 사용된다. 예를 들어, x MHz RF 생성기 대신에, 400kHz RF 생성기가 사용된다.In some embodiments, a kilohertz (kHz) RF generator is used instead of a MHz RF generator. For example, instead of an x MHz RF generator, a 400 kHz RF generator is used.

다양한 실시형태들에서, MHz RF 생성기는 MHz의 동작 주파수를 갖고, kHz RF 생성기는 kHz의 동작 주파수를 갖는다.In various embodiments, the MHz RF generator has an operating frequency in MHz and the kHz RF generator has an operating frequency in kHz.

여기에 설명된 몇몇 실시형태들은, 핸드-헬드 디바이스들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그래밍가능 소비자 전자기기들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들을 이용하여 실시된다. 여기에 설명된 실시형태들 중 일부는, 태스크들이 네트워크를 통해 링크되는 원격 프로세싱 디바이스들에 의해 수행되는 분산된 컴퓨팅 환경들에서 실시된다.Some of the embodiments described herein utilize various computer system configurations including hand-held devices, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers, . Some of the embodiments described herein are implemented in distributed computing environments where tasks are performed by remote processing devices that are linked through a network.

상기 실시형태들을 유념하여, 여기에 설명된 실시형태들 중 몇몇이 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 이용함을 이해해야 한다. 이들 동작들은 물리적 양들의 물리적 조작을 요구하는 동작들이다. 실시형태들 중 일부를 형성하는 여기에 설명된 동작들 중 임의의 동작은, 유용한 머신 동작들이다. 여기에 설명된 실시형태들 중 일부는 또한, 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 몇몇 실시형태들에서, 장치는 특수한 목적 컴퓨터를 위해 특수하게 구성된다. 특수한 목적 컴퓨터로서 정의된 경우, 컴퓨터는 특수한 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하면서, 특수한 목적을 위해 여전히 동작할 수 있다. 다양한 실시형태들에서, 동작들은, 컴퓨터 메모리, 캐시에 저장되거나 네트워크를 통해 획득되는 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 범용 컴퓨터에 의해 프로세싱된다. 데이터가 네트워크를 통해 획득된 경우, 데이터는 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱된다.With the above embodiments in mind, it should be understood that some of the embodiments described herein utilize various computer-implemented operations involving data stored in computer systems. These operations are operations that require physical manipulation of physical quantities. Any of the operations described herein that form part of the embodiments are useful machine operations. Some of the embodiments described herein also relate to a device or apparatus for performing these operations. In some embodiments, the device is specifically configured for a special purpose computer. If defined as a special-purpose computer, the computer may still operate for special purposes, while performing other processing, program execution, or routines that are not part of a particular purpose. In various embodiments, operations are processed by a general purpose computer selectively activated or configured by one or more computer programs stored in a computer memory, a cache, or obtained via a network. When data is acquired over the network, the data is processed by the cloud of other computers on the network, e.g., computing resources.

실시형태들 중 몇몇은 비-일시적인 컴퓨터-판독가능 매체 상의 컴퓨터-판독가능 코드로서 제조된다. 비-일시적인 컴퓨터-판독가능 매체는, 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이며, 그 데이터는 그 후, 컴퓨터 시스템에 의해 판독될 수 있다. 비-일시적인 컴퓨터-판독가능 매체의 예들은 하드 드라이브들, 네트워크 부착된 저장부 (NAS), ROM, RAM, 컴팩트 디스크-ROM들 (CD-ROM들), CD-레코딩가능물들 (CD-R들), CD-재기입가능물들 (CD-RW들), 자기 테이프들 및 다른 광학 및 비-광학 데이터 저장 디바이스들을 포함한다. 몇몇 실시형태들에서, 비-일시적인 컴퓨터-판독가능 매체는, 컴퓨터-판독가능 코드가 분산된 방식으로 저장 및 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독가능 유형의 매체를 포함한다.Some of the embodiments are fabricated as computer-readable code on non-transitory computer-readable media. A non-transient computer-readable medium is any data storage device capable of storing data, which data can thereafter be read by a computer system. Examples of non-transitory computer-readable media include hard drives, network attached storage (NAS), ROM, RAM, compact disk-ROMs (CD-ROMs), CD- ), CD-rewritable (CD-RWs), magnetic tapes, and other optical and non-optical data storage devices. In some embodiments, the non-transitory computer-readable medium includes a computer-readable type of medium distributed over a network-coupled computer system in which the computer-readable code is stored and executed in a distributed manner do.

방법 동작들이 특수한 순서로 설명되었지만, 몇몇 실시형태들에서, 오버레이 동작들의 프로세싱이 원하는 방식으로 수행되는 한, 다른 하우스키핑 동작들이 동작들 사이에서 수행되거나, 동작들이 약간 상이한 시간들에서 발생하도록 그들이 조정되거나, 프로세싱과 연관된 다양한 간격들에서 프로세싱 동작들의 발생을 허용하는 시스템에서 분산된다는 것을 이해해야 한다.Although method operations have been described in a particular order, in some embodiments, as long as the processing of the overlay operations is performed in a desired manner, other housekeeping operations may be performed between operations, or operations may be performed at slightly different times Or distributed in a system that allows the generation of processing operations at various intervals associated with processing.

몇몇 실시형태들에서, 임의의 실시형태로부터의 하나 이상의 특성들은, 본 발명에서 설명된 다양한 실시형태들에서 설명된 범위를 벗어나지 않으면서, 임의의 다른 실시형태의 하나 이상의 특성들과 결합된다.In some embodiments, one or more characteristics from any embodiment are combined with one or more characteristics of any other embodiment, without departing from the scope of the various embodiments described herein.

전술한 실시형태들이 이해의 명확화의 목적들을 위해 일부 상세하게 설명되었지만, 특정한 변경들 및 변형들이 첨부된 청구항들의 범위 내에서 실시될 수 있음은 명백할 것이다. 따라서, 본 발명의 실시형태들이 제한이 아닌 예시적인 것으로 고려될 것이며, 실시형태들은 여기에 주어진 세부사항들로 제한되지 않고, 첨부된 청구항들의 범위 및 등가물들 내에서 변형될 수도 있다.While the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, it is to be understood that the embodiments of the invention are to be considered illustrative rather than limiting, and the embodiments are not intended to be limited to the details given herein, but may be modified within the scope and equivalence of the appended claims.

Claims (26)

방법으로서,
무선 주파수 (RF) 시스템으로부터 변수를 수신하는 단계;
상기 RF 시스템의 모델을 통해 상기 변수를 전파하는 단계;
카운트를 생성하기 위해 상기 변수에 대한 상기 모델의 출력을 카운팅하는 단계;
상기 카운트가 카운트 임계치를 중족시키는지를 결정하는 단계;
상기 카운트가 상기 카운트 임계치를 충족시킨다고 결정할 시에, 상기 모델의 출력에서 상기 변수의 통계값을 생성하는 단계; 및
상기 변수를 조정하기 위해 상기 RF 시스템에 상기 통계값을 전송하는 단계를 포함하는, 방법.
As a method,
Receiving a variable from a radio frequency (RF) system;
Propagating the variable through a model of the RF system;
Counting the output of the model for the variable to produce a count;
Determining if the count satisfies a count threshold;
Generating a statistical value of the variable at the output of the model when determining that the count satisfies the count threshold; And
And transmitting the statistical value to the RF system to adjust the variable.
제 1 항에 있어서,
상기 변수는 전력, 또는 주파수, 또는 전압 크기, 또는 전류 크기, 또는 복소 전압과 복소 전류 사이의 위상, 또는 복소 전류, 또는 복소 전압, 또는 이들의 조합을 포함하는, 방법.
The method according to claim 1,
Wherein the variable comprises power, or frequency, or voltage magnitude, or current magnitude, or phase between complex voltage and complex current, or complex current, or complex voltage, or a combination thereof.
제 1 항에 있어서,
상기 RF 시스템은 RF 생성기를 포함하는, 방법.
The method according to claim 1,
Wherein the RF system comprises an RF generator.
제 1 항에 있어서,
상기 모델은 컴퓨터-생성된 모델이며,
상기 모델을 통해 변수를 전파하는 단계는, 상기 모델의 컴포넌트들과 연관된 변수값들의 및 상기 변수의 값의 지향 합산 (directional sum) 을 생성하는 단계를 포함하는, 방법.
The method according to claim 1,
The model is a computer-generated model,
Wherein propagating a variable through the model comprises generating a directional sum of variable values associated with components of the model and a value of the variable.
제 1 항에 있어서,
상기 출력은 상기 변수의 다수의 값들을 포함하는, 방법.
The method according to claim 1,
Wherein the output comprises a plurality of values of the variable.
제 5 항에 있어서,
상기 통계값은 상기 값들의 이동 사분범위 (interquartile range), 또는 상기 값들의 사분범위, 또는 상기 값들의 최대값, 또는 상기 값들의 최소값, 또는 상기 값들의 평균, 또는 상기 값들의 중간값, 또는 상기 값들의 분산, 또는 상기 값들의 표준 편차, 또는 상기 값들의 이동 평균, 또는 상기 값들의 이동 중간값, 또는 상기 값들의 이동 분산, 또는 상기 값들의 이동 표준 편차, 또는 상기 값들의 모드, 또는 상기 값들의 이동 모드, 또는 이들의 조합을 포함하는, 방법.
6. The method of claim 5,
Wherein the statistical value is an interquartile range of the values or a quadrant of the values or a maximum value of the values or a minimum value of the values or an average of the values or an intermediate value of the values, Or the standard deviation of the values or the moving average of the values or the moving intermediate value of the values or the moving dispersion of the values or the moving standard deviation of the values or the mode of the values, Or a combination thereof. ≪ RTI ID = 0.0 >
방법으로서,
무선 주파수 (RF) 생성기로부터 변수와 연관된 데이터를 수신하는 단계로서, 상기 RF 생성기는, 플라즈마 챔버에 임피던스 매칭 회로를 통해 공급될 RF 신호를 생성하도록 구성되고, 상기 변수는 RF 시스템과 연관되며, 상기 RF 시스템은, 상기 RF 생성기, 상기 임피던스 매칭 회로, 및 상기 플라즈마 챔버를 포함하는, 상기 무선 주파수 (RF) 생성기로부터 변수와 연관된 데이터를 수신하는 단계;
상기 수신 데이터에 기초하여 컴퓨터-생성된 모델로부터 출력된 값들을 생성하는 단계;
상기 컴퓨터-생성된 모델로부터 출력된 상기 값들의 양을 카운팅하는 단계;
상기 양이 카운트 임계치를 초과하는지를 결정하는 단계;
상기 양이 상기 카운트 임계치를 초과한다고 결정하는 것에 응답하여, 상기 컴퓨터-생성된 모델로부터 출력된 상기 값들로부터 통계값을 생성하는 단계; 및
상기 RF 생성기에 의해 생성된 RF 신호를 조정하기 위해 상기 RF 생성기로 상기 통계값을 전송하는 단계를 포함하는, 방법.
As a method,
Receiving data associated with a variable from a radio frequency (RF) generator, wherein the RF generator is configured to generate an RF signal to be supplied to the plasma chamber via an impedance matching circuit, the variable being associated with an RF system, The RF system includes receiving data associated with a variable from the radio frequency (RF) generator, the RF generator, the impedance matching circuit, and the plasma chamber;
Generating values output from the computer-generated model based on the received data;
Counting the amount of values output from the computer-generated model;
Determining if the amount exceeds a count threshold;
Generating a statistic value from the values output from the computer-generated model, in response to determining that the amount exceeds the count threshold; And
And transmitting the statistical value to the RF generator to adjust the RF signal generated by the RF generator.
제 7 항에 있어서,
상기 조정된 RF 신호는 RF 케이블, 상기 임피던스 매칭 회로, 및 RF 송신 라인을 통해 상기 플라즈마 챔버로 제공되며,
상기 RF 케이블은 상기 임피던스 매칭 회로에 상기 RF 생성기를 커플링시키고,
상기 RF 송신 라인은 상기 임피던스 매칭 회로를 상기 플라즈마 챔버에 커플링시키는, 방법.
8. The method of claim 7,
The adjusted RF signal is provided to the plasma chamber through an RF cable, the impedance matching circuit, and an RF transmission line,
The RF cable couples the RF generator to the impedance matching circuit,
Wherein the RF transmission line couples the impedance matching circuit to the plasma chamber.
제 7 항에 있어서,
상기 변수는 전력, 또는 주파수, 또는 전압 크기, 또는 전류 크기, 또는 복소 전압과 복소 전류 사이의 위상, 또는 복소 전류, 또는 복소 전압, 또는 이들의 조합을 포함하는, 방법.
8. The method of claim 7,
Wherein the variable comprises power, or frequency, or voltage magnitude, or current magnitude, or phase between complex voltage and complex current, or complex current, or complex voltage, or a combination thereof.
제 7 항에 있어서,
상기 임피던스 매칭 회로는 소스의 임피던스와 로드의 임피던스를 매칭시키며,
상기 소스는, 상기 RF 생성기, 및 상기 RF 생성기를 상기 임피던스 매칭 회로에 커플링시키는 RF 케이블을 포함하고,
상기 로드는 상기 플라즈마 챔버 및 RF 송신 라인을 포함하고,
상기 RF 송신 라인은 상기 플라즈마 챔버를 상기 임피던스 매칭 회로에 커플링시키는, 방법.
8. The method of claim 7,
The impedance matching circuit matches the impedance of the source with the impedance of the load,
The source comprising an RF generator coupling the RF generator to the impedance matching circuit,
Wherein the rod comprises the plasma chamber and an RF transmission line,
Wherein the RF transmission line couples the plasma chamber to the impedance matching circuit.
제 7 항에 있어서,
상기 플라즈마 챔버는 척, 및 상기 척에 대면하는 상부 전극을 포함하는, 방법.
8. The method of claim 7,
Wherein the plasma chamber comprises a chuck and an upper electrode facing the chuck.
제 7 항에 있어서,
상기 변수는, 상기 플라즈마 시스템 내의 일 포인트에서 복소 전압 및 전류를 포함하는, 방법.
8. The method of claim 7,
Wherein the variable comprises a complex voltage and current at a point in the plasma system.
제 7 항에 있어서,
상기 양은 값들의 수를 포함하며,
상기 통계값은, 상기 값들의 이동 사분범위, 또는 상기 값들의 사분범위, 또는 상기 값들의 최대값, 또는 상기 값들의 최소값, 또는 상기 값들의 평균, 또는 상기 값들의 중간값, 또는 상기 값들의 분산, 또는 상기 값들의 표준 편차, 또는 상기 값들의 이동 평균, 또는 상기 값들의 이동 중간값, 또는 상기 값들의 이동 분산, 또는 상기 값들의 이동 표준 편차, 또는 상기 값들의 모드, 또는 상기 값들의 이동 모드, 또는 이들의 조합을 포함하는, 방법.
8. The method of claim 7,
The amount includes the number of values,
The statistical value may be a moving range of the values or a quadrant of the values or a maximum value of the values or a minimum value of the values or an average of the values or an intermediate value of the values, Or a standard deviation of said values or a moving average of said values or a moving intermediate value of said values or a moving variance of said values or a moving standard deviation of said values or a mode of said values, , Or a combination thereof.
제 7 항에 있어서,
상기 통계값을 생성한 이후 수신된 상기 데이터를 데시메이팅 (decimate) 하는 단계를 더 포함하는, 방법.
8. The method of claim 7,
And decimating the received data after generating the statistical value.
제 7 항에 있어서,
상기 컴퓨터-생성된 모델의 출력에서 값들을 생성하는 단계는, 상기 컴퓨터-생성된 모델의 컴포넌트들을 통해 상기 수신 데이터를 전파하는 단계를 포함하는, 방법.
8. The method of claim 7,
Wherein generating the values at the output of the computer-generated model comprises propagating the received data through components of the computer-generated model.
방법으로서,
무선 주파수 (RF) 생성기로부터 변수와 연관된 데이터를 수신하는 단계로서, 상기 RF 생성기는, 플라즈마 챔버에 임피던스 매칭 회로를 통해 공급될 RF 신호를 생성하도록 구성되고, 상기 변수는 RF 시스템과 연관되며, 상기 RF 시스템은, 상기 RF 생성기, 상기 임피던스 매칭 회로, 및 상기 플라즈마 챔버를 포함하는, 상기 무선 주파수 (RF) 생성기로부터 변수와 연관된 데이터를 수신하는 단계;
상기 수신 데이터에 기초하여 컴퓨터-생성된 모델의 출력에서 값들을 생성하는 단계;
상기 컴퓨터-생성된 모델로부터 출력된 상기 값들의 양을 카운팅하는 단계;
상기 양이 임계치를 초과하는지를 결정하는 단계;
상기 양이 상기 임계치를 초과한다고 결정하는 것에 응답하여, 상기 컴퓨터-생성된 모델로부터 출력된 상기 값들로부터 통계값을 생성하는 단계;
상기 통계값이 미리-결정된 범위 외부에 있는지를 결정하는 단계;
상기 통계값이 상기 미리-결정된 범위 외부에 있다고 결정하는 것에 응답하여, 상기 미리-결정된 범위 내에 있도록 상기 통계값을 조정하는 단계; 및
상기 RF 생성기에 의해 생성된 RF 신호를 조정하기 위해 상기 RF 생성기를 제어하도록 상기 RF 생성기에 상기 조정된 통계값을 전송하는 단계를 포함하는, 방법.
As a method,
Receiving data associated with a variable from a radio frequency (RF) generator, wherein the RF generator is configured to generate an RF signal to be supplied to the plasma chamber via an impedance matching circuit, the variable being associated with an RF system, The RF system includes receiving data associated with a variable from the radio frequency (RF) generator, the RF generator, the impedance matching circuit, and the plasma chamber;
Generating values in an output of the computer-generated model based on the received data;
Counting the amount of values output from the computer-generated model;
Determining if the amount exceeds a threshold;
Generating a statistic value from the values output from the computer-generated model in response to determining that the amount exceeds the threshold;
Determining whether the statistical value is outside a pre-determined range;
Adjusting said statistical value to be within said pre-determined range, in response to determining that said statistical value is outside said pre-determined range; And
And transmitting the adjusted statistical value to the RF generator to control the RF generator to adjust the RF signal generated by the RF generator.
제 16 항에 있어서,
상기 조정된 RF 신호는 RF 케이블, 상기 임피던스 매칭 회로, 및 RF 송신 라인을 통해 상기 플라즈마 챔버로 제공되며,
상기 RF 케이블은 상기 임피던스 매칭 회로에 상기 RF 생성기를 커플링시키고,
상기 RF 송신 라인은 상기 임피던스 매칭 회로를 상기 플라즈마 챔버에 커플링시키는, 방법.
17. The method of claim 16,
The adjusted RF signal is provided to the plasma chamber through an RF cable, the impedance matching circuit, and an RF transmission line,
The RF cable couples the RF generator to the impedance matching circuit,
Wherein the RF transmission line couples the impedance matching circuit to the plasma chamber.
제 16 항에 있어서,
상기 변수는 전력, 또는 주파수, 또는 전압 크기, 또는 전류 크기, 또는 복소 전압과 복소 전류 사이의 위상, 또는 복소 전류, 또는 복소 전압, 또는 이들의 조합을 포함하는, 방법.
17. The method of claim 16,
Wherein the variable comprises power, or frequency, or voltage magnitude, or current magnitude, or phase between complex voltage and complex current, or complex current, or complex voltage, or a combination thereof.
제 16 항에 있어서,
상기 임피던스 매칭 회로는 소스의 임피던스와 로드의 임피던스를 매칭시키며,
상기 소스는, 상기 RF 생성기, 및 상기 RF 생성기를 상기 임피던스 매칭 회로에 커플링시키는 RF 케이블을 포함하고,
상기 로드는 상기 플라즈마 챔버 및 RF 송신 라인을 포함하고,
상기 RF 송신 라인은 상기 플라즈마 챔버를 상기 임피던스 매칭 회로에 커플링시키는, 방법.
17. The method of claim 16,
The impedance matching circuit matches the impedance of the source with the impedance of the load,
The source comprising an RF generator coupling the RF generator to the impedance matching circuit,
Wherein the rod comprises the plasma chamber and an RF transmission line,
Wherein the RF transmission line couples the plasma chamber to the impedance matching circuit.
제 16 항에 있어서,
상기 플라즈마 챔버는 척, 및 상기 척에 대면하는 상부 전극을 포함하는, 방법.
17. The method of claim 16,
Wherein the plasma chamber comprises a chuck and an upper electrode facing the chuck.
제 16 항에 있어서,
상기 변수는, 상기 플라즈마 시스템 내의 일 포인트에서 복소 전압 및 전류를 포함하는, 방법.
17. The method of claim 16,
Wherein the variable comprises a complex voltage and current at a point in the plasma system.
방법으로서,
무선 주파수 (RF) 생성기로부터 변수와 연관된 데이터를 수신하는 단계로서, 상기 RF 생성기는, 플라즈마 챔버에 임피던스 매칭 회로를 통해 공급될 RF 신호를 생성하도록 구성되고, 상기 변수는 RF 시스템과 연관되며, 상기 RF 시스템은, 상기 RF 생성기, 상기 임피던스 매칭 회로, 및 상기 플라즈마 챔버를 포함하는, 상기 무선 주파수 (RF) 생성기로부터 변수와 연관된 데이터를 수신하는 단계;
상기 수신 데이터에 기초하여 컴퓨터-생성된 모델의 출력에서 값들을 생성하는 단계;
상기 컴퓨터-생성된 모델로부터 출력된 상기 값들의 양을 카운팅하는 단계;
상기 양이 카운트 임계치를 초과하는지를 결정하는 단계;
상기 양이 상기 카운트 임계치를 초과한다고 결정하는 것에 응답하여, 상기 컴퓨터-생성된 모델로부터 출력된 상기 값들로부터 통계값을 생성하는 단계;
상기 통계값이 미리-결정된 정도 (extent) 외부에 있는지를 결정하는 단계;
상기 통계값이 상기 미리-결정된 정도 외부에 있다고 결정하는 것에 응답하여, 실패 (fault) 의 표시를 생성하는 단계; 및
상기 실패의 표시를 상기 RF 생성기에 전송하는 단계를 포함하는, 방법.
As a method,
Receiving data associated with a variable from a radio frequency (RF) generator, wherein the RF generator is configured to generate an RF signal to be supplied to the plasma chamber via an impedance matching circuit, the variable being associated with an RF system, The RF system includes receiving data associated with a variable from the radio frequency (RF) generator, the RF generator, the impedance matching circuit, and the plasma chamber;
Generating values in an output of the computer-generated model based on the received data;
Counting the amount of values output from the computer-generated model;
Determining if the amount exceeds a count threshold;
Generating a statistic value from the values output from the computer-generated model, in response to determining that the amount exceeds the count threshold;
Determining if the statistical value is outside a pre-determined extent;
Generating an indication of a fault in response to determining that the statistical value is outside the pre-determined degree; And
And transmitting an indication of the failure to the RF generator.
제 22 항에 있어서,
상기 통계값이 미리-결정된 범위 내에 있는지를 결정하는 단계;
상기 통계값이 상기 미리-결정된 범위 외부에 있다고 결정하는 것에 응답하여, 상기 미리-결정된 범위 내에 있도록 상기 통계값을 조정하는 단계; 및
상기 임피던스 매칭 회로를 통해 상기 플라즈마 챔버에 제공할 조정된 RF 신호를 생성하기 위해 상기 RF 생성기를 제어하도록 상기 RF 생성기에 상기 조정된 통계값을 전송하는 단계를 더 포함하는, 방법.
23. The method of claim 22,
Determining if the statistical value is within a pre-determined range;
Adjusting said statistical value to be within said pre-determined range, in response to determining that said statistical value is outside said pre-determined range; And
Further comprising transmitting the adjusted statistical value to the RF generator to control the RF generator to produce an adjusted RF signal to provide to the plasma chamber via the impedance matching circuit.
제 22 항에 있어서,
상기 조정된 RF 신호는 RF 케이블, 상기 임피던스 매칭 회로, 및 RF 송신 라인을 통해 상기 플라즈마 챔버로 제공되며,
상기 RF 케이블은 상기 임피던스 매칭 회로에 상기 RF 생성기를 커플링시키고,
상기 RF 송신 라인은 상기 임피던스 매칭 회로를 상기 플라즈마 챔버에 커플링시키는, 방법.
23. The method of claim 22,
The adjusted RF signal is provided to the plasma chamber through an RF cable, the impedance matching circuit, and an RF transmission line,
The RF cable couples the RF generator to the impedance matching circuit,
Wherein the RF transmission line couples the impedance matching circuit to the plasma chamber.
제 22 항에 있어서,
상기 변수는 전력, 또는 주파수, 또는 로드 임피던스의 실수 부분, 및 상기 로드 임피던스의 허수 부분, 또는 전압 크기, 또는 전류 크기, 또는 복소 전압과 복소 전류 사이의 위상, 또는 웨이퍼 바이어스, 또는 이온 에너지, 또는 플라즈마 전위, 또는 복소 전류, 또는 복소 전압, 또는 로드 임피던스, 또는 이들의 조합을 포함하는, 방법.
23. The method of claim 22,
The variable may be a power, or a frequency, or a real part of a load impedance, and an imaginary part of the load impedance, or a voltage magnitude, or a magnitude, or a phase between complex voltage and complex current, or wafer bias, A plasma potential, a complex current, or a complex voltage, or a load impedance, or a combination thereof.
제 22 항에 있어서,
상기 변수는, 상기 플라즈마 시스템 내의 일 포인트에서 복소 전압 및 전류를 포함하는, 방법.
23. The method of claim 22,
Wherein the variable comprises a complex voltage and current at a point in the plasma system.
KR1020130156796A 2012-12-14 2013-12-16 Computation of statistics for statistical data decimation KR102220078B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261737623P 2012-12-14 2012-12-14
US61/737,623 2012-12-14
US14/086,883 US9295148B2 (en) 2012-12-14 2013-11-21 Computation of statistics for statistical data decimation
US14/086,883 2013-11-21

Publications (2)

Publication Number Publication Date
KR20140077866A true KR20140077866A (en) 2014-06-24
KR102220078B1 KR102220078B1 (en) 2021-02-25

Family

ID=50908412

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130156796A KR102220078B1 (en) 2012-12-14 2013-12-16 Computation of statistics for statistical data decimation

Country Status (2)

Country Link
KR (1) KR102220078B1 (en)
CN (1) CN103869769B (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021150554A1 (en) * 2020-01-20 2021-07-29 COMET Technologies USA, Inc. Radio frequency match network and generator
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11574799B2 (en) 2019-06-28 2023-02-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111223233A (en) * 2019-12-23 2020-06-02 西安科技大学 Gravity sensor data smoothing algorithm based on dynamic window

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000049216A (en) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp Plasma processing apparatus and method of adsorption by electrostatic chucking used in apparatus thereof
KR20080053907A (en) * 2006-12-11 2008-06-16 어플라이드 머티어리얼스, 인코포레이티드 A plasma reactor control by translating desired values of m plasma parameters to values of n chamber parameters
WO2012078569A1 (en) * 2010-12-07 2012-06-14 Lam Research Corporation Plasma processing system control based on rf voltage
JP2012138581A (en) * 2012-01-10 2012-07-19 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US7747222B2 (en) * 2005-12-09 2010-06-29 Marvell World Trade Ltd. Detection and estimation of radio frequency variations
CN101295345B (en) * 2007-04-29 2010-06-16 晨星半导体股份有限公司 Radio frequency recognition reading device
CN101551845B (en) * 2008-03-31 2011-07-20 华为技术有限公司 Identification and response method for electronic label, device and system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000049216A (en) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp Plasma processing apparatus and method of adsorption by electrostatic chucking used in apparatus thereof
KR20080053907A (en) * 2006-12-11 2008-06-16 어플라이드 머티어리얼스, 인코포레이티드 A plasma reactor control by translating desired values of m plasma parameters to values of n chamber parameters
WO2012078569A1 (en) * 2010-12-07 2012-06-14 Lam Research Corporation Plasma processing system control based on rf voltage
JP2012138581A (en) * 2012-01-10 2012-07-19 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11574799B2 (en) 2019-06-28 2023-02-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
WO2021150554A1 (en) * 2020-01-20 2021-07-29 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Also Published As

Publication number Publication date
KR102220078B1 (en) 2021-02-25
CN103869769A (en) 2014-06-18
CN103869769B (en) 2017-04-12

Similar Documents

Publication Publication Date Title
US10163605B2 (en) Computation of statistics for statistical data decimation
KR102220078B1 (en) Computation of statistics for statistical data decimation
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
US9530620B2 (en) Dual control modes
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US10340127B2 (en) Using modeling to determine wafer bias associated with a plasma system
US9779196B2 (en) Segmenting a model within a plasma system
US20160189937A1 (en) Determining a value of a variable on an rf transmission model
US9043525B2 (en) Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
KR102208578B1 (en) Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
TWI636662B (en) Impedance matching method and impedance matching system
TWI668725B (en) Control of etch rate using modeling, feedback and impedance match
US9119283B2 (en) Chamber matching for power control mode
US9927481B2 (en) Cable power loss determination for virtual metrology
US9548925B2 (en) Evaluating the reliability of deterioration-effect multi-state flow network system and method thereof
Yu Applications of Monte Carlo method to 3-D capacitance calculation and large matrix decomposition
TWI707261B (en) Touch system control method for reducing electromagnetic interference and touch system thereof
Mazarei et al. Numerical solution of nonlinear partial differential equations us-ing optimization method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant