KR20110031466A - Gas distribution showerhead skirt - Google Patents

Gas distribution showerhead skirt Download PDF

Info

Publication number
KR20110031466A
KR20110031466A KR1020117001095A KR20117001095A KR20110031466A KR 20110031466 A KR20110031466 A KR 20110031466A KR 1020117001095 A KR1020117001095 A KR 1020117001095A KR 20117001095 A KR20117001095 A KR 20117001095A KR 20110031466 A KR20110031466 A KR 20110031466A
Authority
KR
South Korea
Prior art keywords
gas distribution
distribution showerhead
showerhead
skirt
susceptor
Prior art date
Application number
KR1020117001095A
Other languages
Korean (ko)
Inventor
톰 케이. 초
브라이언 시-유안 쉬이흐
쳉 유안
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110031466A publication Critical patent/KR20110031466A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 일반적으로 처리 챔버 내의 가스 분배 샤워헤드로부터 연장하는 연장부 또는 스커트를 포함한다. 기판을 처리할 때, 가스 분배 샤워헤드는 전기적으로 바이어스될 수 있다. 전기적으로 바이어스된 샤워헤드는 몇몇 경우에, 처리 가스를 플라즈마 상태로 점화시킨다. 처리 챔버의 벽 및 서셉터는 샤워헤드에 대해 접지될 수 있다. 따라서, 기판의 에지들은 전기적으로 편향된 샤워헤드에 비해서 커다란 표면적의 접지 접점을 가질 수 있다. 에지 근처에서 접지(grounding)의 증가로 인해, 기판 상에 증착된 재료가 기판 중앙부에 비해서 상이한 특성들을 가질 수 있다. 기판 쪽에 가깝게 아래로 샤워헤드 에지를 연장함으로써, 증착 재료의 실질적으로 균일한 특성들이 얻어질 수 있다.The present invention generally includes an extension or skirt extending from the gas distribution showerhead in the processing chamber. When processing the substrate, the gas distribution showerhead can be electrically biased. Electrically biased showerheads in some cases ignite the process gas into a plasma state. The wall of the processing chamber and the susceptor may be grounded to the showerhead. Thus, the edges of the substrate may have a large surface area ground contact as compared to the electrically deflected showerhead. Due to the increase in grounding near the edges, the material deposited on the substrate may have different properties compared to the center of the substrate. By extending the showerhead edge down closer to the substrate side, substantially uniform properties of the deposition material can be obtained.

Description

가스 분배 샤워헤드 스커트 {GAS DISTRIBUTION SHOWERHEAD SKIRT}Gas Distribution Showerhead Skirt {GAS DISTRIBUTION SHOWERHEAD SKIRT}

본 발명의 실시예들은 일반적으로 가스 분배 샤워헤드 또는 보조 전극으로부터 연장하는 연장부(extension)에 관한 것이다.
Embodiments of the present invention generally relate to an extension extending from a gas distribution showerhead or auxiliary electrode.

대형 평판형 디스플레이 패널에 대한 요구가 계속되면서, 제조 단계들 중의 일부 단계가 수행될 처리 챔버의 크기도 대형화되어야 한다. 평판형 디스플레이 패널의 제조에 사용되는 방법이 플라즈마 강화 화학 기상 증착(PECVD) 방법이라면, 하나 또는 그보다 많은 전구체 가스들이 처리 챔버 내측으로 유입되어서 기판 상에 층을 증착하도록 플라즈마로 점화된다. PECVD는 예를 들어, 반도체 웨이퍼, 평판형 디스플레이 기판, 및 태양전지 패널 기판을 포함한 다양한 형태의 기판 상에 층을 증착하는데 사용될 수 있다.As the demand for large flat panel displays continues, the size of the processing chamber in which some of the manufacturing steps will be performed must also be enlarged. If the method used in the manufacture of a flat panel display panel is a plasma enhanced chemical vapor deposition (PECVD) method, one or more precursor gases are introduced into the processing chamber and ignited with plasma to deposit a layer on the substrate. PECVD can be used to deposit layers on various types of substrates including, for example, semiconductor wafers, flat panel display substrates, and solar panel substrates.

PECVD에서, 처리 가스는 가스 분배 샤워헤드를 통해 처리 챔버의 내측으로 유입될 수 있다. 가스 분배 샤워헤드는 처리 가스를 플라즈마로 점화시키도록 전기적으로 바이어스될 수 있다. 따라서, 가스 분배 샤워헤드는 가스를 분배할 뿐만 아니라 플라즈마로 점화시키데 유리할 수 있다.In PECVD, process gas can be introduced into the process chamber through a gas distribution showerhead. The gas distribution showerhead may be electrically biased to ignite the process gas into the plasma. Thus, the gas distribution showerhead may be advantageous for distributing the gas as well as igniting it with plasma.

그러므로, 본 기술 분야에는 PECVD 챔버에 사용하기 위한 대형 가스 분배 샤워헤드가 필요하다.
Therefore, there is a need in the art for large gas distribution showerheads for use in PECVD chambers.

본 발명은 일반적으로 처리 챔버 내의 가스 분배 샤워헤드로부터 연장하는 연장부 또는 스커트를 포함한다. 기판을 처리할 때, 가스 분배 샤워헤드는 전기적으로 바이어스될 수 있다. 전기적으로 바이어스된 샤워헤드는 몇몇 경우에, 처리 가스를 플라즈마 상태로 점화시킨다. 처리 챔버의 벽 및 서셉터는 샤워헤드에 대해 접지될 수 있다. 따라서, 기판의 에지들은 전기적으로 편향된 샤워헤드에 비해서 커다란 표면적의 접지 접점을 가질 수 있다. 에지 근처에서 접지(grounding)의 증가로 인해, 기판 상에 증착된 재료가 기판 중앙부에 비해서 상이한 특성들을 가질 수 있다. 기판 쪽에 가깝게 아래로 샤워헤드 에지를 연장함으로써, 증착 재료의 실질적으로 균일한 특성들이 얻어질 수 있다.The present invention generally includes an extension or skirt extending from the gas distribution showerhead in the processing chamber. When processing the substrate, the gas distribution showerhead can be electrically biased. Electrically biased showerheads in some cases ignite the process gas into a plasma state. The wall of the processing chamber and the susceptor may be grounded to the showerhead. Thus, the edges of the substrate may have a large surface area ground contact as compared to the electrically deflected showerhead. Due to the increase in grounding near the edges, the material deposited on the substrate may have different properties compared to the center of the substrate. By extending the showerhead edge down closer to the substrate side, substantially uniform properties of the deposition material can be obtained.

일 실시예에서, 장치는 처리 챔버 몸체 및 상기 처리 챔버 몸체 내에 배열되는 가스 분배 샤워헤드를 포함한다. 상기 샤워헤드는 파워 소오스에 연결된다. 상기 장치는 또한, 상기 처리 챔버 몸체 내측에 배열되며 제 2 파워 소오스에 연결되는 보조 전극을 포함한다. 상기 장치는 또한, 상기 처리 챔버 몸체 내에 배열되며 상기 보조 전극 및 가스 분배 샤워헤드로부터 이격된 서셉터를 포함한다.In one embodiment, the apparatus includes a process chamber body and a gas distribution showerhead arranged within the process chamber body. The showerhead is connected to a power source. The apparatus also includes an auxiliary electrode arranged inside the processing chamber body and connected to a second power source. The apparatus also includes a susceptor arranged in the processing chamber body and spaced apart from the auxiliary electrode and a gas distribution showerhead.

다른 실시예에서, 가스 분배 샤워헤드는 상류 측과 하류 측을 가지며 상기 상류 측과 하류 측 사이로 연장하는 하나 또는 그보다 많은 가스 통로를 가지는 가스 분배 샤워헤드 몸체를 가진다. 상기 샤워헤드는 상기 하류 측으로부터 상기 상기 가스 분배 샤워헤드 몸체에 연결되고 상기 가스 분배 샤워헤드로부터 연장하는 하나 또는 그보다 많은 보조 전극을 포함한다.In another embodiment, the gas distribution showerhead has a gas distribution showerhead body having an upstream side and a downstream side and having one or more gas passageways extending between the upstream side and the downstream side. The showerhead includes one or more auxiliary electrodes connected to the gas distribution showerhead body from the downstream side and extending from the gas distribution showerhead.

다른 실시예에서, 플라즈마 강화 화학 기상 증착 장치는 처리 챔버 몸체와, 상기 처리 챔버 몸체 내에 배열되는 서셉터, 및 상기 처리 챔버 몸체 내에 배열되고 상기 서셉터로부터 분리되는 가스 분배 샤워헤드를 포함한다. 상기 가스 분배 샤워헤드는 상기 서셉터를 지향하는 하류 표면 및 상기 하류 표면과 반대편의 상류 표면을 가질 수 있다. 상기 장치는 또한, 상기 가스 분배 샤워헤드와 상기 서셉터 사이에 배열되는 하나 또는 그보다 많은 보조 전극을 포함한다. 하나 또는 그보다 많은 보조 전극은 파워 소오스에 연결된다.In another embodiment, a plasma enhanced chemical vapor deposition apparatus includes a processing chamber body, a susceptor arranged in the processing chamber body, and a gas distribution showerhead arranged in the processing chamber body and separated from the susceptor. The gas distribution showerhead may have a downstream surface that faces the susceptor and an upstream surface opposite the downstream surface. The apparatus also includes one or more auxiliary electrodes arranged between the gas distribution showerhead and the susceptor. One or more auxiliary electrodes are connected to the power source.

본 발명의 전술한 특징들이 구체적으로 이해될 수 있는 방식으로, 위에서 약술한 본 발명에 대해 몇몇 실시예들이 첨부 도면에 도시된 실시예들을 참조하여 더욱 더 구체적으로 설명될 것이다. 그러나, 첨부 도면들은 단지 본 발명의 전형적인 실시예들만을 도시한 것이므로 본 발명의 범주를 제한 것으로 생각해서는 안 되며 본 발명은 다른 균등한 효과적인 실시예들이 있을 수 있다는 것을 주목해야 한다.
In the manner in which the above-described features of the present invention can be specifically understood, some embodiments of the present invention outlined above will be described more specifically with reference to the embodiments shown in the accompanying drawings. It should be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may have other equivalent effective embodiments.

도 1a는 본 발명의 일 실시예에 따른 처리 장치(100)의 개략적인 횡단면도이며,
도 1b는 처리 위치에 기판(106)을 갖는 도 1a의 처리 장치(100)의 개략적인 횡단면도이며,
도 2a는 본 발명의 다른 실시예에 따른 처리 장치(200)의 개략적인 횡단면도이며,
도 2b는 처리 위치에 기판을 갖는 도 2a의 처리 장치(200)의 개략적인 횡단면도이며,
도 3은 본 발명의 일 실시예에 따른 가스 분배 샤워헤드(302) 및 스커트(304)의 개략적인 등각도이며,
도 4는 본 발명의 다른 실시예에 따른 가스 분배 샤워헤드(402) 및 스커트(404)의 개략적인 등각도이다.
1A is a schematic cross-sectional view of a processing apparatus 100 according to an embodiment of the present invention,
FIG. 1B is a schematic cross sectional view of the processing apparatus 100 of FIG. 1A with the substrate 106 in a processing position,
2A is a schematic cross-sectional view of a processing apparatus 200 according to another embodiment of the present invention,
FIG. 2B is a schematic cross sectional view of the processing apparatus 200 of FIG. 2A with the substrate in a processing position,
3 is a schematic isometric view of gas distribution showerhead 302 and skirt 304 in accordance with an embodiment of the present invention.
4 is a schematic isometric view of gas distribution showerhead 402 and skirt 404 in accordance with another embodiment of the present invention.

이해를 쉽게 하기 위해, 도면에서 공통인 동일한 구성 요소를 지칭하기 위해 가능한 동일한 참조 부호가 사용되었다. 일 실시예의 구성 요소와 특징들은 추가의 언급 없이도 다른 실시예에 유리하게 결합될 수 있다고 이해해야 한다.For ease of understanding, the same reference numerals have been used where possible to refer to the same components that are common in the figures. It is to be understood that the components and features of one embodiment may be advantageously combined with other embodiments without further recitation.

본 발명은 일반적으로 처리 챔버 내의 가스 분배 샤워헤드로부터 연장하는 연장부 또는 스커트를 포함한다. 기판을 처리할 때, 가스 분배 샤워헤드는 전기적으로 바이어스될 수 있다. 전기적으로 바이어스된 샤워헤드는 몇몇 경우에, 처리 가스를 플라즈마 상태로 점화시킬 수 있다. 처리 챔버의 벽 및 서셉터는 샤워헤드와는 상대적으로 접지될 수 있다. 따라서, 기판의 에지들이 전기적으로 바이어스된 샤워헤드에 비해서 상당히 큰 표면적의 접지 접점을 가질 수 있다. 상기 에지 근처에서의 접지의 증가로 인해, 기판 상에 증착되는 재료는 기판의 중간에 비해서 상이한 특징들을 가질 수 있다. 샤워헤드 에지를 기판 쪽에 가깝게 아래로 연장시킴으로써, 재료의 실질적으로 균일한 특성들이 얻어질 수 있다.The present invention generally includes an extension or skirt extending from the gas distribution showerhead in the processing chamber. When processing the substrate, the gas distribution showerhead can be electrically biased. The electrically biased showerhead may in some cases ignite the process gas into a plasma state. The wall and susceptor of the processing chamber may be grounded relative to the showerhead. Thus, the edges of the substrate may have a ground surface contact of significantly greater surface area compared to the electrically biased showerhead. Due to the increase in ground near the edge, the material deposited on the substrate may have different characteristics compared to the middle of the substrate. By extending the showerhead edge down closer to the substrate side, substantially uniform properties of the material can be obtained.

후술하는 바와 같이, 본 발명은 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티리얼즈 인코포레이티드의 자회사인 에이케이티 어메리카로부터 이용가능한 PECVD 시스템에서 실시될 수 있다. 본 발명은 다른 제작자로부터 처리 챔버들을 포함한 다른 플라즈마 처리 챔버들에서 실시될 수 있다고 이해해야 한다.As described below, the present invention may be practiced in a PECVD system available from ACC America, a subsidiary of Applied Materials, Inc., Santa Clara, California. It should be understood that the present invention may be practiced in other plasma processing chambers, including processing chambers, from other manufacturers.

도 1a는 본 발명의 일 실시예에 따른 처리 장치(100)의 개략적인 횡단면도이다. 상기 처리 장치(100)는 처리 중에 기판을 지지하는 서셉터(104)를 에워싸는 챔버 몸체(102)를 포함할 수 있다. 상기 장치(100)는 진공 펌프(108)에 의해 배기될 수 있다. 상기 기판(106)은 슬릿 밸브 개구(110)를 통해 챔버 몸체(102)를 출입할 수 있다.1A is a schematic cross-sectional view of a processing apparatus 100 according to an embodiment of the present invention. The processing apparatus 100 may include a chamber body 102 that surrounds a susceptor 104 that supports a substrate during processing. The device 100 may be evacuated by a vacuum pump 108. The substrate 106 may enter and exit the chamber body 102 through the slit valve opening 110.

처리 가스는 가스 소오스(114)로부터 상기 장치(100)로 분배될 수 있다. 상기 가스 소오스(114)는 처리 가스뿐만 아니라 세정 가스를 제공할 수 있다. 처리 가스는 원격 플라즈마 소오스(116)로부터 가스 튜브(120)를 통해 상기 장치(100)로 이동한다. 상기 가스는 배면 판(112)을 통해 상기 장치(100)로 유입된다. 상기 장치(100)가 세정 필요성이 있을 때, 세정 가스는 가스 소오스(114)로부터 원격 플라즈마 소오스(116)로 분배되며 플라즈마로 점화될 수 있다. 일단 점화되면, 상기 플라즈마는 장치(100)로 분배될 수 있다.Process gas may be dispensed from the gas source 114 to the apparatus 100. The gas source 114 may provide a cleaning gas as well as a processing gas. Process gas moves from the remote plasma source 116 through the gas tube 120 to the apparatus 100. The gas enters the device 100 through the back plate 112. When the apparatus 100 is in need of cleaning, the cleaning gas may be dispensed from the gas source 114 to the remote plasma source 116 and ignited with plasma. Once ignited, the plasma can be distributed to device 100.

파워 소오스(118)도 상기 장치(100)에 연결될 수 있다. 일 실시예에서, 파워 소오스(118)는 RF 전류를 분배하는 RF 파워 소오스를 포함할 수 있다. 파워 소오스(118)는 상기 장치(100) 내에 배열된 가스 분배 샤워헤드(124)로 파워를 분배할 수 있다. RF 전류용 RF 복귀 통로는 본 발명에 사용된 일반적인 용어 "접지"에 포함된다고 이해되어야 한다.A power source 118 may also be connected to the device 100. In one embodiment, power source 118 may include an RF power source that distributes RF current. The power source 118 may distribute power to the gas distribution showerhead 124 arranged in the apparatus 100. It is to be understood that the RF return path for RF current is included in the generic term "ground" used in the present invention.

가스 분배 샤워헤드(124)는 원격 생성된 플라즈마로부터의 처리 가스 및/또는 라디칼이 처리 영역(132)으로 통과할 수 있게 하기 위한, 샤워헤드를 관통하는 하나 또는 그보다 많은 가스 통로(126)를 가질 수 있다. 처리 가스가 배면 판(112)을 통해 상기 장치(100)로 유입될 때, 상기 가스는 배면 판(112)과 샤워헤드(124) 사이의 플레넘(122)으로 유입된다.The gas distribution showerhead 124 has one or more gas passages 126 through the showerhead to allow process gases and / or radicals from the remotely generated plasma to pass into the treatment region 132. Can be. When process gas enters the apparatus 100 through the back plate 112, the gas enters the plenum 122 between the back plate 112 and the showerhead 124.

서셉터(104)는 챔버 몸체(102)를 통해 접지될 수 있다. 기판(106)이 유리와 같은 절연 기판일 때, 서셉터(104)의 대부분은 덮이게 되나, 서셉터(104)의 에지들은 덮이지 않게 되며, 그로 인해 처리 중에 접지를 위한 통로를 제공한다. 추가로, 챔버 몸체(102)가 접지되기 때문에, 챔버 몸체(102)도 접지를 위한 통로를 제공한다. 챔버 몸체(102)는 처리 영역(132)을 에워싼다. 따라서, 서셉터(104)의 에지 및 챔버 몸체(102)에 가장 가까운 기판(106)의 영역들은 중심에 더 가까운 기판의 영역들보다 접지에 더 가깝다. 기판의 에지 및 코너들이 접지에 더 가깝기 때문에, 기판(106)은 균일하게 코팅될 수 없다. 기판(106)은 중앙에 비해서 기판(106)의 에지 및 코너 근처에서 상이한 두께를 갖는 증착 재료를 가질 수 있다. 또한, 기판(106)은 중앙에 비해서 기판(106)의 에지 및 코너 근처에서 결정 구조와 같은 상이한 필름 특성들을 가지는 증착 재료를 가질 수 있다. 그러한 불균일성은 장치(100) 내에 불균일한 플라즈마 분배에 의해 유발될 수 있다.The susceptor 104 may be grounded through the chamber body 102. When the substrate 106 is an insulating substrate such as glass, most of the susceptor 104 is covered, but the edges of the susceptor 104 are not covered, thereby providing a passage for grounding during processing. In addition, because the chamber body 102 is grounded, the chamber body 102 also provides a passage for grounding. Chamber body 102 surrounds treatment region 132. Thus, the edges of the susceptor 104 and the regions of the substrate 106 closest to the chamber body 102 are closer to ground than the regions of the substrate closer to the center. Since the edges and corners of the substrate are closer to ground, the substrate 106 may not be uniformly coated. Substrate 106 may have deposition materials having different thicknesses near the edges and corners of substrate 106 relative to the center. In addition, the substrate 106 may have a deposition material having different film properties, such as crystal structure, near the edges and corners of the substrate 106 relative to the center. Such non-uniformity may be caused by non-uniform plasma distribution within the device 100.

처리 챔버 내에 플라즈마 분포를 균일하게 하기 위해, 샤워헤드(124)는 샤워헤드에 연결된 연장부 또는 스커트(130)를 가질 수 있다. 일 실시예에서, 상기 스커트(130)는 금속 재료를 포함할 수 있다. 다른 실시예에서, 스커트(130)는 스테인리스 스틸을 포함할 수 있다. 상기 스커트(130)는 샤워헤드(124)로부터 샤워헤드의 외측 에지를 따라 서셉터(104) 쪽으로 연장할 수 있다. 상기 스커트(130)는 샤워헤드(124)와 일체형인 단일 재료일 수 있다. 일 실시예에서, 상기 스커트(130)는 샤워헤드(124)에 연결되는 별도 부품을 포함할 수 있다. 스커트(130)는 샤워헤드(124)의 외측 주변부의 적어도 일부분을 따라 배열될 수 있으며 가스 통로(126)를 통과하는 어떤 가스와도 간섭되거나 어떤 가스를 차단하지 않는다. 스커트(130)가 샤워헤드(124)에 연결되기 때문에, 스커트(130)는 전기적으로 바이어스된다. 그러므로, 스커트(130)는 처리 중에 기판(106)의 외측 주변부 근처에 전극 표면을 제공한다. 전기적으로 바이어스된 스커트(130)의 존재로 필름 특성 및 두께를 포함한, 기판(106) 상의 균일한 증착을 허용할 수 있다. 접지된 절연기(128)가 스커트(130) 뒤의 챔버 몸체(102)에 연결될 수 있다.To homogenize the plasma distribution in the processing chamber, the showerhead 124 may have an extension or skirt 130 connected to the showerhead. In one embodiment, the skirt 130 may comprise a metallic material. In other embodiments, the skirt 130 may comprise stainless steel. The skirt 130 may extend from the showerhead 124 toward the susceptor 104 along the outer edge of the showerhead. The skirt 130 may be a single material integral with the showerhead 124. In one embodiment, the skirt 130 may include a separate component connected to the showerhead 124. The skirt 130 may be arranged along at least a portion of the outer periphery of the showerhead 124 and does not interfere with or block any gas passing through the gas passage 126. Because the skirt 130 is connected to the showerhead 124, the skirt 130 is electrically biased. Therefore, skirt 130 provides an electrode surface near the outer periphery of substrate 106 during processing. The presence of the electrically biased skirt 130 may allow for uniform deposition on the substrate 106, including film properties and thickness. A grounded insulator 128 may be connected to the chamber body 102 behind the skirt 130.

스커트(130)는 화살표 "A"로 나타낸 거리만큼 서섭터(104)로부터 이격될 수 있다. 처리 중에, 스커트(130)는 도 1b에 화살표 "B"로 나타낸 거리만큼 서셉터(104)로부터 이격될 수 있다. 스커트(130)는 챔버 몸체(102) 내에 추가의 전극 표면을 제공한다. 전극들로서 접지된 서셉터(104) 및 챔버 벽들은 표면적을 가진다. 스커트(130)는 샤워헤드(124)로부터 아래로 연장함으로써 처리 중에 플라즈마가 보이는 벽의 하나 또는 그보다 많은 부분들을 차단함으로써, 처리 중에 플라즈마가 보이거나 노출되는 애노드의 표면적을 감소시킨다. 그러므로, 전기적으로 바이어스된 스커트(130)는 접지된 서셉터(104)와 상호 작용함으로써 애노드 표면적을 감소시키고 접지에 대한 이용가능한 통로를 감소시킨다. 스커트(130)의 존재와 스커트(130)의 형상 및 스커트와 서셉터(104)와의 상호 작용은 플라즈마에 영향을 끼치며 그에 따라 기판(106) 상의 증착에 영향을 끼친다. 접지된 애노드는 플라즈마를 애노드로 당김으로써 기판(106)의 중심으로부터 더 멀어지게 한다. 전기적으로 바이어스된 스커트(130)는 플라즈마가 보이는 애노드 표면적을 감소시키며 중심으로부터 멀어지게 작은 양의 플라즈마를 당기는 경향이 있다. 그러므로, 스커트(130)의 형상 및 위치는 장치(100) 내의 플라즈마의 균일한 분포를 허용함으로써 기판(106) 상에 증착된 재료의 균일한 특성을 제공하도록 플라즈마를 형상화하도록 조절될 수 있다.The skirt 130 may be spaced apart from the susceptor 104 by the distance indicated by arrow “A”. During processing, skirt 130 may be spaced apart from susceptor 104 by the distance indicated by arrow “B” in FIG. 1B. Skirt 130 provides an additional electrode surface within chamber body 102. Susceptor 104 and chamber walls grounded as electrodes have a surface area. The skirt 130 extends down from the showerhead 124 to block one or more portions of the wall where the plasma is visible during the process, thereby reducing the surface area of the anode where the plasma is visible or exposed during the process. Therefore, the electrically biased skirt 130 interacts with the grounded susceptor 104 to reduce anode surface area and reduce available passage to ground. The presence of the skirt 130 and the shape of the skirt 130 and the interaction of the skirt with the susceptor 104 affect the plasma and thus the deposition on the substrate 106. The grounded anode pulls the plasma further away from the center of the substrate 106 by pulling the plasma to the anode. The electrically biased skirt 130 reduces the anode surface area where the plasma is visible and tends to pull a small amount of plasma away from the center. Therefore, the shape and position of the skirt 130 can be adjusted to shape the plasma to provide uniform properties of the material deposited on the substrate 106 by allowing a uniform distribution of the plasma in the device 100.

스커트(130)의 존재는 장치(100)와 전기적으로 바이어스된 전극의 표면적을 증가시킨다. 따라서, 스커트(130)가 존재하지 않는 상황에 비해서, 전극의 표면적은 접지된 표면적에 비해 증가된다. 특히, 기판(106)의 에지 근처의 접지된 표면에 대한 기판의 에지 근처의 전극 표면의 비율은 증가된다. 따라서, 기판(106) 상에 증착된 재료는 특성 및 두께가 균일해질 수 있다.The presence of the skirt 130 increases the surface area of the electrode that is electrically biased with the device 100. Thus, compared to the situation where the skirt 130 is not present, the surface area of the electrode is increased compared to the grounded surface area. In particular, the ratio of the electrode surface near the edge of the substrate to the grounded surface near the edge of the substrate 106 is increased. Thus, the material deposited on the substrate 106 can be uniform in properties and thickness.

스커트(130)는 일 실시예에서 샤워헤드(124)의 선택된 부분들로부터 연장할 수 있다. 예를 들어, 스커트(130)는 도 1a 및 도 1b에 도시한 바와 같은 측면들의 중앙부 및 샤워헤드(124)의 코너(124)로부터 연장할 수 있다. 일 실시예에서, 상기 스커트(130)는 샤워헤드(124)의 전체 주변부에 대해서 샤워헤드(124)의 주변부로부터 연장할 수 있다.Skirt 130 may extend from selected portions of showerhead 124 in one embodiment. For example, skirt 130 may extend from the central portion of the sides and corner 124 of showerhead 124 as shown in FIGS. 1A and 1B. In one embodiment, the skirt 130 may extend from the perimeter of the showerhead 124 relative to the entire perimeter of the showerhead 124.

도 2a는 본 발명의 다른 실시예에 따른 처리 장치(200)의 개략적인 횡단면도이다. 도 2a에 도시한 바와 같이, 스커트(204)는 샤워헤드(202)로부터 이격될 수 있다. 스커트(204)가 샤워헤드(202)로부터 이격되었기 때문에, 샤워헤드(202)는 제 1 파워 소오스(206)에 연결될 수 있으며 상기 스커트(204)는 제 2 파워 소오스(208)에 연결될 수 있다. 일 실시예에서, 동일한 파워 소오스가 스커트(204) 및 샤워헤드(202) 모드에 별도로 연결될 수 있다. 일 실시예에서, 파워 소오스(206,208)는 샤워헤드(202) 및 스커트(204) 각각에 RF 전류를 분배하는 RF 파워 소오스를 포함한다.2A is a schematic cross-sectional view of a processing apparatus 200 according to another embodiment of the present invention. As shown in FIG. 2A, the skirt 204 may be spaced apart from the showerhead 202. Since the skirt 204 is spaced apart from the showerhead 202, the showerhead 202 may be connected to the first power source 206 and the skirt 204 may be connected to the second power source 208. In one embodiment, the same power source may be connected separately to the skirt 204 and showerhead 202 modes. In one embodiment, the power sources 206 and 208 include RF power sources that distribute RF current to each of the showerhead 202 and skirt 204.

상기 스커트(204)는 샤워헤드(202)로부터 이격되었기 때문에 보조 전극으로서의 기능을 한다. 스커트(204)는 샤워헤드(202)가 바이어스되는대로 전기적으로 바이어스된다. 일 실시예에서, 스커트(204)는 샤워헤드(202)와 동일한 전위에서 전기적으로 바이어스된다. 다른 실시예에서, 샤워헤드(202) 및 스커트(204)는 다른 전기 전위에서 전기적으로 바이어스될 수 있다.The skirt 204 functions as an auxiliary electrode because it is spaced apart from the showerhead 202. The skirt 204 is electrically biased as the showerhead 202 is biased. In one embodiment, the skirt 204 is electrically biased at the same potential as the showerhead 202. In other embodiments, the showerhead 202 and skirt 204 may be electrically biased at other electrical potentials.

스커트(204)는 화살표 "C"로 나타낸 거리만큼 서셉터로부터 이격될 수 있다. 처리 중에, 스커트(204)는 도 2b에 화살표 "D"로 나타낸 거리만큼 서셉터로부터 이격될 수 있다. 스커트(204)는 챔버 몸체 내에 추가의 전극 표면을 제공한다. 애노드로서 접지되는 서셉터 및 챔버 벽은 표면적을 가진다. 샤워헤드(202)로부터 아래로 연장함으로써 스커트(204)는 처리 중에 플라즈마가 보이는 벽의 하나 또는 그보다 많은 부분들을 차단함으로써, 플라즈마가 처리 공정 중에 보이거나 노출되는 애노드의 표면적을 감소시킨다. 그러므로, 전기적으로 바이어스된 스커트(204)는 애노드 표면적을 감소시키고 접지로 이용가능한 통로를 감소시킴으로써 접지된 서셉터와 상호작용한다. 스커트(204)의 존재와 스커트(204)의 형상 및 서셉터와의 작용은 플라즈마에 영향을 끼침으로써 기판 상의 증착에도 영향을 끼친다. 접지된 애노드는 플라즈마를 애노드에 더 가깝게 당김으로써 기판의 중앙으로부터 더 멀어지게 된다. 전기적으로 바이어스된 스커트(204)는 플라즈마가 보이는 애노드 표면적을 감소시키며 적은 양의 플라즈마를 중앙으로부터 멀어지게 당기는 경향이 있다. 그러므로, 스커트(204)의 형상 및 위치는 장치(200) 내에서의 플라즈마의 균일한 분포를 허용함으로써 기판 상에 증착된 재료의 균일한 특성을 허용할 수 있게 플라즈마를 형상화하도록 조절될 수 있다.The skirt 204 may be spaced apart from the susceptor by the distance indicated by arrow “C”. During processing, the skirt 204 can be spaced apart from the susceptor by the distance indicated by arrow “D” in FIG. 2B. Skirt 204 provides additional electrode surfaces within the chamber body. The susceptor and chamber walls, which are grounded as anodes, have a surface area. By extending down from the showerhead 202, the skirt 204 blocks one or more portions of the wall where the plasma is visible during processing, thereby reducing the surface area of the anode where the plasma is visible or exposed during the processing process. Therefore, the electrically biased skirt 204 interacts with the grounded susceptor by reducing the anode surface area and reducing the passage available to ground. The presence of the skirt 204 and the shape of the skirt 204 and the action of the susceptor also affect the plasma and thus the deposition on the substrate. The grounded anode is pulled further from the center of the substrate by pulling the plasma closer to the anode. The electrically biased skirt 204 reduces the anode surface area seen by the plasma and tends to pull a small amount of plasma away from the center. Therefore, the shape and position of the skirt 204 can be adjusted to shape the plasma to allow uniform distribution of the material deposited on the substrate by allowing uniform distribution of the plasma within the device 200.

도 3은 본 발명의 일 실시예에 따른 가스 분배 샤워헤드(302) 및 스커트(304)의 개략적인 등각도이다. 샤워헤드(302)는 샤워헤드를 관통하는 복수의 가스 통로(306)를 가진다. 도 3에 도시한 바와 같이, 스커트(304)는 샤워헤드(302)의 주변부를 따라 배열될 수 있다. 스커트(304)는 샤워헤드(302)의 주변부로부터 연장할 수 있다. 일 실시예에서, 스커트(304)는 샤워헤드(302)의 주변부 주위에서 단속적일 수 있다.3 is a schematic isometric view of gas distribution showerhead 302 and skirt 304 in accordance with one embodiment of the present invention. The showerhead 302 has a plurality of gas passages 306 penetrating the showerhead. As shown in FIG. 3, the skirt 304 may be arranged along the periphery of the showerhead 302. The skirt 304 may extend from the periphery of the showerhead 302. In one embodiment, skirt 304 may be intermittent around the periphery of showerhead 302.

도 4는 본 발명의 다른 실시예에 따른 가스 분배 샤워헤드(402) 및 스커트(404)의 개략적인 등각도이다. 도 4에 도시한 바와 같이, 스커트(404)는 샤워헤드(402) 주위에서 실질적으로 연속적인 구조를 가질 수 있다. 일 실시예에서, 스커트(404)는 샤워헤드(404)의 전체 주변부에 대해 동일한 거리만큼 샤워헤드(402)로부터 아래로 연장한다. 다른 실시예에서, 스커트(404)는 샤워헤드(402)의 전체 주변부에 대해 가변 거리만큼 샤워헤드(402)로부터 연장한다.4 is a schematic isometric view of gas distribution showerhead 402 and skirt 404 in accordance with another embodiment of the present invention. As shown in FIG. 4, the skirt 404 may have a substantially continuous structure around the showerhead 402. In one embodiment, the skirt 404 extends down from the showerhead 402 by the same distance with respect to the entire perimeter of the showerhead 404. In another embodiment, the skirt 404 extends from the showerhead 402 by a variable distance with respect to the entire periphery of the showerhead 402.

스커트의 형상은 가변될 수 있으며 본 발명에서 도시된 형상에 한정된 것이라고 이해해서는 안 된다. 스커트의 형상 및 샤워헤드 아래로 연장하는 거리는 처리 챔버 내의 소정의 플라즈마 분포를 달성하고 그에 따라 소정의 필름 특성을 달성하도록 가변될 수 있다.The shape of the skirt can vary and should not be understood to be limited to the shape shown in the present invention. The shape of the skirt and the distance extending below the showerhead can be varied to achieve the desired plasma distribution in the processing chamber and thus to achieve the desired film properties.

처리 챔버 내에 전기적으로 바이어스된 스커트를 포함함으로써, 전극 표면적이 접지 표면적보다 증가된다. 따라서, 플라즈마는 처리 챔버 전체에 걸쳐 균일하게 확산되며 필름 두께 및 특성들이 실질적으로 균일해질 수 있다.By including an electrically biased skirt in the processing chamber, the electrode surface area is increased above the ground surface area. Thus, the plasma can be uniformly spread throughout the processing chamber and the film thickness and properties can be substantially uniform.

본 발명의 실시예들에 대해 설명하였지만, 본 발명의 기본 범주로부터 이탈함이 없는 본 발명의 다른 그리고 추가의 실시예들이 창안될 수 있으며 본 발명의 범주는 다음의 특허청구범위에 의해 결정된다.While embodiments of the invention have been described, other and further embodiments of the invention can be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (15)

처리 챔버 몸체와,
상기 처리 챔버 몸체 내에 배열되는 가스 분배 샤워헤드로서, 상기 샤워헤드가 제 1 파워 소오스에 연결되며 일반적으로 직사각형 형상을 가지는, 가스 분배 샤워헤드와,
상기 처리 챔버 몸체 내측에 배열되며 제 2 파워 소오스 또는 상기 제 1 파워 소오스에 연결되는 보조 전극, 및
상기 처리 챔버 몸체 내에 배열되며 상기 보조 전극 및 가스 분배 샤워헤드로부터 이격된 서셉터를 포함하는,
장치.
The processing chamber body,
A gas distribution showerhead arranged in the processing chamber body, the showerhead being connected to a first power source and having a generally rectangular shape;
An auxiliary electrode arranged inside the processing chamber body and connected to a second power source or the first power source, and
A susceptor arranged in the processing chamber body and spaced apart from the auxiliary electrode and a gas distribution showerhead,
Device.
제 1 항에 있어서,
상기 가스 분배 샤워헤드 및 보조 전극은 하나의 일체형 부품 재료를 포함하는,
장치.
The method of claim 1,
Wherein the gas distribution showerhead and the auxiliary electrode comprise one integral part material,
Device.
제 1 항에 있어서,
상기 가스 분배 샤워헤드는 실질적으로 직사각형 형상을 가지며, 상기 보조 전극은 상기 가스 분배 샤워헤드의 코너에서 상기 가스 분배 샤워헤드에 연결되며, 상기 보조 전극은 상기 가스 분배 샤워헤드의 에지를 따라 상기 가스 분배 샤워헤드에 연결되는,
장치.
The method of claim 1,
The gas distribution showerhead has a substantially rectangular shape, the auxiliary electrode is connected to the gas distribution showerhead at a corner of the gas distribution showerhead, and the auxiliary electrode distributes the gas along an edge of the gas distribution showerhead. Connected to the showerhead,
Device.
제 1 항에 있어서,
상기 보조 전극은 상기 제 1 파워 소오스에 연결되는,
장치.
The method of claim 1,
The auxiliary electrode is connected to the first power source,
Device.
제 1 항에 있어서,
상기 가스 분배 샤워헤드 및 보조 전극은 함께 연결되는,
장치.
The method of claim 1,
The gas distribution showerhead and the auxiliary electrode are connected together,
Device.
제 1 항에 있어서,
상기 가스 분배 샤워헤드 및 보조 전극은 서로 전기 절연되는,
장치.
The method of claim 1,
The gas distribution showerhead and the auxiliary electrode are electrically insulated from each other,
Device.
가스 분배 샤워헤드로서,
가스 분배 샤워헤드 몸체로서, 상류 측과 하류 측을 가지며 상기 상류 측과 하류 측 사이로 연장하는 하나 또는 그보다 많은 가스 통로를 가지며 일반적으로 직사각형 형상을 가지는, 가스 분배 샤워헤드 몸체, 및
상기 가스 분배 샤워헤드 몸체에 연결되고 상기 하류 측에서 상기 가스 분배 샤워헤드 몸체로부터 연장하는 하나 또는 그보다 많은 보조 전극을 포함하는,
가스 분배 샤워헤드.
As a gas distribution showerhead,
A gas distribution showerhead body, the gas distribution showerhead body having an upstream side and a downstream side and having one or more gas passages extending between the upstream side and the downstream side and generally having a rectangular shape, and
One or more auxiliary electrodes connected to the gas distribution showerhead body and extending from the gas distribution showerhead body on the downstream side,
Gas distribution showerhead.
제 7 항에 있어서,
하나 또는 그보다 많은 상기 보조 전극은 상기 가스 분배 샤워헤드 몸체의 주변부에 연결되고 상기 가스 분배 샤워헤드 몸체의 하나 또는 그보다 많은 코너에 연결되는,
가스 분배 샤워헤드.
The method of claim 7, wherein
One or more said auxiliary electrodes are connected to a periphery of said gas distribution showerhead body and to one or more corners of said gas distribution showerhead body,
Gas distribution showerhead.
제 7 항에 있어서,
상기 가스 분배 샤워헤드 몸체 및 하나 또는 그보다 많은 보조 전극은 하나의 일체형 부품 재료를 포함하는,
가스 분배 샤워헤드.
The method of claim 7, wherein
Wherein the gas distribution showerhead body and one or more auxiliary electrodes comprise one integral part material,
Gas distribution showerhead.
제 7 항에 있어서,
상기 가스 분배 샤워헤드 몸체 및 하나 또는 그보다 많은 보조 전극은 함께 연결되는 별도 부품이며 하나 또는 그보다 많은 상기 보조 전극은 함께 연결되는 복수의 부품을 포함하는,
가스 분배 샤워헤드.
The method of claim 7, wherein
Wherein said gas distribution showerhead body and one or more auxiliary electrodes are separate parts connected together and one or more said auxiliary electrodes comprise a plurality of parts connected together,
Gas distribution showerhead.
플라즈마 강화 화학 기상 증착 장치로서,
처리 챔버 몸체와,
상기 처리 챔버 몸체 내에 배열되는 서셉터와,
상기 처리 챔버 몸체 내에 배열되고 상기 서셉터로부터 분리되며, 상기 서셉터를 지향하는 하류 표면 및 상기 하류 표면과 반대편의 상류 표면을 가지며 일반적으로 직사각형 형상을 가지는 가스 분배 샤워헤드, 및
상기 처리 챔버 몸체 내의 상기 가스 분배 샤워헤드와 상기 서셉터 사이에 배열되며, 파워 소오스에 연결되고 상기 서셉터와 상기 가스 분배 샤워헤드 사이의 처리 공간을 적어도 부분적으로 에워싸는 하나 또는 그보다 많은 보조 전극을 포함하는,
플라즈마 강화 화학 기상 증착 장치.
A plasma enhanced chemical vapor deposition apparatus,
The processing chamber body,
A susceptor arranged in the processing chamber body,
A gas distribution showerhead arranged in the processing chamber body and separated from the susceptor, the gas distribution showerhead having a downstream surface facing the susceptor and an upstream surface opposite the downstream surface and generally having a rectangular shape, and
One or more auxiliary electrodes arranged between the gas distribution showerhead and the susceptor in the processing chamber body, the one or more auxiliary electrodes connected to a power source and at least partially surrounding a processing space between the susceptor and the gas distribution showerhead doing,
Plasma enhanced chemical vapor deposition apparatus.
제 11 항에 있어서,
하나 또는 그보다 많은 상기 보조 전극은 상기 가스 분배 샤워헤드에 연결되며, 하나 또는 그보다 많은 상기 보조 전극과 상기 가스 분배 샤워헤드는 동일한 상기 파워 소오스에 연결되며, 상기 가스 분배 샤워헤드는 실질적으로 직사각형 형상을 가지며 하나 또는 그보다 많은 상기 보조 전극은 상기 가스 분배 샤워헤드의 코너에 연결되며 복수의 부품을 포함하는,
플라즈마 강화 화학 기상 증착 장치.
The method of claim 11,
One or more of the auxiliary electrodes is connected to the gas distribution showerhead, one or more of the auxiliary electrodes and the gas distribution showerhead are connected to the same power source, and the gas distribution showerhead has a substantially rectangular shape. One or more of said auxiliary electrodes connected to a corner of said gas distribution showerhead and comprising a plurality of components,
Plasma enhanced chemical vapor deposition apparatus.
제 11 항에 있어서,
하나 또는 그보다 많은 상기 보조 전극은 상기 가스 분배 샤워헤드와 상기 서셉터로부터 전기 절연되는,
플라즈마 강화 화학 기상 증착 장치.
The method of claim 11,
One or more said auxiliary electrodes are electrically insulated from said gas distribution showerhead and said susceptor,
Plasma enhanced chemical vapor deposition apparatus.
제 11 항에 있어서,
하나 또는 그보다 많은 상기 보조 전극은 상기 가스 분배 샤워헤드의 주변부에 연결되고 상기 하류 표면으로부터 연장하는,
플라즈마 강화 화학 기상 증착 장치.
The method of claim 11,
One or more said auxiliary electrodes are connected to a periphery of said gas distribution showerhead and extend from said downstream surface,
Plasma enhanced chemical vapor deposition apparatus.
제 11 항에 있어서,
하나 또는 그보다 많은 상기 보조 전극과 상기 샤워헤드는 하나의 일체형 부품 재료를 포함하는,
플라즈마 강화 화학 기상 증착 장치.
The method of claim 11,
One or more said auxiliary electrode and said showerhead comprise one integral part material,
Plasma enhanced chemical vapor deposition apparatus.
KR1020117001095A 2008-06-20 2009-05-07 Gas distribution showerhead skirt KR20110031466A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7451508P 2008-06-20 2008-06-20
US61/074,515 2008-06-20

Publications (1)

Publication Number Publication Date
KR20110031466A true KR20110031466A (en) 2011-03-28

Family

ID=41434621

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117001095A KR20110031466A (en) 2008-06-20 2009-05-07 Gas distribution showerhead skirt

Country Status (4)

Country Link
KR (1) KR20110031466A (en)
CN (1) CN102047388A (en)
TW (1) TW201012963A (en)
WO (1) WO2009154889A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101280240B1 (en) * 2011-10-07 2013-07-05 주식회사 테스 Substrate processing apparatus
US11488804B2 (en) 2019-05-14 2022-11-01 Samsung Electronics Co., Ltd. Shower head assembly and plasma processing apparatus having the same

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5445252B2 (en) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 Deposition equipment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103874314B (en) * 2012-12-17 2016-10-05 中微半导体设备(上海)有限公司 A kind of inductively coupled plasma device
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
CN103436856A (en) * 2013-08-01 2013-12-11 光垒光电科技(上海)有限公司 Reaction cavity
CN103397308A (en) * 2013-08-01 2013-11-20 光垒光电科技(上海)有限公司 Spray head used for MOCVD equipment
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US20190244793A1 (en) * 2018-02-05 2019-08-08 Lam Research Corporation Tapered upper electrode for uniformity control in plasma processing
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1064831A (en) * 1996-08-20 1998-03-06 Fujitsu Ltd Chemical vapor deposition apparatus
JP4066214B2 (en) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 Plasma process equipment
JP3595853B2 (en) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 Plasma CVD film forming equipment

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101280240B1 (en) * 2011-10-07 2013-07-05 주식회사 테스 Substrate processing apparatus
US11488804B2 (en) 2019-05-14 2022-11-01 Samsung Electronics Co., Ltd. Shower head assembly and plasma processing apparatus having the same

Also Published As

Publication number Publication date
TW201012963A (en) 2010-04-01
WO2009154889A3 (en) 2010-02-25
CN102047388A (en) 2011-05-04
WO2009154889A2 (en) 2009-12-23

Similar Documents

Publication Publication Date Title
KR20110031466A (en) Gas distribution showerhead skirt
JP6994502B2 (en) Plasma screen for plasma processing chamber
US8968514B2 (en) Gas distributing device and substrate processing apparatus including the same
JP7175339B2 (en) Process chamber for periodic and selective material removal and etching
US8312839B2 (en) Mixing frequency at multiple feeding points
CN101443474B (en) Method and apparatus for improving uniformity of large-area substrates
US20100024729A1 (en) Methods and apparatuses for uniform plasma generation and uniform thin film deposition
US20070221129A1 (en) Apparatus for depositing atomic layer using gas separation type showerhead
KR20130001235A (en) Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US20100104771A1 (en) Electrode and power coupling scheme for uniform process in a large-area pecvd chamber
US20110146577A1 (en) Showerhead with insulated corner regions
KR20160033594A (en) Apparatus for plasma treatment
US20100104772A1 (en) Electrode and power coupling scheme for uniform process in a large-area pecvd chamber
US20070283889A1 (en) Apparatus of processing substrate
JP4426632B2 (en) Plasma processing equipment
KR101522633B1 (en) Vaccum processing apparatus
KR20180124786A (en) Insulator structure for avoiding abnormal electrical discharge and plasma concentration
JP6662998B2 (en) Plasma processing equipment
KR101942819B1 (en) Method for forming thin film
TW202104650A (en) Plasma densification within a processing chamber
KR20170075163A (en) Gas distribution unit and atomic layer deposition apparatus having the gas distribution unit
CN109156074B (en) Plasma processing apparatus and structure of reaction vessel for plasma processing
KR102450392B1 (en) Sputtering Apparatus
KR20190096778A (en) Edge Frame and Substrate Processing Apparatus Including The Same
US20120231181A1 (en) Insulation coverage of cvd electrode

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid