KR20110028109A - Rinsing composition - Google Patents

Rinsing composition Download PDF

Info

Publication number
KR20110028109A
KR20110028109A KR1020090086046A KR20090086046A KR20110028109A KR 20110028109 A KR20110028109 A KR 20110028109A KR 1020090086046 A KR1020090086046 A KR 1020090086046A KR 20090086046 A KR20090086046 A KR 20090086046A KR 20110028109 A KR20110028109 A KR 20110028109A
Authority
KR
South Korea
Prior art keywords
group
weight
cleaning liquid
liquid composition
compound
Prior art date
Application number
KR1020090086046A
Other languages
Korean (ko)
Inventor
윤효중
방순홍
김성식
이승용
Original Assignee
동우 화인켐 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동우 화인켐 주식회사 filed Critical 동우 화인켐 주식회사
Priority to KR1020090086046A priority Critical patent/KR20110028109A/en
Priority to PCT/KR2010/006174 priority patent/WO2011031089A2/en
Priority to CN2010800381376A priority patent/CN102498197A/en
Priority to TW099130898A priority patent/TW201127952A/en
Publication of KR20110028109A publication Critical patent/KR20110028109A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

PURPOSE: An aqueous cleaning solution is provided to ensure excellent detergency for organic impurities, metal-resist deposit, metal oxide, and metal complex formed on a channel part of a thin film transistor and to secure excellent anticorrosive property of copper, copper alloy line and insulation layer. CONSTITUTION: An aqueous cleaning solution includes, based on the total weight of the composition, 0.05-20 weight% of organic amine compound, 0.1-40 weight% of glycol ether compound, 0.001-5 weight% of water-soluble fluorine-based compound, 0.001-1.0 weight% of a compound represented by chemical formula 1, and the balance of water. In chemical formula 1, R1, R2 and R3 hydrogen, halogen atom, C1~5 alkyl group, C3~10 cycloalkyl group, C3~5 allyl group, C5~12 aryl group, amine group, C1~5 alkylamino group, nitro group, cyano group, mercapto group, C1~5 alkylmercapto group, hydroxyl group, C1~5 hydroxyalkyl group, carboxyl group, C2~5 carboxyalky group, acyl group, C1~5 alkoxy group, or a monovalent group having C4~12 heterocyclic ring.

Description

세정액 조성물{Rinsing composition}Rinsing composition

본 발명은 평판표시장치에 이용되는 기판의 표면을 세정하기 위한 수계 세정액 조성물 및 이를 이용한 기판의 세정방법에 관한 것이다. 본 발명은 특히, 박막트랜지스터의 채널부에 형성되는 유기오염물, 금속-레지스트 침착물, 금속산화물, 금속 착화물을 등을 제거하기 위한 세정액 조성물 및 이를 이용한 세정방법에 관한 것이다.The present invention relates to an aqueous cleaning liquid composition for cleaning a surface of a substrate used in a flat panel display device and a method of cleaning a substrate using the same. In particular, the present invention relates to a cleaning liquid composition for removing organic contaminants, metal-resist deposits, metal oxides, metal complexes, etc. formed in the channel portion of the thin film transistor, and a cleaning method using the same.

액정표시장치로 대표되는 FPD는, 반도체 디바이스와 같이, 성막, 노광, 에칭 등의 공정을 거쳐 제조된다. 즉, 게이트 메탈, 활성층 및 절연층 소오스-드레인 메탈에 대한 에칭과정이 완료되고, 마스크로 사용된 포토레지스트층을 박리액을 이용하여 박리한 후, 패턴이 형성된 소오스-드레인을 마스크로 하여 드라이 에칭 방법을 이용해 n+ a-si과 a-si:H층을 과식각하여 채널부를 패터닝하는 공정에 의해 제조된다. FPDs typified by liquid crystal displays are manufactured through processes such as film formation, exposure, and etching, like semiconductor devices. That is, the etching process for the gate metal, the active layer, and the insulating layer source-drain metal is completed, and the photoresist layer used as a mask is peeled off using a stripping solution, followed by dry etching using a patterned source-drain as a mask. It is prepared by the process of patterning the channel portion by overetching the n + a-si and a-si: H layer using the method.

상기에서 채널부를 형성하기 전 마스크로 사용되는 포토레지스트는 레지스트 박리액에 의하여 제거된다. 이 때, 포토레지스트를 제거하기 위해 사용된 박리액에 의해 분해/용해된 포토레지스트와 배선 재료의 화학반응이 일어나 금속-레지 스트 침착물이 형성되며, 금속 배선의 경우 채널부의 측벽 노출 부분의 산화가 촉진되어 산화막이 형성된다. 또한, 채널부를 패터닝하기 위해 사용한 드라이 에칭 가스는 소오스-드레인을 형성하는 금속 배선재료와 금속 착염을 형성하기도 한다. 이렇게 레지스트 박리 및 드라이 에칭 후에 형성된 금속-레지스트 침착물, 금속 산화물, 금속착염물 등은 채널부에 극미량으로 존재하더라도 채널부를 오염시켜 소오스-드레인 금속 배선 간에 누설 전류를 발생시키며, 결과적으로 디바이스의 수율을 저하시킨다. 따라서, 후공정에 들어가기 전에 이러한 금속-레지스트 침착물, 금속 산화물, 금속착염물 등을 최대한 저감시킬 필요가 있다. 따라서 이러한 채널부의 오염을 제거하기 위한 세정공정의 필요성이 대두되고 있다. The photoresist used as a mask before forming the channel portion is removed by a resist stripping solution. At this time, a chemical reaction of the photoresist and the wiring material decomposed / dissolved by the stripping solution used to remove the photoresist occurs to form a metal-resist deposit, and in the case of metal wiring, oxidation of the sidewall exposed portion of the channel portion is performed. Is promoted to form an oxide film. In addition, the dry etching gas used for patterning the channel portion may form a metal complex salt with a metal wiring material forming a source-drain. The metal-resist deposits, metal oxides, and metal complexes formed after the resist stripping and dry etching are contaminated with the channel portions even though they are present in the trace portions, resulting in leakage current between the source and drain metal wirings, and consequently the yield of the device. Lowers. Therefore, it is necessary to minimize such metal-resist deposits, metal oxides, metal complexes, and the like before entering the post process. Therefore, there is a need for a cleaning process for removing the contamination of the channel portion.

상기와 같은 채널부 오염을 제거하기 위한 세정공정에 적용가능한 종래의 기술로는 반도체 혹은 평판디스플레이용 디바이스 제조 공정중 드라이 에칭 혹은 에싱 후에 발생하는 레지스트 변성막을 제거하기 위한 박리액 또는 세정제를 들 수 있다. 이러한 종래 기술의 구체적인 예는 다음과 같다. Conventional techniques applicable to the cleaning process for removing channel contamination as mentioned above include a stripping solution or cleaning agent for removing a resist-denatured film generated after dry etching or ashing in a device manufacturing process for semiconductor or flat panel displays. . Specific examples of such a prior art are as follows.

일본 공개특허공보 평7-201794 호에는 특정한 4 급 암모늄염과 불소화합물 및 유기용매를 함유하는 반도체 장치 세정제가 제안되어 있고, 일본 공개특허공보 평9-197681호에는 불화수소산과 금속이온을 함유하지 않는 염기의 염 및 수용성 유기용매를 포함하며, pH 가 5 내지 8 인 레지스트용 박리액 조성물이 제안되어 있다. 또한 일본 공개특허공보 평11-67632 호에는 불소화합물, 수용성 유기용제, 및 물을 각각 특정량 함유하는 반도체 장치용 세정제가 제안되어 있으며, 일본 공개특허공보 평9-283507호에는 4 급 암모늄 수산화물, 산화환원전위를 갖는 구핵아민 화 합물, 당류 및/또는 당알코올류 및 물을 각각 특정한 배합비율로 함유하는 박리제 등이 제안되어 있다.Japanese Patent Application Laid-Open No. 7-201794 proposes a semiconductor device cleaning agent containing a specific quaternary ammonium salt, a fluorine compound, and an organic solvent, and Japanese Patent Laid-Open No. 9-197681 does not contain hydrofluoric acid and metal ions. A stripper composition for resists containing a salt of a base and a water-soluble organic solvent and having a pH of 5 to 8 is proposed. Japanese Unexamined Patent Application Publication No. Hei 11-67632 proposes a cleaning agent for semiconductor devices each containing a specific amount of a fluorine compound, a water-soluble organic solvent, and water, and Japanese Unexamined Patent Application Publication No. Hei 9-283507, a quaternary ammonium hydroxide, Release agents containing a nucleophilic amine compound having a redox potential, sugars and / or sugar alcohols, and water in specific mixing ratios, respectively, have been proposed.

그러나, 상기와 같은 종래의 박리액 또는 세정제는 최근의 고집적 및 고밀도화된 기판 위에 형성된 금속배선의 부식을 실용적인 수준까지 방지하지 못할 뿐 아니라, 금속 산화물, 금속-레지스트 잔사, 금속착염물 등에 대한 제거력도 충분하지 않기 때문에 채널부의 오염을 제거하기 위한 세정공정에 사용하기에는 부적합하다.However, such a conventional stripping agent or cleaning agent does not prevent the corrosion of the metallization formed on the recent highly integrated and densified substrates to a practical level, and also removes metal oxides, metal-resist residues, metal complex salts, and the like. Since it is not sufficient, it is not suitable for use in a cleaning process for removing contamination of the channel portion.

본 발명은 평판표시장치 제조시에 발생되는 오염물 특히, 액정표시소자의 박막트랜지스터의 채널부에 형성되는 유기오염물, 금속-레지스트 침착물, 금속산화물, 금속 착화물을, 금속배선 특히, 구리 및 구리합금 배선, 및 절연막 등의 하부막질의 부식 없이 효과적으로 제거할 수 있는 수계 세정액 조성물을 제공하는 것을 목적으로 한다. The present invention relates to organic contaminants, metal-resist deposits, metal oxides and metal complexes formed in the channel portion of the thin film transistor of the liquid crystal display device, in particular, contaminants generated during the manufacture of a flat panel display device. An object of the present invention is to provide an aqueous cleaning liquid composition which can be effectively removed without corrosion of the lower film quality such as alloy wiring and insulating film.

본 발명은 조성물 총 중량에 대하여 유기아민화합물 0.05중량% 내지 20중량%, 글리콜에테르 화합물 0.1 내지 40중량%, 불화수소산을 제외한 수용성 불소계화합물 0.001 내지 5중량%, 하기 화학식 1로 표시되는 화합물 0.001 내지 1.0중량% 및 잔량의 물을 포함하는 수계 세정액 조성물을 제공한다:The present invention is 0.05 to 20% by weight of the organic amine compound, 0.1 to 40% by weight of the glycol ether compound, 0.001 to 5% by weight of a water-soluble fluorine compound excluding hydrofluoric acid, the compound represented by the formula (1) An aqueous cleaning liquid composition is provided comprising 1.0 wt% and residual water:

Figure 112009056149929-PAT00001
Figure 112009056149929-PAT00001

상기 화학식에서 R1, R2 및 R3는 각각 독립적으로 수소원자, 할로겐원자, C1~C5의 알킬기, C3~C10의 시클로알킬기, C3~C5의 알릴기, C5~C12의 아릴기, 아민 기 C1~C5의 알킬아미노기, 니트로기, 시아노기, 메르캅토기, C1~C5의 알킬메르캅토기, 히드록시기, C1~C5의 히드록시알킬기, 카르복시기, C2~C5의 카르복시알킬기, 아실기, C1~C5의 알콕시기 또는 C4~C12의 복소환을 갖는 1가의 기를 나타낸다.In the above formula, R1, R2 and R3 are each independently a hydrogen atom, a halogen atom, an alkyl group of C1 ~ C5, a cycloalkyl group of C3 ~ C10, an allyl group of C3 ~ C5, an aryl group of C5 ~ C12, an amine group C1 ~ C5 Alkylamino group, nitro group, cyano group, mercapto group, C1-C5 alkyl mercapto group, hydroxy group, C1-C5 hydroxyalkyl group, carboxyl group, C2-C5 carboxyalkyl group, acyl group, C1-C5 alkoxy Monovalent group which has a group or C4-C12 heterocycle is shown.

또한, 본 발명은, In addition, the present invention,

본 발명의 수계 세정액 조성물을 20 내지 80℃의 온도로 유지시키고, 박막트랜지스터의 채널부를 10초 내지 10분 동안 세정하는 것을 특징으로 하는 박막트랜지스터 채널부의 세정방법을 제공한다.The aqueous cleaning liquid composition of the present invention is maintained at a temperature of 20 to 80 ℃, and provides a cleaning method of the thin film transistor channel portion, characterized in that for 10 seconds to 10 minutes to clean the channel portion of the thin film transistor.

또한, 본 발명은, In addition, the present invention,

본 발명의 수계 세정액 조성물을 20 내지 80℃의 온도로 유지시키고, 박막트랜지스터의 채널부를 10초 내지 10분 동안 세정하는 공정을 포함하는 것을 특징으로 하는 박막트랜지스터의 제조방법을 제공한다.The aqueous cleaning liquid composition of the present invention is maintained at a temperature of 20 to 80 ℃, and provides a method for producing a thin film transistor comprising the step of cleaning the channel portion of the thin film transistor for 10 seconds to 10 minutes.

본 발명의 수계 세정액 조성물은 평판표시장치 제조시 발생되는 오염물 특히, 액정표시소자의 박막트랜지스터의 채널부에 형성되는 유기오염물 및 금속-레지스트 침착물, 금속산화물, 금속 착화물에 대한 세정력이 우수할 뿐만 아니라, 기판상에 형성되어 있는 금속배선 특히, 구리 및 구리합금 배선, 및 절연막에 대한 부식방지 효과가 우수하다. The water-based cleaning liquid composition of the present invention is excellent in cleaning power for organic contaminants and metal-resist deposits, metal oxides and metal complexes formed in the channel portion of the thin film transistor of the liquid crystal display device, in particular, during the manufacturing of the flat panel display device. In addition, it is excellent in the corrosion prevention effect on the metal wiring formed on the board | substrate, especially copper and copper alloy wiring, and an insulating film.

또한, 다량의 탈이온수를 포함하고 있어 취급이 용이하며 환경적으로 유리하다.In addition, it contains a large amount of deionized water is easy to handle and environmentally beneficial.

본 발명은 조성물 총 중량에 대하여 유기아민화합물 0.05중량% 내지 20중량%, 글리콜에테르 화합물 0.1 내지 40중량%, 불화수소산을 제외한 수용성 불소계화합물 0.001 내지 5중량%, 하기 화학식 1로 표시되는 화합물 0.001 내지 1.0중량% 및 잔량의 물을 포함하는 수계 세정액 조성물에 관한 것이다:The present invention is 0.05 to 20% by weight of the organic amine compound, 0.1 to 40% by weight of the glycol ether compound, 0.001 to 5% by weight of a water-soluble fluorine compound excluding hydrofluoric acid, the compound represented by the formula (1) It relates to an aqueous cleaning liquid composition comprising 1.0% by weight and the balance of water:

[화학식1][Formula 1]

Figure 112009056149929-PAT00002
Figure 112009056149929-PAT00002

상기 화학식에서 R1, R2 및 R3는 각각 독립적으로 수소원자, 할로겐원자, C1~C5의 알킬기, C3~C10의 시클로알킬기, C3~C5의 알릴기, C5~C12의 아릴기, 아민기, C1~C5의 알킬아미노기, 니트로기, 시아노기, 메르캅토기, C1~C5의 알킬메르캅토기, 히드록시기, C1~C5의 히드록시알킬기, 카르복시기, C2~C5의 카르복시알킬기, 아실기, C1~C5의 알콕시기 또는 C4~C12의 복소환을 갖는 1가의 기를 나타낸다.In the above formula, R1, R2 and R3 are each independently a hydrogen atom, a halogen atom, an alkyl group of C1 ~ C5, a cycloalkyl group of C3 ~ C10, an allyl group of C3 ~ C5, an aryl group of C5 ~ C12, an amine group, C1 ~ C5 alkylamino group, nitro group, cyano group, mercapto group, C1-C5 alkyl mercapto group, hydroxy group, C1-C5 hydroxyalkyl group, carboxyl group, C2-C5 carboxyalkyl group, acyl group, C1-C5 The monovalent group which has an alkoxy group or C4-C12 heterocycle is shown.

본 발명의 세정액 조성물에 포함되는 유기아민 화합물은 조성물의 총 중량에 대하여 0.05중량% 내지 20중량%로 포함되는 것이 바람직하고, 0.1중량% 내지 10중량%로 포함되는 것이 더욱 바람직하다. 상기 유기아민 화합물이 0.05중량% 미만으로 포함되면, 미세입자, 유기 오염물 및 무기 오염물에 대해 충분한 세정효과를 달성할 수 없고, 20중량%를 초과하면 pH가 높아져 금속 배선에 대한 부식이 증가한 다.The organic amine compound included in the cleaning liquid composition of the present invention is preferably included in 0.05% by weight to 20% by weight, and more preferably contained in 0.1% by weight to 10% by weight relative to the total weight of the composition. When the organic amine compound is included in less than 0.05% by weight, sufficient cleaning effects on microparticles, organic contaminants and inorganic contaminants may not be achieved, and when the organic amine compound exceeds 20% by weight, the pH of the organic amine compound is increased to increase corrosion of the metal wiring.

상기 유기아민 화합물로는 메틸아민, 에틸아민, 이소프로필아민, 모노이소프로필아민 등의 일급 아민; 디에틸아민, 디이소프로필아민, 디부틸아민 등의 2급 아민; 트리메틸아민, 트리에틸아민, 트리이소프로필아민, 트리부틸아민 등의 3급 아민; 테트라메틸암모늄 히드록시드, 콜린, 모노에탄올아민, 디에탄올아민, 2-아미노 에탄올, 2-(에틸아미노)에탄올, 2-(메틸아미노)에탄올, N-메틸디에탄올아민, 디메틸아미노에탄올, 디에틸아미노에탄올, 니트리로트리에탄올, 2-(2-아미노에톡시) 에탄올, 1-아미노-2-프로판올, 트리에탄올아민, 모노프로판올아민, 지브타노르아민 등의 알칸올아민 등을 들 수 있으며, 이들은 1종 단독으로 또는 2종 이상이 함께 사용될 수 있다. Examples of the organic amine compound include primary amines such as methylamine, ethylamine, isopropylamine, and monoisopropylamine; Secondary amines such as diethylamine, diisopropylamine and dibutylamine; Tertiary amines such as trimethylamine, triethylamine, triisopropylamine and tributylamine; Tetramethylammonium hydroxide, choline, monoethanolamine, diethanolamine, 2-amino ethanol, 2- (ethylamino) ethanol, 2- (methylamino) ethanol, N-methyldiethanolamine, dimethylaminoethanol, di Alkanolamines such as ethylaminoethanol, nitrilotriethanol, 2- (2-aminoethoxy) ethanol, 1-amino-2-propanol, triethanolamine, monopropanolamine, and zwittanoamine, and the like. One kind alone or two or more kinds may be used together.

본 발명의 세정액 조성물에 포함되는 글리콜에테르화합물는 유기오염물을 용해시키는 용제역할을 한다. 또한 상기 화합물은 용제로서의 기능 외에도 세정액의 표면장력을 저하시켜 기판에 대한 습윤성을 증가시키므로 세정력을 향상시켜 준다. The glycol ether compound contained in the cleaning liquid composition of the present invention serves as a solvent for dissolving organic contaminants. In addition to the function as a solvent, the compound lowers the surface tension of the cleaning liquid to increase the wettability to the substrate, thereby improving the cleaning power.

상기 글리콜에테르 화합물은 조성물 총 중량에 대하여 0.1 내지 40중량%로 포함되는 것이 바람직하며, 더욱 바람직하게는 0.5 내지 20중량%로 포함된다. 글리콜에테르 화합물이 0.1중량% 미만으로 포함되면 상기 성분에 의한 오염물에 대한 용해력 증가를 기대할 수 없고, 40중량%를 초과하는 경우에는 더 이상 습윤성 증가 효과가 증가하지 않는다.The glycol ether compound is preferably included in an amount of 0.1 to 40% by weight, more preferably 0.5 to 20% by weight based on the total weight of the composition. If the glycol ether compound is included in less than 0.1% by weight can not be expected to increase the solubility of the contaminants by the component, when the content exceeds 40% by weight no increase in the wettability effect.

상기 글리콜에테르 화합물로는 에틸렌글리콜모노부틸에테르(BG), 디에틸렌글리콜 모노메틸에테르(MDG), 디에틸렌글리콜 모노에틸에테르(carbitol), 디에틸렌글리콜 모노부틸에테르(BDG), 디프로필렌글리콜 모노메틸에테르(DPM), 디프로필렌글리콜 모노에틸에테르(MFDG), 트리에틸렌글리콜 모노부틸에테르(BTG), 트리에틸렌글리콜 모노에틸에테르(MTG), 프로필렌글리콜 모노메틸에테르(MFG) 등을 들 수 있으며, 이들은 1종 단독으로 또는 2종 이상이 함께 사용될 수 있다. The glycol ether compound may be ethylene glycol monobutyl ether (BG), diethylene glycol monomethyl ether (MDG), diethylene glycol monoethyl ether (carbitol), diethylene glycol monobutyl ether (BDG), dipropylene glycol monomethyl Ether (DPM), dipropylene glycol monoethyl ether (MFDG), triethylene glycol monobutyl ether (BTG), triethylene glycol monoethyl ether (MTG), propylene glycol monomethyl ether (MFG), and the like. One kind alone or two or more kinds may be used together.

본 발명의 세정액 조성물에 포함되는 불화수소산을 제외한 불소계 화합물은 채널부에 존재하는 금속-레지스트 침착물, 금속 산화물 및 금속착화물에 대한 제거성을 향상시키는 역할을 한다. 불소계 화합물 중 불화수소산은 그 자체의 위험성 및 반응성 때문에 본 발명에서는 사용되지 않는다. 불화수소산은 약산이지만 매우 유독한 물질로 취급이 상당히 까다로우며, 세정제 성분중 알칼리인 유기아민과 반응시 수소 또는 불소가스의 발생으로 인체에 유해할 수 있다. 따라서 불화수소산보다는 안정성이나 취급이 용이한 다른 불소계화합물을 사용한다.The fluorine-based compound other than hydrofluoric acid included in the cleaning liquid composition of the present invention serves to improve the removability of metal-resist deposits, metal oxides and metal complexes present in the channel portion. Hydrofluoric acid in fluorine compounds is not used in the present invention because of its risk and reactivity. Hydrofluoric acid is a weak acid, but it is very toxic and it is very difficult to handle, and it can be harmful to human body by generating hydrogen or fluorine gas when reacting with organic amine which is alkali among detergent components. Therefore, other fluorine-based compounds that are more stable or easier to handle than hydrofluoric acid are used.

상기 불소계화합물은 조성물 총 중량에 대하여, 0.001 내지 5중량%로 포함되는 것이 바람직하고, 0.01 내지 2중량%로 포함되는 것이 더 바람직하다. 상기 불소계 화합물이 0.001 중량% 미만으로 포함되면 세정액 조성물의 금속-레지스트 침착물, 금속 산화물, 금속 착화물 등에 대한 제거효과가 미진하고, 5중량% 초과하여 포함되면, 세정액 조성물의 pH를 감소시켜 유기/무기 오염물에 대한 세정력을 오히려 저하시키고, 채널부의 하부막질에 대한 부식을 증가시키는 문제가 발생한다. The fluorine-based compound is preferably contained in an amount of 0.001 to 5% by weight, and more preferably 0.01 to 2% by weight based on the total weight of the composition. When the fluorine-based compound is contained in less than 0.001% by weight, the removal effect on the metal-resist deposits, metal oxides, metal complexes, etc. of the cleaning liquid composition is insignificant, and when included in more than 5% by weight, the pH of the cleaning liquid composition is reduced to organic. The problem arises that the cleaning power for inorganic contaminants is rather lowered and the corrosion to the lower film of the channel portion is increased.

상기 불소계 화합물로는 불화수소산을 제외한 불화붕소산(HBF4), 불화암모늄(NH4F), 중불화암모늄(NH4HF2), 테트라메틸 암모늄플로라이드((CH3)4NF), 테트라에틸 암모늄플로라이드((CH3CH2)4NF), 메틸아민 불화수소염, 에틸아민 불화수소염, 프로필아민 불화수소염 등을 들 수 있으며, 이들은 1종 단독으로 또는 2종 이상이 함께 사용될 수 있다. Examples of the fluorine-based compound include boric fluoride (HBF 4 ), ammonium fluoride (NH 4 F), ammonium bifluoride (NH 4 HF 2 ), tetramethyl ammonium fluoride ((CH 3 ) 4 NF), and tetrahydrofluoric acid. Ethyl ammonium fluoride ((CH 3 CH 2 ) 4 NF), methylamine hydrogen fluoride salt, ethylamine hydrogen fluoride salt, propylamine hydrogen fluoride salt, and the like, and these may be used alone or in combination of two or more thereof. Can be.

본발명의 세정액 조성물에 포함되는 하기 화학식 1로 표시되는 화합물은 부식 방지제로서 하부의 도전성 금속막과 절연막의 부식을 최소화하는 역할을 한다. 특히, 트리아졸 고리에 존재하는 질소 원자의 비공유전자쌍은 구리와 전자적으로 결합하여 구리 배선의 부식을 효과적으로 억제한다.The compound represented by the following Chemical Formula 1 included in the cleaning solution composition of the present invention serves to minimize corrosion of the conductive metal film and the insulating film below as a corrosion inhibitor. In particular, the lone pair of electrons of the nitrogen atom present in the triazole ring is bonded electronically with copper to effectively suppress corrosion of the copper wiring.

하기 화학식 1로 표시되는 화합물은 조성물 총 중량에 대하여 0.001 내지 1.0중량%로 포함되는 것이 바람직하며, 더욱 바람직하게는 0.01 내지 0.5중량%이다. 화학식 1로 표시되는 화합물의 함량이 0.001중량% 미만으로 포함되는 경우 하부의 도전성 금속막과 절연막의 부식방지 효과를 기대하기 어렵고, 1.0중량%를 초과하는 경우에는 더 이상의 효과 증가가 미미하여 경제적으로 바람직하지 않다. The compound represented by the following Formula 1 is preferably included in 0.001 to 1.0% by weight based on the total weight of the composition, more preferably 0.01 to 0.5% by weight. When the content of the compound represented by the formula (1) is contained less than 0.001% by weight, it is difficult to expect the anti-corrosion effect of the lower conductive metal film and the insulating film, if it exceeds 1.0% by weight further increase the effect is insignificant economically desirable Not.

[화학식1] [Formula 1]

Figure 112009056149929-PAT00003
Figure 112009056149929-PAT00003

상기 화학식에서 R1, R2 및 R3는 각각 독립적으로 수소원자, 할로겐원자, C1~C5의 알킬기, C3~C10의 시클로알킬기, C3~C5의 알릴기, C5~C12의 아릴기, 아민기, C1~C5의 알킬아미노기, 니트로기, 시아노기, 메르캅토기, C1~C5의 알킬메르캅토기, 히드록시기, C1~C5의 히드록시알킬기, 카르복시기, C2~C5의 카르복시알킬기, 아실기, C1~C5의 알콕시기 또는 C4~C12의 복소환을 갖는 1가의 기를 나타낸다.In the above formula, R1, R2 and R3 are each independently a hydrogen atom, a halogen atom, an alkyl group of C1 ~ C5, a cycloalkyl group of C3 ~ C10, an allyl group of C3 ~ C5, an aryl group of C5 ~ C12, an amine group, C1 ~ C5 alkylamino group, nitro group, cyano group, mercapto group, C1-C5 alkyl mercapto group, hydroxy group, C1-C5 hydroxyalkyl group, carboxyl group, C2-C5 carboxyalkyl group, acyl group, C1-C5 The monovalent group which has an alkoxy group or C4-C12 heterocycle is shown.

상기 화합물의 구체적인 예로는 2,2'-[[[벤조트리아졸-1-일]메틸]이미노]비스에탄올, [[[메틸-1H -벤조트리아졸-1-일]메틸]이미노]비스메탄올, 2,2'-[[[에틸-1H -벤조트리아졸-1-일]메틸]이미노]비스에탄올, [[[메틸-1H -벤조트리아졸-1-일]메틸]이미노]비스카르복시산, [[[메틸-1H -벤조트리아졸-1-일]메틸]이미노]비스메틸아민, 2,2'-[[[아민-1H -벤조트리아졸-1-일]메틸]이미노]비스에탄올 등을 있으며, 이들은 1종 단독으로 또는 2종 이상이 함께 사용될 수 있다. Specific examples of the compound include 2,2 '-[[[benzotriazol-1-yl] methyl] imino] bisethanol, [[[methyl-1H-benzotriazol-1-yl] methyl] imino] Bismethanol, 2,2 '-[[[ethyl-1H-benzotriazol-1-yl] methyl] imino] bisethanol, [[[methyl-1H-benzotriazol-1-yl] methyl] imino ] Biscarboxylic acid, [[[methyl-1H-benzotriazol-1-yl] methyl] imino] bismethylamine, 2,2 '-[[[amine-1H-benzotriazol-1-yl] methyl] Imino] bisethanol and the like, and these may be used alone or in combination of two or more.

상기 구체적으로 예시된 화합물들에서 벤젠고리에 치환된 치환기(R3)는 그 결합 위치가 한정되지 않는다. 즉, 4, 5, 6, 7번의 어느 위치에도 결합될 수 있다. In the compounds specifically exemplified above, the substituent (R3) substituted in the benzene ring is not limited to the bonding position thereof. That is, it can be combined at any of positions 4, 5, 6 and 7.

본 발명의 수계 세정액 조성물에 포함되는 물은 탈이온수인 것이 바람직하고, 본 발명의 수계 세정액 조성물의 구성요소들의 합이 100%가 되도록 잔량 포함하는 것이 바람직하다.It is preferable that the water contained in the aqueous cleaning liquid composition of the present invention is deionized water, and it is preferable to include the remaining amount so that the sum of the components of the aqueous cleaning liquid composition of the present invention is 100%.

본 발명의 수계 세정액 조성물은 평판표시장치의 기판 세정에 우수한 효과를 나타내며, 특히, 박막트랜지스터의 채널부 세정시에 매우 우수한 효과를 나타낸 다. 또한, 구리 또는 구리합금에 대한 방식력이 우수하기 때문에 구리 또는 구리합금 배선을 포함하는 박막트랜지스터의 채널부 세정에 바람직하게 사용될 수 있다. The aqueous cleaning liquid composition of the present invention exhibits an excellent effect on the substrate cleaning of the flat panel display device, and particularly, the cleaning of the channel portion of the thin film transistor. In addition, since the corrosion resistance to copper or copper alloy is excellent, it can be preferably used for cleaning the channel portion of the thin film transistor including copper or copper alloy wiring.

본 발명의 세정용액을 이용한 세정방법으로는 오염된 기판표면에 세정용액을 분사하는 방법, 기판을 세정용액이 담겨있는 배쓰(bath)에 디핑(dipping)하는 방법 등이 사용될 수 있다. 즉, 스프레이(Spray)방식, 스핀(Spin)방식, 디핑(Dipping)방식, 또는 초음파를 이용한 디핑방식 등이 사용될 수 있다.As the cleaning method using the cleaning solution of the present invention, a method of spraying the cleaning solution on the contaminated substrate surface, a method of dipping the substrate into a bath containing the cleaning solution, and the like may be used. That is, a spray method, a spin method, a dipping method, or a dipping method using ultrasonic waves may be used.

이와 같은 습식공정을 통해 기판상의 유기오염물이나 파티클을 효과적으로 제거할 수 있다. 세정시 세정액의 온도는 20 내지 80℃로 유지시키는 것이 바람직하며, 유기오염물이나 파티클의 제거효율을 향상시키기 위해서는 습식공정 시간을 길게 하는 것이 유리하나 생산성을 고려해 볼 때 습식공정 시간은 30초 내지 10분 정도가 바람직하다.Through such a wet process, organic contaminants or particles on the substrate can be effectively removed. It is preferable to keep the temperature of the cleaning solution at 20 to 80 ℃ during cleaning, and to improve the removal efficiency of organic contaminants or particles, it is advantageous to lengthen the wet process time, but the wet process time is 30 seconds to 10 in view of productivity. Minutes are preferred.

이하에서, 실시예를 통하여 본 발명을 보다 상세히 설명한다. 그러나, 하기의 실시예는 본 발명을 더욱 구체적으로 설명하기 위한 것으로서, 본 발명의 범위가 하기의 실시예에 의하여 한정되는 것은 아니다. 하기의 실시예는 본 발명의 범위 내에서 당업자에 의해 적절히 수정, 변경될 수 있다. Hereinafter, the present invention will be described in more detail with reference to Examples. However, the following examples are intended to illustrate the present invention more specifically, but the scope of the present invention is not limited by the following examples. The following examples can be appropriately modified and changed by those skilled in the art within the scope of the present invention.

실시예 1 내지 실시예 15, 비교예 1 및 비교예 2: 세정액 조성물의 제조Examples 1 to 15, Comparative Example 1 and Comparative Example 2: Preparation of Cleaning Liquid Composition

유기아민화합물, 수용성 글리콜에테르 화합물, 불산을 제외한 불소계화합 물, 화학식1의 화합물 및 물을 하기 표 1에 기재된 함량으로 혼합하여 수계 세정액 조성물을 제조하였다. An organic amine compound, a water-soluble glycol ether compound, a fluorine-based compound except for hydrofluoric acid, a compound of Formula 1, and water were mixed in the amounts shown in Table 1 to prepare a water-based cleaning liquid composition.

유기아민
화합물
Organoamine
compound
글리콜에테르 화합물Glycol ether compounds 불소계화합물Fluorine compound 화학식1의 화합물
Compound of Formula 1
탈이온수Deionized water
종류Kinds 함량(중량%)Content (% by weight) 종류Kinds 함량
(중량%)
content
(weight%)
종류Kinds 함량
(중량%)
content
(weight%)
종류Kinds 함량
(중량%)
content
(weight%)
함량(중량%)Content (% by weight)
실시예1Example 1 A-1A-1 1.01.0 B-1B-1 1515 C-1C-1 0.20.2 D-1D-1 0.10.1 잔량Balance 실시예2Example 2 A-1A-1 1.01.0 B-1B-1 2020 C-1C-1 0.50.5 D-2D-2 0.20.2 잔량Balance 실시예3Example 3 A-1A-1 5.05.0 B-2B-2 1010 C-2C-2 0.50.5 D-1D-1 0.20.2 잔량Balance 실시예4Example 4 A-1A-1 5.05.0 B-2B-2 1515 C-2C-2 0.20.2 D-2D-2 0.10.1 잔량Balance 실시예5Example 5 A-1A-1 5.05.0 B-2B-2 2020 C-2C-2 0.50.5 D-1D-1 0.20.2 잔량Balance 실시예6Example 6 A-2A-2 1.01.0 B-1B-1 1515 C-1C-1 0.20.2 D-1D-1 0.10.1 잔량Balance 실시예7Example 7 A-2A-2 1.01.0 B-1B-1 2020 C-1C-1 0.50.5 D-1D-1 0.20.2 잔량Balance 실시예8Example 8 A-2A-2 5.05.0 B-1B-1 1010 C-1C-1 0.20.2 D-1D-1 0.10.1 잔량Balance 실시예9Example 9 A-2A-2 5.05.0 B-2B-2 1515 C-1C-1 0.50.5 D-1D-1 0.20.2 잔량Balance 실시예10Example 10 A-2A-2 5.05.0 B-2B-2 2020 C-1C-1 0.20.2 D-1D-1 0.10.1 잔량Balance 실시예11Example 11 A-3A-3 1.01.0 B-1B-1 1515 C-1C-1 0.50.5 D-1D-1 0.20.2 잔량Balance 실시예12Example 12 A-3A-3 1.01.0 B-1B-1 2020 C-1C-1 0.20.2 D-1D-1 0.10.1 잔량Balance 실시예13Example 13 A-3A-3 5.05.0 B-1B-1 1010 C-1C-1 0.50.5 D-1D-1 0.20.2 잔량Balance 실시예14Example 14 A-3A-3 5.05.0 B-2B-2 1515 C-1C-1 0.50.5 D-1D-1 0.20.2 잔량Balance 실시예15Example 15 A-3A-3 5.05.0 B-2B-2 2020 C-1C-1 0.50.5 D-1D-1 0.20.2 잔량Balance 비교예1Comparative Example 1 A-1A-1 5.05.0 -- -- -- -- -- -- 잔량Balance 비교예2Comparative Example 2 A-2A-2 5.05.0 B-2B-2 2020 -- -- -- -- 잔량Balance

A-1: 모노에탄올아민(MEA)A-1: monoethanolamine (MEA)

A-2: 1-아미노-2-프로판올(MIPA)A-2: 1-amino-2-propanol (MIPA)

A-3: 디메틸 아미노에탄올(DMAE)A-3: Dimethyl Aminoethanol (DMAE)

B-1: 디에틸렌글리콜 모노메틸에테르(MDG)B-1: diethylene glycol monomethyl ether (MDG)

B-2: 디에틸렌글리콜 모노부틸에테르(BDG)B-2: diethylene glycol monobutyl ether (BDG)

C-1: 불화암모늄C-1: ammonium fluoride

C-2: 중불화암모늄C-2: ammonium bifluoride

D-1: 2,2'-[[[4-에틸-1H-벤조트리아졸-1-일]메틸]이미노]비스에탄올D-1: 2,2 '-[[[4-ethyl-1H-benzotriazol-1-yl] methyl] imino] bisethanol

D-2: [[[4-메틸-1H-벤조트리아졸-1-일]메틸]이미노]비스메탄올D-2: [[[4-methyl-1H-benzotriazol-1-yl] methyl] imino] bismethanol

시험예: 수계 세정액 조성물의 특성평가Test Example: Evaluation of Characteristics of Aqueous Cleaning Liquid Composition

<부식방지력 평가>Corrosion Prevention Evaluation

먼저, 알루미늄 두께가 2000Å 구리 두께가 2500Å 형성된 유리기판을 실시예1 내지 실시예15 및 비교예1 내지 비교예2의 세정액 조성물에 30분간 침적시켰다. 이때 세정액 조성물의 온도는 40℃였으며, 금속 막의 두께를 침적 이전 및 이후에 측정하여 금속 막의 용해속도를 금속 막의 두께 변화로부터 계산하였다. 평가한 결과를 하기 표 2에 나타내었다.First, a glass substrate having an aluminum thickness of 2000 mm 2 and a copper thickness of 2500 mm 2 was deposited on the cleaning liquid compositions of Examples 1 to 15 and Comparative Examples 1 to 2 for 30 minutes. At this time, the temperature of the cleaning liquid composition was 40 ℃, the thickness of the metal film was measured before and after deposition to calculate the dissolution rate of the metal film from the thickness change of the metal film. The evaluation results are shown in Table 2 below.

<유기 오염물 제거력 평가><Evaluation of Organic Pollutant Removal>

5cmⅩ5cm 크기의 유리기판을 대기 중에 24시간 방치하여 대기 중의 각종 유기물, 무기물, 파티클 등에 오염시켰다. 스프레이식 유리 기판 세정장치를 이용하여 2분동안 40℃에서 실시예1 내지 실시예15 및 비교예1 내지 비교예2의 세정액 조성물로 세정하였다 세정 후 초순수에 30초 세척한 후 질소로 건조하였다. 상기 유리기판 위에 0.5㎕의 초순수 방울을 떨어뜨려 세정후의 접촉각을 측정하고 그 결과를 하기 표2에 나타내었다. Glass substrates of 5 cm × 5 cm size were left in the air for 24 hours to contaminate various organic materials, inorganic materials, and particles in the air. It was washed with the cleaning liquid compositions of Examples 1 to 15 and Comparative Examples 1 to 2 at 40 ° C. for 2 minutes using a spray glass substrate cleaning apparatus. After cleaning, the mixture was washed with ultrapure water for 30 seconds and then dried with nitrogen. 0.5 μl of ultrapure water was dropped on the glass substrate to measure the contact angle after washing, and the results are shown in Table 2 below.

<채널부 오염물 제거력 평가><Evaluation of Contaminant Removal Power in Channel>

채널부의 드라이 에칭이 완료된 패터닝된 기판에 오염으로 인하여 누설전류가 발생하는 부분을 표기한 후, 스프레이식 유리기판 세정장치를 이용하여 2분동안 상온에서 실시예1 내지 실시예15 및 비교예1 내지 비교예2의 세정액 조성물로 세정하였다. 세정후 초순수에 30초간 세척한 후 질소로 건조하였다. 상기 기판을 SEM-EDAX로 측정하여 채널부에 금속-레지스트 침착물, 금속산화물 및 금속착화물 등의 검출 여부를 확인하였다.       After marking the part where the leakage current is generated due to contamination on the patterned substrate on which the dry etching of the channel part is completed, Examples 1 to 15 and Comparative Examples 1 to 2 were used at room temperature for 2 minutes using a spray-type glass substrate cleaning device. It wash | cleaned with the cleaning liquid composition of the comparative example 2. After washing for 30 seconds in ultrapure water and dried with nitrogen. The substrate was measured by SEM-EDAX to determine whether metal-resist deposits, metal oxides and metal complexes were detected in the channel portion.

<부식방지력 평가 기준><Erosion Prevention Evaluation Criteria>

금속 막의 두께 변화에 따라According to the thickness change of the metal film

◎: 우수(2 Å/min 미만), ○: 양호(5 Å/min 미만), △: 미흡(10 Å/min 미만), Ⅹ: 불량(10 Å/min 이상)◎: excellent (less than 2 s / min), ○: good (less than 5 s / min), △: poor (less than 10 s / min), Ⅹ: poor (more than 10 s / min)

<유기 오염물 제거력 평가 기준><Evaluation Criteria for Organic Pollutant Removal>

접촉각 감소량에 따라 According to the reduction of contact angle

◎: 우수(40°이상 감소), ○: 양호(40~30°감소), △: 미흡(30~20°감소), Ⅹ: 불량(20°미만감소)◎: Excellent (40 ° or more decrease), ○: Good (40 ~ 30 ° decrease), △: Poor (30 ~ 20 ° decrease), Ⅹ: Poor (less than 20 °)

<채널부 유기/금속원소 검출><Organic / metal element detection of channel part>

○: 검출됨, Ⅹ: 검출 안됨.      ○: detected, 검출: not detected.

Cu 에칭속도 (Å/분)Cu etching rate (속도 / min) 접촉각Contact angle EDAX측정 결과EDAX measurement result 상온Room temperature 40℃40 ℃ 실시예1Example 1 X 실시예2Example 2 X 실시예3Example 3 X 실시예4Example 4 X 실시예5Example 5 X 실시예6Example 6 X 실시예7Example 7 X 실시예8Example 8 X 실시예9Example 9 X 실시예10Example 10 X 실시예11Example 11 X 실시예12Example 12 X 실시예13Example 13 X 실시예14Example 14 X 실시예15Example 15 X 비교예1Comparative Example 1 X X 비교예2Comparative Example 2 X X

상기 표 2에서 확인되는 바와 같이, 실시예1 내지 실시예15의 세정액 조성물은 부식방지제로서 화학식1의 화합물을 포함함으로써 금속 배선에 대한 방식 효과가 뛰어날 뿐만 아니라, 불소 화합물의 첨가로 채널부 오염물에 대한 제거력 면에서도 우수한 효과를 나타내었다. 그러나 비교예1 세정액 조성물의 경우 세정력은 양호하나 금속 배선에 대한 방식효과가 불량하였으며, 비교예2의 세정액 조성물은 방식효과뿐만 아니라 채널부 오염물에 대한 세정효과도 미흡하였다. As can be seen in Table 2, the cleaning liquid compositions of Examples 1 to 15 are not only excellent anticorrosive effect on the metal wiring by including the compound of Formula 1 as a corrosion inhibitor, but also added to the channel contaminants by the addition of fluorine compounds. It also showed an excellent effect in terms of removal power. However, in Comparative Example 1 cleaning liquid composition was good cleaning power but poor anticorrosive effect on the metal wiring, the cleaning liquid composition of Comparative Example 2 was not enough cleaning effect on the contaminants of the channel portion as well.

상기 실험에서 물에 대한 접촉각과 유/무기 오염물의 제거력과의 상관관계에 대하여 부연하여 설명하면 다음과 같다.The correlation between the contact angle with respect to water and the removal force of organic / inorganic contaminants in the above experiment will be described as follows.

평판디스플레이용 유리기판의 경우 표면거칠기, 막증착을 위한 후공정을 위해 적정한 접촉각을 갖고 있다. 하지만 유기 오염물이 유리기판에 존재할 때, 이는 지문이나 기름막처럼 소수성을 띄게 된다. 따라서 오염된 유리기판의 접촉각을 측정하면 소수성인 표면의 젖음성이 떨어지기 때문에 물의 표면장력에 의해 접촉각이 증가하게 된다. 이 때, 세정을 통해 유리기판에서 소수성의 오염물을 제거하면 유리기판 자체의 특성만 갖게 되어 접촉각이 초기의 깨끗한 상태로 감소하게 된다. 또한, 표면개질등을 통해 기판 표면을 친수성을 띄게 만들면, 초기보다 접촉각은 더욱 감소하게 된다.Glass substrates for flat panel displays have an appropriate contact angle for surface roughness and post-processing for film deposition. However, when organic contaminants are present on the glass substrate, they become hydrophobic, like fingerprints or oil films. Therefore, when the contact angle of the contaminated glass substrate is measured, the wettability of the hydrophobic surface is inferior, thereby increasing the contact angle due to the surface tension of water. At this time, if the hydrophobic contaminants are removed from the glass substrate through cleaning, only the characteristics of the glass substrate itself are obtained, and the contact angle is reduced to an initial clean state. In addition, when the surface of the substrate is made hydrophilic through surface modification or the like, the contact angle is further reduced than the initial stage.

<금속 패턴 부식방지력 평가><Metal Pattern Corrosion Resistance Evaluation>

상기 실시예1 내지 실시예5 및 실시예11 내지 15에서 제조한 각각의 세정액 조성물을 사용하여, 5cm x 5cm 크기로 형성된 유리기판 위에 구리의 패턴이 형성된 기판을 스프레이식 유리 기판 세정장치를 이용하여 2분동안 40℃에서 세정하였다. 세정 후 초순수에 30초 세척한 후 질소로 건조하였다. 그 결과는 하기 표 3과 같다.Using the cleaning liquid composition prepared in Examples 1 to 5 and Examples 11 to 15, the substrate on which the copper pattern was formed on the glass substrate formed to a size of 5 cm x 5 cm using a spray type glass substrate cleaning apparatus. Rinse at 40 ° C. for 2 minutes. After washing for 30 seconds in ultrapure water and dried with nitrogen. The results are shown in Table 3 below.

<부식 평가 기준>Corrosion Evaluation Criteria

○: 부식 없음, △: 부식 약간발생, X: 다량 부식발생○: no corrosion, △: slight corrosion, X: large amount of corrosion

Cu 패턴 부식Cu pattern corrosion 상온Room temperature 40℃40 ℃ 실시예1Example 1 실시예2Example 2 실시예3Example 3 실시예4Example 4 실시예5Example 5 실시예11Example 11 실시예12Example 12 실시예13Example 13 실시예14Example 14 실시예15Example 15 비교예1Comparative Example 1 XX XX

상기 표 3에서 확인되는 바와 같이, 본 발명의 세정액 조성물은 종래의 세정액 조성물과 비교하여 구리의 부식에 대한 방지효과가 매우 우수함을 알 수 있다. As confirmed in Table 3, it can be seen that the cleaning solution composition of the present invention is very excellent in preventing the corrosion of copper compared to the conventional cleaning solution composition.

Claims (9)

조성물 총 중량에 대하여 유기아민화합물 0.05중량% 내지 20중량%, 글리콜에테르 화합물 0.1 내지 40중량%, 불화수소산을 제외한 수용성 불소계화합물 0.001 내지 5중량%, 하기 화학식 1로 표시되는 화합물 0.001 내지 1.0중량% 및 잔량의 물을 포함하는 수계 세정액 조성물:0.05% to 20% by weight of the organic amine compound, 0.1 to 40% by weight of the glycol ether compound, 0.001 to 5% by weight of the water-soluble fluorine-based compound excluding hydrofluoric acid, and 0.001 to 1.0% by weight of the compound represented by the following formula (1) And a residual amount of water; [화학식1][Formula 1]
Figure 112009056149929-PAT00004
Figure 112009056149929-PAT00004
상기 화학식에서 R1, R2 및 R3는 각각 독립적으로 수소원자, 할로겐원자, C1~C5의 알킬기, C3~C10의 시클로알킬기, C3~C5의 알릴기, C5~C12의 아릴기, 아민기 C1~C5의 알킬아미노기, 니트로기, 시아노기, 메르캅토기, C1~C5의 알킬메르캅토기, 히드록시기, C1~C5의 히드록시알킬기, 카르복시기, C2~C5의 카르복시알킬기, 아실기, C1~C5의 알콕시기 또는 C4~C12의 복소환을 갖는 1가의 기를 나타낸다.In the above formula, R1, R2 and R3 are each independently a hydrogen atom, a halogen atom, an alkyl group of C1 ~ C5, a cycloalkyl group of C3 ~ C10, an allyl group of C3 ~ C5, an aryl group of C5 ~ C12, an amine group C1 ~ C5 Alkylamino group, nitro group, cyano group, mercapto group, C1-C5 alkyl mercapto group, hydroxy group, C1-C5 hydroxyalkyl group, carboxyl group, C2-C5 carboxyalkyl group, acyl group, C1-C5 alkoxy Monovalent group which has a group or C4-C12 heterocycle is shown.
청구항 1항에 있어서, 상기 유기아민화합물은 일급 아민, 2급 아민, 3급 아민, 또는 알칸올아민으로 이루어진 군으로부터 선택되는 1종 또는 2종 이상의 것임을 특징으로 하는 수계 세정액 조성물.        The aqueous cleaning liquid composition according to claim 1, wherein the organic amine compound is one or two or more selected from the group consisting of primary amines, secondary amines, tertiary amines, and alkanolamines. 청구항 1에 있어서, 상기 글리콜에테르 화합물은 에틸렌글리콜모노부틸에테르(BG), 디에틸렌글리콜 모노메틸에테르(MDG), 디에틸렌글리콜 모노에틸에테르(carbitol), 디에틸렌글리콜 모노부틸에테르(BDG), 디프로필렌글리콜 모노메틸에테르(DPM), 디프로필렌글리콜 모노에틸에테르(MFDG), 트리에틸렌글리콜 모노부틸에테르(BTG), 트리에틸렌글리콜 모노에틸에테르(MTG) 및 프로필렌글리콜 모노메틸에테르(MFG)로 이루어진 군으로부터 선택되는 1종 또는 2종 이상의 것임을 특징으로 하는 수계 세정액 조성물.The method of claim 1, wherein the glycol ether compound is ethylene glycol monobutyl ether (BG), diethylene glycol monomethyl ether (MDG), diethylene glycol monoethyl ether (carbitol), diethylene glycol monobutyl ether (BDG), di Propylene glycol monomethyl ether (DPM), dipropylene glycol monoethyl ether (MFDG), triethylene glycol monobutyl ether (BTG), triethylene glycol monoethyl ether (MTG) and propylene glycol monomethyl ether (MFG) An aqueous cleaning liquid composition, characterized in that one or two or more selected from. 청구항 1에 있어서, 상기 불화수소산을 제외한 수용성 불소계화합물은 불화붕소산(HBF4), 불화암모늄(NH4F), 중불화암모늄(NH4HF2), 테트라메틸 암모늄플로라이드((CH3)4NF), 테트라에틸 암모늄플로라이드((CH3CH2)4NF), 메틸아민 불화수소염, 에틸아민 불화수소염, 및 프로필아민 불화수소염으로 이루어진 군으로부터 선택되는 1종 또는 2종 이상의 것임을 특징으로 하는 수계 세정액 조성물.The method of claim 1, wherein the water-soluble fluorine-based compound except for hydrofluoric acid is boron fluoride (HBF 4 ), ammonium fluoride (NH 4 F), ammonium bifluoride (NH 4 HF 2 ), tetramethyl ammonium fluoride ((CH 3 ) 4 NF), tetraethyl ammonium fluoride ((CH 3 CH 2 ) 4 NF), methylamine hydrogen fluoride salt, ethylamine hydrogen fluoride salt, and propylamine hydrogen fluoride salt. Aqueous cleaning liquid composition, characterized in that. 청구항 1에 있어서, 상기 화학식 1로 표시되는 화합물은 2,2'-[[[벤조트리아졸-1-일]메틸]이미노]비스에탄올, [[[메틸-1H -벤조트리아졸-1-일]메틸]이미노]비스메탄올, 2,2'-[[[에틸-1H -벤조트리아졸-1-일]메틸]이미노]비스에탄올, [[[메틸-1H -벤조트리아졸-1-일]메틸]이미노]비스카르복시산, [[[메틸-1H -벤조트리아졸 -1-일]메틸]이미노]비스메틸아민, 및 2,2'-[[[아민-1H -벤조트리아졸-1-일]메틸]이미노]비스에탄올로 이루어진 군으로부터 선택되는 1종 또는 2종 이상의 것임을 특징으로 하는 수계 세정액 조성물.The method of claim 1, wherein the compound represented by Formula 1 is 2,2 '-[[[benzotriazol-1-yl] methyl] imino] bisethanol, [[[methyl-1H-benzotriazole-1- Il] methyl] imino] bismethanol, 2,2 '-[[[ethyl-1H-benzotriazol-1-yl] methyl] imino] bisethanol, [[[methyl-1H-benzotriazole-1 -Yl] methyl] imino] biscarboxylic acid, [[[methyl-1H-benzotriazol-1-yl] methyl] imino] bismethylamine, and 2,2 '-[[[amine-1H-benzotria A sol-1-yl] methyl] imino] bisethanol 1 or 2 or more types chosen from the group which consists of a water-based washing | cleaning liquid composition. 청구항 1에 있어서, 상기 수계 세정액 조성물은 박막트랜지스터의 채널부 세정에 사용되는 것임을 특징으로 하는 수계 세정액 조성물. The aqueous cleaning liquid composition according to claim 1, wherein the aqueous cleaning liquid composition is used for cleaning the channel portion of the thin film transistor. 청구항 6에 있어서, 상기 박막트랜지스터 채널부는 구리 또는 구리합금 배선을 포함하는 것임을 특징으로 하는 수계 세정액 조성물. The aqueous cleaning liquid composition according to claim 6, wherein the thin film transistor channel portion comprises copper or copper alloy wiring. 청구항 1의 수계 세정액 조성물을 20 내지 80℃의 온도로 유지시키고, 박막트랜지스터의 채널부를 10 내지 10분 동안 세정하는 것을 특징으로 하는 박막트랜지스터 채널부의 세정방법.The method of claim 1, wherein the aqueous cleaning liquid composition of claim 1 is maintained at a temperature of 20 to 80 ° C, and the channel portion of the thin film transistor is cleaned for 10 to 10 minutes. 청구항 1의 수계 세정액 조성물을 20 내지 80℃의 온도로 유지시키고, 박막트랜지스터의 채널부를 10초 내지 10분 동안 세정하는 공정을 포함하는 것을 특징으로 하는 박막트랜지스터의 제조방법.A method of manufacturing a thin film transistor comprising maintaining a water-based cleaning liquid composition of claim 1 at a temperature of 20 to 80 ° C. and cleaning the channel portion of the thin film transistor for 10 seconds to 10 minutes.
KR1020090086046A 2009-09-11 2009-09-11 Rinsing composition KR20110028109A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020090086046A KR20110028109A (en) 2009-09-11 2009-09-11 Rinsing composition
PCT/KR2010/006174 WO2011031089A2 (en) 2009-09-11 2010-09-10 Cleaning solution composition
CN2010800381376A CN102498197A (en) 2009-09-11 2010-09-10 Cleaning solution composition
TW099130898A TW201127952A (en) 2009-09-11 2010-09-13 Cleaning composition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090086046A KR20110028109A (en) 2009-09-11 2009-09-11 Rinsing composition

Publications (1)

Publication Number Publication Date
KR20110028109A true KR20110028109A (en) 2011-03-17

Family

ID=43732966

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090086046A KR20110028109A (en) 2009-09-11 2009-09-11 Rinsing composition

Country Status (4)

Country Link
KR (1) KR20110028109A (en)
CN (1) CN102498197A (en)
TW (1) TW201127952A (en)
WO (1) WO2011031089A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101101378B1 (en) * 2009-12-31 2012-01-02 주식회사 엘지화학 Rinse composition for tft-lcd
KR101275659B1 (en) * 2011-12-26 2013-06-17 원광대학교산학협력단 Method for fabricating a three dimensional scaffold using a knitting machine and a scaffold thereof
WO2014017819A1 (en) * 2012-07-24 2014-01-30 엘티씨 (주) Composition for removing and preventing formation of oxide on the surface of metal wire
KR20140012309A (en) * 2012-07-19 2014-02-03 동우 화인켐 주식회사 A composition for removing organic-inorganic hybrid alignment layer
KR20140113116A (en) * 2013-03-15 2014-09-24 동우 화인켐 주식회사 Composition for cleaning flat panel display and cleaning method using the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3410403B2 (en) * 1999-09-10 2003-05-26 東京応化工業株式会社 Photoresist stripping solution and photoresist stripping method using the same
JP3516446B2 (en) * 2002-04-26 2004-04-05 東京応化工業株式会社 Photoresist stripping method
JP2005209953A (en) * 2004-01-23 2005-08-04 Tokyo Ohka Kogyo Co Ltd Stripper/cleaner, method of cleaning semiconductor substrate and method of forming metal wiring using stripper/cleaner
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101101378B1 (en) * 2009-12-31 2012-01-02 주식회사 엘지화학 Rinse composition for tft-lcd
KR101275659B1 (en) * 2011-12-26 2013-06-17 원광대학교산학협력단 Method for fabricating a three dimensional scaffold using a knitting machine and a scaffold thereof
KR20140012309A (en) * 2012-07-19 2014-02-03 동우 화인켐 주식회사 A composition for removing organic-inorganic hybrid alignment layer
WO2014017819A1 (en) * 2012-07-24 2014-01-30 엘티씨 (주) Composition for removing and preventing formation of oxide on the surface of metal wire
US9353339B2 (en) 2012-07-24 2016-05-31 Ltc Co., Ltd. Composition for removing and preventing formation of oxide on the surface of metal wire
KR20140113116A (en) * 2013-03-15 2014-09-24 동우 화인켐 주식회사 Composition for cleaning flat panel display and cleaning method using the same

Also Published As

Publication number Publication date
WO2011031089A2 (en) 2011-03-17
CN102498197A (en) 2012-06-13
WO2011031089A3 (en) 2011-08-04
TW201127952A (en) 2011-08-16

Similar Documents

Publication Publication Date Title
KR101056544B1 (en) Peeling and Cleaning Compositions for Microelectronic Substrates
TWI416282B (en) Composition for removing a photoresist residue and polymer residue, and residue removal process using same
TWI660029B (en) TiN hard mask and etch residue removal
US9068153B2 (en) Cleaning composition, cleaning process, and process for producing semiconductor device
US8231733B2 (en) Aqueous stripping and cleaning composition
US7479474B2 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
US20080139436A1 (en) Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US20050003977A1 (en) Composition for cleaning
KR20040045876A (en) Aqueous Cleaning Composition Containing Copper-Specific Corrosion Inhibitor for Cleaning Inorganic Residues on Semiconductor Substrate
KR20030035830A (en) Stripping composition
US9327966B2 (en) Semi-aqueous polymer removal compositions with enhanced compatibility to copper, tungsten, and porous low-K dielectrics
KR20110007828A (en) Stripper composition for copper or copper alloy interconnection
KR20110028109A (en) Rinsing composition
KR20080111268A (en) Cleaning solution composition and cleaning method using the same
KR101101378B1 (en) Rinse composition for tft-lcd
KR20140044482A (en) Cleaning composition for electronic devices
JP5206177B2 (en) Resist stripping composition and method for manufacturing semiconductor device using the same
TWI788452B (en) Fluoride based cleaning composition
KR101426088B1 (en) Cleaning solution composition and process of cleaning panel using the same
KR101426089B1 (en) Cleaning solution composition and process of cleaning panel using the same
JP5407121B2 (en) Cleaning composition
JP2000338685A (en) Treating solution composition after ashing and treatment method using same
KR20090082043A (en) Cleaning Composition and Process of Cleaning Panel Using the Same
KR20100066837A (en) A rinsing composition for flat panel display device and a cleaning method of flat panel display device
KR20160044852A (en) Cleansing composition for metal film

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid