KR20090031038A - Lift pin module and device for manufacturing flat display device using the same - Google Patents

Lift pin module and device for manufacturing flat display device using the same Download PDF

Info

Publication number
KR20090031038A
KR20090031038A KR1020070096836A KR20070096836A KR20090031038A KR 20090031038 A KR20090031038 A KR 20090031038A KR 1020070096836 A KR1020070096836 A KR 1020070096836A KR 20070096836 A KR20070096836 A KR 20070096836A KR 20090031038 A KR20090031038 A KR 20090031038A
Authority
KR
South Korea
Prior art keywords
pin
lift
lift pin
substrate
chamber
Prior art date
Application number
KR1020070096836A
Other languages
Korean (ko)
Other versions
KR100910750B1 (en
Inventor
김춘식
Original Assignee
주식회사 에이디피엔지니어링
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에이디피엔지니어링 filed Critical 주식회사 에이디피엔지니어링
Priority to KR1020070096836A priority Critical patent/KR100910750B1/en
Publication of KR20090031038A publication Critical patent/KR20090031038A/en
Application granted granted Critical
Publication of KR100910750B1 publication Critical patent/KR100910750B1/en

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A lift pin device and a device for manufacturing a flat display device by using the same are provided to seal a lower part of a lift pin by using a sealing elastic member and simultaneously supply an elastic force to make the lift pin to return to an original location, thereby performing two functions at the same time. A chamber(50) processes a surface of a substrate under a vacuum condition in a process chamber. An upper electrode(52) and a lower electrode(54) are arranged on upper/lower parts of the chamber. In the chamber, a gate(51) is equipped to insert and eject the substrate. A shower head provides process gas for processing the surface of the substrate(S) on the upper electrode. The lower electrode comprises a mounting unit where the substrate to be surface-processed is mounted. Lift pins(60) penetrate the lower electrode vertically. The lift pins elevate the substrate when inserting/ejecting the substrate.

Description

리프트 핀 장치 및 이를 이용한 평판 표시소자 제조장치{Lift pin module and device for manufacturing flat display device using the same} Lift pin device and device for manufacturing flat display device using same {Lift pin module and device for manufacturing flat display device using the same}

본 발명은 리프트 핀이 사용되는 반도체 제조장비 또는 평판 표시소자 제조 장치에 관한 것으로서, 특히 벨로우즈를 이용하여 가스의 누출을 방지하고, 리프트 핀이 원위치로 복귀하도록 하는 리프트 핀 장치 및 이를 이용한 평판 표시소자 제조장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor manufacturing apparatus or a flat panel display device manufacturing apparatus in which a lift pin is used. In particular, a lift pin device and a flat panel display device using the bellows to prevent gas leakage and return the lift pin to its original position. It relates to a manufacturing apparatus.

일반적으로 반도체 제조장비나 평판표시소자 제조장치 등에는 반도체 웨이퍼, 유리 기판 등을 탑재대(또는 스테이지)에 로딩/언로딩하기 위해 리프트 핀들이 사용되고 있다.In general, lift pins are used to load / unload semiconductor wafers, glass substrates, and the like onto a mounting table (or stage) in semiconductor manufacturing equipment or flat panel display device manufacturing apparatus.

이러한 리프트 핀들이 사용되는 구성은 반도체 제조장비나 평판표시소자 제조장치 등에 유사하게 적용될 수 있으므로, 이하 설명할 리프트 핀 및 이를 구동하는 장치는 평판표시소자 제조장치에 적용되는 구조를 중심으로 설명한다.Since the configuration in which the lift pins are used may be similarly applied to semiconductor manufacturing equipment or a flat panel display device manufacturing apparatus, a lift pin and a device for driving the same will be described with reference to a structure applied to a flat panel display device manufacturing apparatus.

평판표시소자(Flat Panel Display)는 액정 표시소자(Liquid Crystal Display), 플라즈마 디스플레이 소자(Plasma Display Panel), 유기 발광 소자(Organic Light Emitting Diodes) 등이 있다.Flat panel displays include liquid crystal displays, plasma display panels, and organic light emitting diodes.

이러한 평판표시소자를 제조하기 위한 평판표시소자 제조장치는, 기판의 표면 처리 등을 위해 진공 처리용 장치를 이용하게 되는데, 일반적으로 로드락(Load lock) 챔버, 반송 챔버, 공정 챔버 등이 이용되고 있다.In the flat panel display device manufacturing apparatus for manufacturing such a flat panel display device, a vacuum processing apparatus is used for surface treatment of a substrate, etc. In general, a load lock chamber, a transfer chamber, a process chamber, and the like are used. have.

이 중에서 공정 챔버는, 도 1을 참조하면, 일측에 게이트(11)가 구비되고 진공 상태로의 전환이 가능하도록 이루어져 내부에서 공정 처리가 수행되는 챔버(10)와, 이 챔버(10) 내부의 상부 영역에 위치되는 상부 전극(12)과, 이 상부 전극(12)의 하부에 위치되어 그 상부에 기판(S)이 탑재되는 하부 전극(14)로 구성된다.Among these, the process chamber, with reference to FIG. 1, is provided with a gate 11 at one side and is capable of switching to a vacuum state, in which a process 10 is performed and process chambers are performed inside the chamber 10. An upper electrode 12 positioned in the upper region and a lower electrode 14 positioned below the upper electrode 12 and mounted on the substrate S are provided.

여기서 상부 전극(12)은 기판(S)에 공정 가스를 분사하는 샤워 헤드(Shower head)가 포함되고, 하부 전극(14)은 상기 기판(S)을 로딩/언로딩할 때 기판을 상승 및 하강시킬 수 있도록 다수 개의 리프트 핀(20)들이 구비된다. 이를 위해 하부 전극(14)에는 리프트 핀(20)이 통과하도록 다수개의 핀 홀(16)이 형성된다.Here, the upper electrode 12 includes a shower head (Shower head) for injecting a process gas to the substrate (S), the lower electrode 14 raises and lowers the substrate when loading / unloading the substrate (S) A plurality of lift pins 20 are provided for the purpose. To this end, a plurality of pin holes 16 are formed in the lower electrode 14 to allow the lift pins 20 to pass therethrough.

리프트 핀(20)들은 리프트 핀 구동장치(30)에 의해 승강 작동되는데, 이 리프트 핀 구동장치(30)는 다수개의 리프트 핀(20)들을 동시에 승강시킬 수 있도록 구성된다.The lift pins 20 are lifted and operated by the lift pin driver 30, which is configured to lift the plurality of lift pins 20 simultaneously.

이를 위해 리프트 핀 구동장치(30)는 하부 전극(14)의 하부에 다수개의 리프트 핀(20)들이 고정되어 있는 핀 플레이트(35)가 구비되고, 이 핀 플레이트(35)는 다시 상기 챔버(10)의 하부에 위치된 무빙 플레이트(36)와 리프트 로드(37)로 연결되어 일체로 승강될 수 있도록 구성된다.To this end, the lift pin driver 30 includes a pin plate 35 having a plurality of lift pins 20 fixed to the lower portion of the lower electrode 14, and the pin plate 35 is again provided with the chamber 10. Connected to the moving plate 36 and the lift rod 37 located in the lower portion of the) is configured to be able to be raised and lowered integrally.

이때, 리프트 로드(37)가 챔버(10)를 관통된 상태로 설치되므로, 리프트 로드(37)의 주변에는 챔버(10) 내의 밀봉 상태가 유지되도록 하는 벨로우즈(38)가 설 치되고, 무빙 플레이트(36)는 구동 모터(32) 및 볼 스크류(33) 등에 의해 승강될 수 있도록 구성된다.At this time, since the lift rod 37 is installed through the chamber 10, a bellows 38 is installed around the lift rod 37 to maintain a sealed state in the chamber 10, and a moving plate. 36 is configured to be elevated by the drive motor 32 and the ball screw 33 or the like.

한편, 기판 또는 패널 등이 대형화됨에 따라 이를 지지하기 위한 하부 전극(14)의 크기도 그만큼 커지게 되는데, 상기한 바와 같은 구조를 갖는 리프트 핀 및 리프트 핀 구동 장치를 사용하는 경우, 전극이 커짐에 따라 열에 의한 변형 량이 증가되어 핀의 위치가 상대적으로 변경되어 리프트 핀(20)이 승강 작동될 때, 전극 면과의 마찰이 증가하고, 이에 따라 파티클(particle) 발생의 원인이 되는 문제점이 있다.On the other hand, as the substrate or panel is enlarged, the size of the lower electrode 14 for supporting the same also increases. In the case of using the lift pin and the lift pin driving device having the structure as described above, the electrode becomes large. Accordingly, when the amount of deformation due to heat is increased and the position of the pin is relatively changed so that the lift pin 20 is lifted and operated, friction with the electrode surface is increased, thereby causing particles.

즉, 기판 처리 공정 시작 전과 같은 초기의 위치(P0)에서는 핀 플레이트(35)와 하부 전극(14)에서의 핀 포지션의 위치가 일치하지만, 기판 처리 공정이 시작되고 하부 전극(14)이 가열되면, 도 1의 상세도에서와 같이, 전극(14)의 온도가 핀 플레이트(35)보다 높아지면서 열팽창에 의한 위치차(D1, D2)가 발생되기 때문에 핀 홀(16)이 리프트 핀(20)에 대하여 수평 방향으로 이동된 상태가 되고, 이에 따라 리프트 핀(20)이 승강될 때 비스듬한 상태로 승강하게 되는 문제점이 발생되었다.That is, at the initial position P 0 as before the substrate processing process starts, the positions of the pin positions on the pin plate 35 and the lower electrode 14 coincide, but the substrate processing process is started and the lower electrode 14 is heated. When the temperature of the electrode 14 is higher than the pin plate 35, as shown in the detailed view of FIG. 1 , the pin holes 16 are lift pins because position differences D 1 and D 2 are generated due to thermal expansion. There is a problem that the state is moved in the horizontal direction with respect to (20), thereby lifting in an oblique state when the lift pin 20 is lifted.

이러한 문제를 해결하기 위해, 상기와 다른 구조를 갖는 리프트 핀 승강 구조가 제안되어 사용되고 있는데, 이를 도 2를 참조하여 설명한다.In order to solve this problem, a lift pin lifting structure having a structure different from the above has been proposed and used, which will be described with reference to FIG. 2.

도 2에 도시된 리프트 핀 승강 구조는 챔버 내부에 존재하던 핀 플레이트를 제거하고, 리프트 핀을 2단 구조로 구성하여, 하부 전극의 열팽창에 따라 리프트 핀의 위치가 자연스럽게 이동하도록 구성된 것이다.The lift pin lift structure shown in FIG. 2 removes the fin plate existing inside the chamber and configures the lift pin in a two-stage structure, so that the position of the lift pin moves naturally according to thermal expansion of the lower electrode.

즉, 리프트 핀 구동장치(30')는 상기 챔버(10)의 하부에 다수개의 리프트 핀(40)들이 고정되어 있는 무빙 플레이트(35')가 구비되고, 이 무빙 플레이트(35')를 볼 스크류 구동 방식에 의해 승강시킬 수 있도록 구동 모터(32) 및 볼 스크류(33)가 구성된다.That is, the lift pin driving device 30 'is provided with a moving plate 35' on which a plurality of lift pins 40 are fixed to the lower part of the chamber 10, and the moving plate 35 'is ball screwed. The drive motor 32 and the ball screw 33 are comprised so that lifting by a drive system is possible.

특히, 리프트 핀(40)은 푸시 바(42)와 상부 핀(41)으로 나누어진 2단 구조로 구성되는데, 푸시 바(42)는 무빙 플레이트(35')에 연결되어 승강되고, 상부 핀(41)은 하부 전극(14)의 핀홀(16)에 삽입된 상태로 위치되어 상기 푸시 바(42)에 의해 승강 작동된다.In particular, the lift pin 40 is composed of a two-stage structure divided into a push bar 42 and the upper pin 41, the push bar 42 is connected to the moving plate 35 'and lifted, the upper pin ( 41 is positioned to be inserted into the pinhole 16 of the lower electrode 14 and is elevated by the push bar 42.

이와 같은 2단 구조의 리프트 핀(40)은 하부 전극(14)에 열팽창이 발생하더라도 상부 핀(41)이 열팽창에 의한 이동하는 거리는 1mm 내외가 되므로, 하부에서 푸시 바(42)로 상부 핀(41)을 밀어주는 데는 별다른 문제가 발생하지 않게 된다.Since the lift pin 40 of the two-stage structure has a thermal expansion in the lower electrode 14, the distance that the upper pin 41 moves due to thermal expansion is about 1 mm. 41) There is no problem in pushing.

여기서 도 3을 참조하여, 상부 핀(41)의 설치 구조에 대하여 간단히 살펴본다.3, the installation structure of the upper pin 41 will be briefly described.

상부 핀(41)은 두 개의 핀(14a,41b)으로 나누어져, 하부 전극(14)에 설치되는 핀 하우징(43)을 통과하도록 설치되고, 그 하부에 도 2의 푸시 바(42)가 연결된다.The upper pin 41 is divided into two pins 14a and 41b, and is installed to pass through the pin housing 43 installed in the lower electrode 14, and the push bar 42 of FIG. do.

그리고 핀 하우징(43) 내에는 상부 핀(41)의 직선 이동을 안내하는 3개의 핀 가이드 부싱(44)이 구비되고, 각 부싱(44) 사이에는 상부 핀(41)이 원위치(하강)로 이동하도록 탄성력을 제공하는 핀 복원 스프링(45)이 설치된다.In the pin housing 43, three pin guide bushings 44 for guiding linear movement of the upper pins 41 are provided, and the upper pins 41 move to their original positions (falling) between the bushings 44. The pin restoring spring 45 is provided to provide an elastic force.

그러나 상기한 바와 같은 상부 핀(41)은 핀 하우징(43)의 내부 공간이 존재 하고, 이 내부 공간이 밀폐된 공간이 아니기 때문에 공정 중 하부 전극(14)에 전원을 인가할 때, 핀 하우징(43)의 내부 공간에서 이상 방전이 발생하여 리프트 핀의 정상 작동을 방해하거나, 핀 하우징 내부에 위치된 부품들이 쉽게 손상될 수 있는 문제점이 있다.However, since the upper pin 41 as described above has an inner space of the pin housing 43 and the inner space is not a closed space, when the power is applied to the lower electrode 14 during the process, the pin housing ( There is a problem that abnormal discharge occurs in the internal space of 43), thereby preventing the normal operation of the lift pin or easily damaging components located inside the pin housing.

또한 하부 전극(16)에 He 가스를 공급하여 냉각하는 방식에서는, He 가스가 리프트 핀을 타고 핀 하우징 내로 유입되면서 He 가스가 누출되는 문제점도 있다.In addition, in the method of cooling by supplying the He gas to the lower electrode 16, there is a problem that the He gas leaks while the He gas flows into the pin housing through the lift pin.

즉, 도 4a에서 같이 ESC 즉, 하부 전극(16)의 표면에 엠보싱(15)이 가공되어 있으므로 기판(S)과의 사이에 일정 압력의 He 가스가 실링된 공간(Z) 안에 존재하게 되는데, 이때 챔버(10)의 진공 압력이 수 mmTorr 일 경우에 상기 실링된 공간(Z)에서 He 가스의 압력은 대략 2.5Torr 정도이다. 이와 같은 실링된 공간(Z) 내에서의 He 가스 압력에 의해 He 가스가 핀 홀(16)(또는 도 3에서 부싱(44))과 상부 핀(16) 틈새로 노출되는 문제가 발생하게 되는 것이다.That is, as shown in FIG. 4A, since the embossing 15 is processed on the surface of the ESC, that is, the lower electrode 16, the He gas having a predetermined pressure is present in the sealed space Z between the substrate S. At this time, when the vacuum pressure of the chamber 10 is several mmTorr, the pressure of the He gas in the sealed space Z is about 2.5 Torr. The He gas pressure in the sealed space Z causes the He gas to be exposed to the gap between the pin hole 16 (or the bushing 44 in FIG. 3) and the upper pin 16. .

이러한 문제를 해결하기 위해, 도 4b에서와 같이 핀 홀(16)(또는 도 3에서 부싱(44)) 내에 오 링(O-ring)(17)을 설치할 경우에는, 오 링(17)에 핀(41)이 접촉되면서 마모가 발생되고, 이 마모로 인하여 파티클(Particle) 발생의 원인이 되며, 또한 He 가스가 누출됨에 따라 정상적인 냉각이 이루어지지 않아 ESC의 기능을 저하시킬 수 있는 문제점도 있다.In order to solve this problem, when the O-ring 17 is installed in the pin hole 16 (or bushing 44 in FIG. 3) as shown in FIG. Abrasion occurs when the contact (41) contacts, which causes particles (particles) due to the wear, and also has a problem in that normal cooling is not performed as the He gas leaks, thereby lowering the function of the ESC.

본 발명은 상기한 문제점을 해결하기 위하여 안출된 것으로서, 밀봉 탄성부재 즉, 용접 벨로우즈를 이용하여 리프트 핀의 하부를 밀봉하는 동시에 리프트 핀이 원위치로 복귀하도록 하는 탄성력을 제공하도록 구성함으로써 2가지 기능을 동시에 실현하면서 리프트 핀 모듈의 전체 구조를 간단히 할 수 있는 리프트 핀 장치 및 이를 이용한 평판 표시소자 제조장치를 제공하는 데 목적이 있다.The present invention has been made to solve the above problems, by using a sealing elastic member, that is, a welding bellows to seal the lower portion of the lift pin while providing a resilient force to return the lift pin to its original position by providing two functions It is an object of the present invention to provide a lift pin device and a flat panel display device manufacturing device using the same that can simultaneously realize the lift pin module.

또한 본 발명은, He 가스가 하부 전극의 하부로 누출되는 문제를 해결함과 아울러, 별도의 리프트 핀과 접촉되는 오 링 등을 사용하지 않도록 구성함으로써 하부 전극(정전척)의 기능이 저하되는 것을 방지함과 아울러, 파티클(Particle) 발생을 방지할 수 있는 리프트 핀 장치 및 이를 이용한 평판 표시소자 제조장치를 제공하는 데 다른 목적이 있다.In addition, the present invention is to solve the problem that the He gas leaks to the lower portion of the lower electrode, and also to reduce the function of the lower electrode (electrostatic chuck) by configuring not to use the O-ring, etc. in contact with a separate lift pin. In addition to preventing, it is another object to provide a lift pin device and a flat panel display device manufacturing apparatus using the same to prevent the generation of particles (Particle).

상기한 과제를 실현하기 위한 본 발명에 따른 리프트 핀 장치는, 기판 탑재부의 핀 홀에 삽입되어 기판을 승강시키는 리프트 핀과; 상기 리프트 핀을 승강시키는 핀 승강기구와; 상기 탑재부에서 기판이 탑재되는 부분의 반대쪽에서 탑재부와 리프트 핀 사이에 연결되어, 상기 핀 홀을 밀봉하는 동시에 상기 리프트 핀이 원위치로 복귀하도록 탄성력을 제공하는 밀봉 탄성부재를 포함한 것을 특징으로 한다.Lift pin device according to the present invention for realizing the above object is a lift pin which is inserted into the pin hole of the substrate mounting portion to lift the substrate; A pin lift mechanism for lifting and lowering the lift pin; And a sealing elastic member connected between the mounting part and the lift pins on the opposite side of the mounting part from the mounting part to seal the pin hole and provide an elastic force to return the lift pin to its original position.

상기 밀봉 탄성부재는 신축 탄성력을 갖는 용접 벨로우즈로 구성되는 것이 바람직하다.The sealing elastic member is preferably composed of a welding bellows having a stretch elastic force.

상기 핀 홀에는 상기 리프트 핀이 삽입된 핀 하우징이 장착되고, 상기 용접 벨로우즈는 상기 핀 하우징의 끝단부와 상기 핀 하우징 밖으로 돌출된 리프트 핀의 끝단부 사이에 연결되어 구성되는 것이 바람직하다.Preferably, the pin hole is equipped with a pin housing into which the lift pin is inserted, and the welding bellows is connected between an end of the pin housing and an end of the lift pin protruding out of the pin housing.

상기 핀 하우징 또는 용접 벨로우즈에는 상기 리프트 핀의 상승 또는 하강 위치를 제한하는 스토퍼가 구비되고, 상기 리프트 핀에는 상기 스토퍼에 걸리는 걸림부가 구비된다.The pin housing or the welding bellows is provided with a stopper for limiting the rising or falling position of the lift pin, and the lift pin is provided with a locking portion that is caught by the stopper.

상기 리프트 핀과 상기 용접 벨로우즈는 연결 부재에 의해 상호 고정되는 것이 바람직하다. The lift pin and the welding bellows are preferably fixed to each other by a connecting member.

상기 리프트 핀은 3단 구조로 분할되어 구성될 수 있다.The lift pin may be divided into three stages.

상기 핀 승강기구는 상기 리프트 핀의 끝단부를 밀어주는 푸시 바를 포함하여 구성될 수 있다. 이때, 용접 벨로우즈는 상기 푸시 바가 삽입되는 삽입부가 형성되되, 이 삽입부의 내경은 상기 푸시 바에 대하여 상대 운동이 가능할 정도로 크게 형성되는 것이 바람직하다.The pin lifting mechanism may include a push bar for pushing the end of the lift pin. At this time, the welding bellows is inserted into which the push bar is inserted is formed, it is preferable that the inner diameter of the insert is large enough to allow relative movement with respect to the push bar.

또한 상기 핀 승강기구는 상기 리프트 핀의 끝단부를 밀어주는 핀 플레이트를 포함하여 구성될 수도 있다.In addition, the pin lift mechanism may be configured to include a pin plate for pushing the end of the lift pin.

다음, 상기한 과제를 실현하기 위한 본 발명에 따른 평판 표시소자 제조장치는, 진공 상태로의 전환이 가능하도록 이루어져 내부에서 기판 처리 공정이 수행되는 챔버와; 상기 챔버 내부의 상부 영역에 위치되는 상부 전극과; 상기 챔버 내에 서 상부 전극의 하부에 위치되어 상기 기판 탑재부를 구성하는 하부 전극과; 상기 하부 전극에 탑재된 기판을 승강시키는 상기한 리프트 핀 장치를 포함한 것을 특징으로 한다.Next, a flat panel display device manufacturing apparatus according to the present invention for realizing the above object is made to be converted to a vacuum state and the chamber is performed a substrate processing process therein; An upper electrode positioned in an upper region of the chamber; A lower electrode positioned below the upper electrode in the chamber to constitute the substrate mounting part; And a lift pin device for lifting and lowering the substrate mounted on the lower electrode.

본 발명에 따른 리프트 핀 장치 및 이를 이용한 평판 표시소자 제조장치는 다음과 같은 효과를 갖는다.The lift pin device and the flat panel display device manufacturing apparatus using the same according to the present invention have the following effects.

본 발명은 용접 벨로우즈 등으로 이루어진 밀봉 탄성부재를 이용하여 리프트 핀의 하부를 밀봉함과 아울러, 리프트 핀이 원위치로 복귀하도록 하는 탄성력을 제공하기 때문에 리프트 핀 모듈의 전체적인 구조를 간단히 할 수 있는 효과를 제공한다.The present invention seals the lower portion of the lift pin by using a sealing elastic member made of a welding bellows, and provides an elastic force for returning the lift pin to its original position, thereby simplifying the overall structure of the lift pin module. to provide.

또한 본 발명은 밀봉 탄성부재를 통해 하부 전극의 하부로 냉각 가스인 He 가스가 누출되지 않도록 하여, 하부 전극의 냉각 기능이 떨어지는 것을 방지하고, 이에 따라 하부 전극의 정전척 성능이 저하되는 것을 방지할 수 있는 효과를 제공한다.In addition, the present invention prevents the He gas, which is the cooling gas, from leaking to the lower portion of the lower electrode through the sealing elastic member, thereby preventing the lowering of the cooling function of the lower electrode, thereby preventing the electrostatic chuck performance of the lower electrode from being lowered. It can be effective.

또한 본 발명은 He 가스 밀봉을 위해 오 링 등을 사용하지 않음에 따라 리프트 핀과 오 링이 접촉하면서 파티클(Particle)이 발생하는 것을 방지하여, 기판의 처리 환경을 향상시킬 수 있는 효과도 갖게 된다.In addition, according to the present invention, since the O-ring is not used to seal the He gas, particles are generated while the lift pin is in contact with the O-ring, thereby improving the processing environment of the substrate. .

첨부된 도면을 참조하여 본 발명의 바람직한 실시 예를 설명하면 다음과 같다.Hereinafter, exemplary embodiments of the present invention will be described with reference to the accompanying drawings.

도 5는 본 발명에 따른 리프트 핀 장치를 갖는 공정 챔버의 단면 구성도이고, 도 6은 본 발명에 따른 리프트 핀 장치가 도시된 상세 단면도이며, 도 7은 본 발명의 리프트 핀 장치의 승강 상태를 나타낸 도면이다.5 is a cross-sectional configuration diagram of a process chamber having a lift pin device according to the present invention, Figure 6 is a detailed cross-sectional view showing a lift pin device according to the present invention, Figure 7 is a lifting state of the lift pin device of the present invention The figure shown.

본 발명에 따른 리프트 핀 장치를 이용한 평판 표시소자 제조장치는, 공정 챔버에 적용될 수 있다.The flat panel display device manufacturing apparatus using the lift pin device according to the present invention can be applied to the process chamber.

공정 챔버는 진공 분위기 하에서 기판의 표면을 처리할 수 있도록 이루어진 챔버(50)가 구비되고, 이 챔버(50)의 내부에는 상부 전극(52)과 하부 전극(54)이 상하로 배치되어 구성된다.The process chamber includes a chamber 50 configured to process the surface of the substrate in a vacuum atmosphere, and the upper electrode 52 and the lower electrode 54 are disposed up and down inside the chamber 50.

상기 챔버(50)는 기판을 투입하거나 배출할 수 있도록 게이트(51)가 구비된다.The chamber 50 is provided with a gate 51 to input or discharge a substrate.

상기 상부 전극(52)은 기판(S)의 표면 처리를 위한 공정 가스가 제공되는 샤워 헤드가 구비된다.The upper electrode 52 is provided with a shower head provided with a process gas for the surface treatment of the substrate (S).

상기 하부 전극(54)은 표면 처리할 기판(S)이 상부에 탑재되어 위치될 수 있는 탑재부를 구성한다.The lower electrode 54 constitutes a mounting portion on which a substrate S to be surface treated may be mounted.

하부 전극(54)은 기판 투입 및 배출 과정에서 기판(S)을 승강시키는 리프트 핀(60)들이 상하 방향으로 관통되게 설치된다. 이 하부 전극(54)에는 리프트 핀(60)이 통과하도록 핀 통과부가 구성되는데, 이 핀 통과부는 도면에서 핀 홀(56)들로 구성된다.The lower electrode 54 is installed such that lift pins 60 for raising and lowering the substrate S penetrate in the up and down direction during substrate input and discharge processes. The lower electrode 54 has a pin passage portion through which the lift pin 60 passes, which is composed of pin holes 56 in the drawing.

상기 챔버(50)의 하부 공간에는 상기 리프트 핀(60)들을 승강시키는 핀 승강기구(70)가 구비된다. 여기서 상기 핀 승강기구(70)에 의해 상기 리프트 핀(60)을 승강시킬 수 있도록 푸시 바(77)가 구비되고, 상기 챔버(50)에는 상기 푸시 바(77)가 통과하도록 핀 홀(57)이 구비된다.A pin lifting mechanism 70 for elevating the lift pins 60 is provided in the lower space of the chamber 50. Here, the push bar 77 is provided to elevate and lift the lift pin 60 by the pin elevating mechanism 70, and the pin hole 57 passes through the push bar 77 in the chamber 50. Is provided.

핀 승강기구(70)는 복수의 리프트 핀(60) 및 푸시 바(77)들을 동시에 승강시킬 수 있도록 구성된다.The pin lift mechanism 70 is configured to lift the plurality of lift pins 60 and the push bars 77 simultaneously.

이를 위해 핀 승강기구(70)는 상기 챔버(50)의 하부에 복수의 푸시 바(77)들이 고정되어 있는 핀 플레이트(75)가 구비되고, 이 핀 플레이트(75)를 볼 스크류 구동 방식에 의해 승강시킬 수 있도록 구동 모터(72) 및 볼 스크류(73)로 구성된다.To this end, the pin elevating mechanism 70 is provided with a pin plate 75 having a plurality of push bars 77 fixed to the lower portion of the chamber 50, and the pin plate 75 is driven by a ball screw driving method. It consists of a drive motor 72 and a ball screw 73 so that it can be elevated.

상기 챔버(50)의 하부와 상기 핀 플레이트(75) 사이에는 상기 푸시 바(77)가 통과하는 핀 홀(57)을 밀봉할 수 있도록 벨로우즈(78)가 구비된다. 이때, 벨로우즈(57)는 챔버(50)를 밀봉하면서 신장 및 수축 작동이 가능한 구조로 형성된다.A bellows 78 is provided between the lower portion of the chamber 50 and the pin plate 75 to seal the pin hole 57 through which the push bar 77 passes. At this time, the bellows 57 is formed in a structure capable of extending and contracting operation while sealing the chamber 50.

이와 같이 구성된 공정 챔버에 적용되는 본 발명에 따른 리프트 핀 장치에 대하여 설명한다.The lift pin apparatus according to the present invention applied to the process chamber configured as described above will be described.

먼저, 상기 하부 전극(54)의 핀 홀(56)에는 원통형 구조를 갖는 핀 하우징(65)이 장착된다. 이때 핀 하우징(65)은 하부 전극(54)에 설치되는 관계로 절연 기능을 갖는 소재로 구성되는 것이 바람직하다.First, the pin hole 56 of the lower electrode 54 is mounted with a pin housing 65 having a cylindrical structure. At this time, the pin housing 65 is preferably made of a material having an insulating function in relation to the lower electrode 54.

핀 하우징(65)은 상기 리프트 핀(60)이 통과하도록 상하로 관통된 구조를 가지며, 그 하부에 핀 하우징(65)의 하부를 밀봉하면서 상기 리프트 핀(60)이 원위치 로 복원되도록 하는 탄성력을 제공하는 밀봉 탄성부재가 설치된다.The pin housing 65 has a structure that penetrates up and down to allow the lift pin 60 to pass therethrough, and seals the lower portion of the pin housing 65 at the lower portion thereof, thereby providing an elastic force for restoring the lift pin 60 to its original position. A sealing elastic member is provided.

밀봉 탄성부재는 신축 탄성력을 갖는 용접 벨로우즈(80)로 구성되는 것이 바람직하다.The sealing elastic member is preferably composed of a welding bellows 80 having a stretch elastic force.

용접 벨로우즈(80)는 두께 0.05~2.0mm 정도의 매우 얇은 금속 박판으로 이루어져 있는 주름진 원통형 주름관 형태로 구성되는 것으로서, 주름진 형태는 프레스에서 압연한 얇은 금속판의 낱장을 내,외 연속적으로 용접하여 만든 신축성 있는 주름관 구조를 갖도록 구성한 것을 사용한다.The welding bellows 80 is composed of a corrugated cylindrical corrugated pipe formed of a very thin metal sheet having a thickness of 0.05 to 2.0 mm. It is used to have a corrugated pipe structure.

이러한 용접 벨로우즈(80)는 상단부가 상기 핀 하우징(65)의 끝단부에 고정되게 설치되고, 하단부가 상기 핀 하우징(65)의 밖으로 돌출된 리프트 핀(60)의 끝단부 사이에 연결되게 설치된다.The welding bellows 80 is installed so that the upper end is fixed to the end of the pin housing 65, the lower end is connected between the end of the lift pin 60 protruding out of the pin housing 65. .

따라서 상기 용접 벨로우즈(80)는 핀 하우징(65)의 하부 공간의 기밀을 유지함과 아울러 자체의 탄성력으로 핀 승강기구(70)의 힘이 제거되었을 때, 상기 리프트 핀(60)이 원위치로 복귀하도록 하는 힘을 제공할 수 있도록 구성되는 것이다.Therefore, the welding bellows 80 maintains the airtightness of the lower space of the pin housing 65 and when the force of the pin lift mechanism 70 is removed by its elastic force, the lift pin 60 returns to its original position. It is configured to provide the power to do so.

본 실시예에서는 상기 핀 승강기구(70)가 리프트 핀(60)을 밀어 올리는 기능을 갖도록 구성되므로, 상기 용접 벨로우즈(80)는 상기 핀 승강기구(70)의 힘이 제거되었을 때, 리프트 핀(60)을 하강시키는 복원 탄성력을 갖도록 구성된다.In this embodiment, since the pin elevating mechanism 70 has a function of pushing up the lift pin 60, the welding bellows 80 has a lift pin (when the force of the pin elevating mechanism 70 is removed). And has a restoring elastic force that lowers 60).

이러한 용접 벨로우즈(80)는 상기 핀 하우징(65)에 연결될 수 있도록 상측에 상부 플랜지(81)가 구비되고, 상기 리프트 핀(60)에 연결될 수 있도록 하측에 하부 플랜지(83)가 구비된다. 그리고 상기 두 플랜지(81,83) 사이에 벨로우즈 작용을 하는 벨로우즈부(82)가 구비된다.The welding bellows 80 is provided with an upper flange 81 on the upper side so as to be connected to the pin housing 65, and a lower flange 83 on the lower side so as to be connected to the lift pin 60. In addition, a bellows portion 82 having a bellows action is provided between the two flanges 81 and 83.

용접 벨로우즈(80)의 상부 플랜지(81)와 핀 하우징(65)의 연결 구조는 도 6을 참고하면, 상기 핀 하우징(65)의 하단부에 나사 결합 방식 또는 기타의 상호 고정 방법으로 연결될 수 있으며, 연결부 내에는 실링 기능을 갖는 오 링(S1)이 삽입되어 설치된다.The connection structure of the upper flange 81 of the welding bellows 80 and the pin housing 65 may be connected to the lower end of the pin housing 65 by a screwing method or other mutual fixing method. The o-ring S1 having a sealing function is inserted and installed in the connection portion.

용접 벨로우즈(80)의 하부 플랜지(83)와 리프트 핀(60)의 연결 구조는, 하부 플랜지(83)와 리프트 핀(60)이 상호 고정되는 방식으로 이루어지는데, 하부 플랜지(83)에 관통홀이 형성되고, 이 관통홀에 나사와 유사한 구조를 갖는 연결 부재(85)가 삽입되어 리프트 핀(60)의 끝단부와 연결되는 구성으로 이루어진다. 물론, 상기 연결 부재(85)와 하부 플랜지(83) 사이에도 기밀이 유지되도록 오 링(S2)이 삽입되어 설치되는 것이 바람직하다.The connection structure of the lower flange 83 and the lift pin 60 of the welding bellows 80 is formed in such a manner that the lower flange 83 and the lift pin 60 are fixed to each other. Is formed, and a connecting member 85 having a structure similar to a screw is inserted into the through hole, and is connected to the end of the lift pin 60. Of course, it is preferable that the O-ring S2 is inserted and installed so as to maintain the airtightness between the connection member 85 and the lower flange 83.

한편, 상기 핀 하우징(65) 또는 용접 벨로우즈(80)에는 리프트 핀(60)의 복귀 위치를 결정하는 스토퍼(81a)가 구비된다.On the other hand, the pin housing 65 or the welding bellows 80 is provided with a stopper 81a for determining the return position of the lift pin 60.

본 실시예에서는 용접 벨로우즈(80)에 스토퍼(81a)가 구비된 구성을 예시하였다.In this embodiment, the configuration in which the stopper 81a is provided in the welding bellows 80 is illustrated.

즉, 용접 벨로우즈(80)의 상부 플랜지(81)는 그 내면에 환상의 돌출부가 형성되고, 이 돌출부가 상기 리프트 핀(60)이 복귀할 때 복귀 위치를 결정하는 스토퍼(81a) 역할을 하게 된다.That is, the upper flange 81 of the welding bellows 80 has an annular protrusion formed on its inner surface, and this protrusion serves as a stopper 81a for determining the return position when the lift pin 60 returns. .

상기와 같은 핀 하우징(65) 및 용접 벨로우즈(80)에 조립되어 승강되는 리프트 핀(60)에 대하여 살펴본다.It looks at with respect to the lift pin 60 is assembled and lifted in the pin housing 65 and the welding bellows 80 as described above.

리프트 핀(60)은 실시 조건에 따라 분할하지 않도록 구성하거나, 두 개 또는 4개 이상으로 분할하여 구성할 수 있으나, 본 실시예에서는 상측으로부터 제1 핀(61), 제2 핀(62), 제3 핀(63)으로 3개로 분할되어 구성된 실시예를 예시한다.The lift pin 60 may be configured not to be divided or divided into two or four or more according to the implementation conditions, but in the present embodiment, the first pin 61, the second pin 62, An embodiment configured by being divided into three by the third pin 63 is illustrated.

제1 핀(61)은 상기 핀 하우징(65)의 상측에 삽입되어 기판(S)에 직접 접촉되어 기판을 승강시키는 기능을 갖는 것으로, 기판에 직접 접촉되고, 하부 전극(54)의 상부로 노출되므로, 제2 핀(62)과 제3 핀(63)과는 다른 소재로 이루어지는 것이 바람직하다.The first pin 61 is inserted into the upper side of the pin housing 65 to directly contact the substrate S to elevate the substrate. The first pin 61 is in direct contact with the substrate and is exposed to the upper portion of the lower electrode 54. Therefore, it is preferable that the material is different from the second fin 62 and the third fin 63.

제2 핀(62)은 제1 핀(61)을 밀어 올리는 기능을 갖는 동시에, 상기 용접 벨로우즈(80)에 구비된 스토퍼(81a)에 대응되는 걸림부(62a)가 형성된 구조로 이루어진다.The second pin 62 has a function of pushing up the first pin 61 and has a structure in which a locking part 62a corresponding to the stopper 81a provided in the welding bellows 80 is formed.

걸림부(62a)는 상기 스토퍼(81a)에 걸릴 수 있도록 환형상으로 돌출된 구조로 형성되는 것이 바람직하다.It is preferable that the locking portion 62a is formed in a structure protruding in an annular shape so as to be caught by the stopper 81a.

여기서 상기 핀 하우징(65)은 상기 제2 핀(62)의 걸림부(62a)가 이동될 수 있도록 상기 제1 핀(61)이 삽입된 홀(65a)보다 상대적으로 큰 홀(65b)로 형성된다.The pin housing 65 is formed of a hole 65b that is relatively larger than the hole 65a into which the first pin 61 is inserted so that the engaging portion 62a of the second pin 62 can be moved. do.

제3 핀(63)은 상기 용접 벨로우즈(80)의 내측에서 상기 제2 핀(62)의 하단부에 연결되고, 하측의 끝단부가 상기한 연결 부재(85)를 통해서 용접 벨로우즈(80)의 하부 플랜지(83)에 연결되어 일체로 움직일 수 있도록 구성된다.The third pin 63 is connected to the lower end of the second pin 62 inside the welding bellows 80, and the lower end of the lower flange of the welding bellows 80 is connected to the lower end of the welding bellows 80 through the connecting member 85. It is connected to (83) is configured to be able to move integrally.

이러한 제3 핀(63)은 상기한 핀 승강기구(70)의 푸시 바(77)에 의해 승강되면서 제2 핀(62)과 제 1핀을 승강시키도록 구성된다.The third pin 63 is configured to elevate the second pin 62 and the first pin while being lifted by the push bar 77 of the pin elevating mechanism 70.

또한 제3 핀(63)의 둘레에는 핀의 직선 이동을 안내하는 가이드 부싱(67)이 설치될 수 있는데, 가이드 부싱은 테프론 재질 등으로 구성할 수 있다. In addition, a guide bushing 67 may be installed around the third pin 63 to guide the linear movement of the pin. The guide bushing may be made of a Teflon material or the like.

한편, 상기 푸시 바(77)가 결합되는 하부 플랜지(83)에는 원통 구조로 연장된 삽입부(83a)가 형성되어, 이 바 삽입부(83a)에 상기 푸시 바(77)의 상단부가 삽입된 상태로 구성되는 것이 바람직하고, 바 삽입부(83a)의 내경은 상기 푸시 바(77)에 대하여 수평 방향으로 어느 정도 이동이 가능할 정도로 크게 형성되는 것이 바람직하다.On the other hand, the lower flange 83 to which the push bar 77 is coupled is formed with an insertion portion 83a extending in a cylindrical structure, and the upper end of the push bar 77 is inserted into the bar insertion portion 83a. It is preferable that the inner diameter of the bar inserting portion 83a is formed to be large enough to move to some extent in the horizontal direction with respect to the push bar 77.

즉, 종래 기술의 설명에서 언급한 바와 같이, 기판 표면 처리 공정 중 하부 전극(54)의 변형량과 푸시 바(77)를 중심으로 하는 핀 승강기구(70)의 변형량에 차이가 발생할 수 있는 바, 이러한 차이에 대응할 수 있도록 상기 하부 플랜지(83)에 형성되는 삽입부(83a)의 내경이 푸시 바(77)의 외경보다 1mm~4mm 정도 크게 형성되는 것이 바람직하다.That is, as mentioned in the description of the prior art, a difference may occur in the deformation amount of the lower electrode 54 and the deformation amount of the pin lift mechanism 70 centering on the push bar 77 during the substrate surface treatment process. In order to cope with such a difference, it is preferable that the inner diameter of the insertion part 83a formed in the lower flange 83 is formed to be 1 mm to 4 mm larger than the outer diameter of the push bar 77.

한편, 상기의 실시예에서는, 하부 전극(54)의 핀 홀(56)에 핀 하우징(65)이 구비된 구성을 중심으로 설명하였으나, 실시 조건에 따라서는 핀 하우징(65)이 생략된 상태로 구성하는 것도 가능하다. 이때에는 상기 용접 벨로우즈(80)가 하부 전극(54)에 직접 결합되는 구조로 구성될 수 있다.On the other hand, in the above embodiment, the configuration was described with the pin housing 65 is provided in the pin hole 56 of the lower electrode 54, the pin housing 65 is omitted in accordance with the implementation conditions It is also possible to configure. In this case, the welding bellows 80 may be configured to be directly coupled to the lower electrode 54.

또한 본 실시예에서는 핀 승강기구(70)가 리프트 핀(60)을 밀어 올리는 구조로 형성됨에 따라 상기 용접 벨로우즈(80)의 복원 탄성력은 리프트 핀(60)을 하강시키는 방향으로 작용하는 구조에 대하여 설명하였으나, 일반적으로 적용되지는 않으나 핀 승강기구(70)가 리프트 핀(60)을 끌어내리는 구조로 구성될 경우에는 상기 용접 벨로우즈(80)는 리프트 핀(60)을 밀어 올리는 방향으로 복원 탄성력을 제공하도록 구성된다. In addition, in the present embodiment, as the pin elevating mechanism 70 is configured to push up the lift pin 60, the restoring elastic force of the welding bellows 80 is applied to the structure acting in the direction of lowering the lift pin 60. Although described, but generally not applied, when the pin elevating mechanism 70 is configured to pull down the lift pin 60, the welding bellows 80 may restore the elastic force in the direction in which the lift pin 60 is pushed up. It is configured to provide.

또한 상기에서 밀봉 탄성부재가 용접 벨로우즈(80)로 구성된 것을 예시하여 설명하였으나, 용접 벨로우즈 대신에 이와 같은 기능을 갖는 벨로우즈 또는 탄성력을 갖는 밀봉 부재를 이용하는 것도 가능하다.In addition, the sealing elastic member has been described as an example consisting of the welding bellows 80, it is also possible to use a sealing member having a bellows or elastic force having such a function in place of the welding bellows.

한편, 본 발명에 따른 리프트 핀 장치는 상기의 실시예와 다른 구조의 리프트 핀 승강기구(70)를 갖는 공정 챔버에도 적용될 수 있는 바, 도 8을 참조하여 이에 대하여 설명한다. 참고로, 상기 실시예와 동일한 유사한 구성 부분에 대해서는 동일한 참조 번호를 부여하고, 자세한 설명은 생략한다. Meanwhile, the lift pin apparatus according to the present invention may be applied to a process chamber having a lift pin lift mechanism 70 having a structure different from that of the above embodiment, which will be described with reference to FIG. 8. For reference, similar constituent parts that are the same as those in the above embodiments are given the same reference numerals, and detailed description thereof will be omitted.

도 8은 본 발명에 따른 리프트 핀 장치가 적용된 다른 실시예의 공정 챔버의 단면 구성도이다.8 is a cross-sectional view of a process chamber of another embodiment to which a lift pin device according to the present invention is applied.

본 발명의 다른 실시예에 따른 리프트 핀 장치는 챔버(50) 내에 핀 승강기구(70A)의 푸시 바(77A)에 의해 승강되는 핀 플레이트(79)가 추가된다.In the lift pin apparatus according to another embodiment of the present invention, a pin plate 79 is added to and lifted by the push bar 77A of the pin lift mechanism 70A in the chamber 50.

이때, 리프트 핀(60), 핀 하우징(65), 용접 벨로우즈(80)의 구성은 도 6을 통해서 설명한 구조와 동일하게 구성되어 상기 핀 플레이트(79)의 상부에 배치되어 구성된다.At this time, the configuration of the lift pin 60, the pin housing 65, the welding bellows 80 is configured in the same manner as described with reference to Figure 6 is arranged on the upper portion of the pin plate 79.

따라서, 본 실시예에서는 상기 리프트 핀(60)을 밀어주는 수단이 푸시 바(77A)의 상부에 결합된 핀 플레이트(79)를 통해서 밀어주도록 구성되고, 핀 플레이트(79)와 용접 벨로우즈(80)는 상대 운동이 가능하도록 분리된 구조로 구성되는 것이 바람직하다. 하지만 반드시 이에 한정되지 않고, 실시 조건에 따라서는 핀 플레이트(79)와 용접 벨로우즈(80)가 서로 결합된 구조로 구성할 수도 있다.Therefore, in this embodiment, the means for pushing the lift pin 60 is configured to push through the pin plate 79 coupled to the upper portion of the push bar 77A, and the pin plate 79 and the welding bellows 80. It is preferable that the structure is separated to allow relative movement. However, the present invention is not limited thereto, and the fin plate 79 and the welding bellows 80 may be configured to be coupled to each other according to implementation conditions.

도 1은 종래 리프트 핀 장치를 갖는 공정 챔버의 단면 구성도,1 is a cross-sectional configuration diagram of a process chamber having a conventional lift pin device;

도 2는 종래 2단 리프트 핀 장치를 갖는 공정 챔버의 단면 구성도,2 is a cross-sectional configuration diagram of a process chamber having a conventional two-stage lift pin device;

도 3은 종래 2단 리프트 핀 장치가 도시된 상세도,Figure 3 is a detailed view showing a conventional two-stage lift pin device,

도 4a와 도 4b는 종래 리프트 핀 장치의 문제점을 설명하기 위한 개략도로서, 도 2의 "A" 부분 상세도,4A and 4B are schematic diagrams for explaining the problem of the conventional lift pin device, a detail view of the portion “A” of FIG. 2;

도 5는 본 발명에 따른 리프트 핀 장치를 갖는 공정 챔버의 단면 구성도,5 is a cross-sectional configuration diagram of a process chamber having a lift pin device according to the present invention;

도 6은 본 발명에 따른 리프트 핀 장치가 도시된 상세 단면도,6 is a detailed cross-sectional view showing a lift pin device according to the present invention;

도 7은 본 발명의 리프트 핀 장치의 승강 상태를 나타낸 도면,7 is a view showing a lifted state of the lift pin device of the present invention,

도 8은 본 발명에 따른 리프트 핀 장치가 적용된 다른 실시예의 공정 챔버의 단면 구성도이다.8 is a cross-sectional view of a process chamber of another embodiment to which a lift pin device according to the present invention is applied.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

50 : 챔버 52 : 상부 전극 50 chamber 52 upper electrode

54 : 하부 전극 60 : 리프트 핀 54: lower electrode 60: lift pin

65 : 핀 하우징 70 : 핀 승강기구 65: pin housing 70: pin lifting mechanism

75 : 핀 플레이트 77 : 푸시 바 75: pin plate 77: push bar

78 : 벨로우즈 80 : 용접 벨로우즈 78: bellows 80: welding bellows

81 : 상부 플랜지 81a : 스토퍼 81: upper flange 81a: stopper

82 : 벨로우즈부 83 : 하부 플랜지 82: bellows portion 83: lower flange

85 : 연결 부재85: connecting member

Claims (10)

기판 탑재부의 핀 홀에 삽입되어 기판을 승강시키는 리프트 핀과; A lift pin inserted into the pin hole of the substrate mounting part to lift and lower the substrate; 상기 리프트 핀을 승강시키는 핀 승강기구와;A pin lift mechanism for lifting and lowering the lift pin; 상기 탑재부에서 기판이 탑재되는 부분의 반대쪽에서 탑재부와 리프트 핀 사이에 연결되어, 상기 핀 홀을 밀봉하는 동시에 상기 리프트 핀이 원위치로 복귀하도록 탄성력을 제공하는 밀봉 탄성부재를 포함한 것을 특징으로 하는 리프트 핀 장치.And a sealing elastic member connected between the mounting portion and the lift pin on an opposite side of the mounting portion of the mounting portion to seal the pin hole and providing an elastic force to return the lift pin to its original position. Device. 청구항 1에 있어서,The method according to claim 1, 상기 밀봉 탄성부재는 신축 탄성력을 갖는 용접 벨로우즈로 구성된 것을 특징으로 하는 리프트 핀 장치.The sealing elastic member is a lift pin device, characterized in that consisting of a welding bellows having a stretch elastic force. 청구항 2에 있어서,The method according to claim 2, 상기 핀 홀에는 상기 리프트 핀이 삽입된 핀 하우징이 장착되고,The pin hole is equipped with a pin housing in which the lift pin is inserted, 상기 용접 벨로우즈는 상기 핀 하우징의 끝단부와 상기 핀 하우징 밖으로 돌출된 리프트 핀의 끝단부 사이에 연결된 것을 특징으로 하는 리프트 핀 장치.And the welding bellows is connected between the end of the pin housing and the end of the lift pin protruding out of the pin housing. 청구항 3에 있어서,The method according to claim 3, 상기 핀 하우징 또는 용접 벨로우즈에는 상기 리프트 핀의 상승 또는 하강 위치를 제한하는 스토퍼가 구비되고,The pin housing or the welding bellows is provided with a stopper for limiting the rising or falling position of the lift pin, 상기 리프트 핀에는 상기 스토퍼에 걸리는 걸림부가 구비된 것을 특징으로 하는 리프트 핀 장치.The lift pin device, characterized in that the lifting pin is provided with a locking portion that is caught by the stopper. 청구항 3에 있어서,The method according to claim 3, 상기 리프트 핀과 상기 용접 벨로우즈는 연결 부재에 의해 상호 고정된 것을 특징으로 하는 리프트 핀 장치. And the welding pins and the welding bellows are fixed to each other by a connecting member. 청구항 1에 있어서,The method according to claim 1, 상기 리프트 핀은 3단 구조로 분할되어 구성된 것을 특징으로 하는 리프트 핀 장치.The lift pin is a lift pin device characterized in that divided into three stages structure. 청구항 1에 있어서,The method according to claim 1, 상기 핀 승강기구는 상기 리프트 핀의 끝단부를 밀어주는 푸시 바를 포함한 것을 특징으로 하는 리프트 핀 장치.The pin lift mechanism comprises a push bar for pushing the end of the lift pin. 청구항 7에 있어서,The method according to claim 7, 상기 밀봉 탄성부재는 신축 탄성력을 갖는 용접 벨로우즈로 구성되고,The sealing elastic member is composed of a welding bellows having a stretch elastic force, 상기 용접 벨로우즈는 상기 푸시 바가 삽입되는 삽입부가 형성되되, 이 삽입부의 내경은 상기 푸시 바에 대하여 상대 운동이 가능할 정도로 크게 형성된 것을 특징으로 하는 리프트 핀 장치.The welding bellows is a lift pin device is characterized in that the insertion portion is inserted into which the push bar is inserted, the inner diameter of the insertion portion is formed large enough to allow relative movement with respect to the push bar. 청구항 1에 있어서,The method according to claim 1, 상기 핀 승강기구는 상기 리프트 핀의 끝단부를 밀어주는 핀 플레이트를 포함한 것을 특징으로 하는 리프트 핀 장치.The pin lift mechanism includes a pin plate for pushing the end of the lift pin. 진공 상태로의 전환이 가능하도록 이루어져 내부에서 기판 처리 공정이 수행되는 챔버와;A chamber configured to be switched to a vacuum state and having a substrate processing process performed therein; 상기 챔버 내부의 상부 영역에 위치되는 상부 전극과;An upper electrode positioned in an upper region of the chamber; 상기 챔버 내에서 상부 전극의 하부에 위치되어 상기 기판 탑재부를 구성하는 하부 전극과;A lower electrode positioned below the upper electrode in the chamber to constitute the substrate mounting part; 상기 하부 전극에 탑재된 기판을 승강시키는 청구항 1 내지 청구항 9 중 어느 하나에 기재된 리프트 핀 장치를 포함한 것을 특징으로 하는 평판 표시소자 제조장치.A lift pin device according to any one of claims 1 to 9, which lifts and lowers a substrate mounted on the lower electrode.
KR1020070096836A 2007-09-21 2007-09-21 Lift pin module and device for manufacturing flat display device using the same KR100910750B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070096836A KR100910750B1 (en) 2007-09-21 2007-09-21 Lift pin module and device for manufacturing flat display device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070096836A KR100910750B1 (en) 2007-09-21 2007-09-21 Lift pin module and device for manufacturing flat display device using the same

Publications (2)

Publication Number Publication Date
KR20090031038A true KR20090031038A (en) 2009-03-25
KR100910750B1 KR100910750B1 (en) 2009-08-05

Family

ID=40697242

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070096836A KR100910750B1 (en) 2007-09-21 2007-09-21 Lift pin module and device for manufacturing flat display device using the same

Country Status (1)

Country Link
KR (1) KR100910750B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101436904B1 (en) * 2012-12-28 2014-09-02 주식회사 에스에프에이 Deposition system for manufacturing oled
KR101436903B1 (en) * 2012-12-28 2014-09-02 주식회사 에스에프에이 Deposition system for manufacturing oled
JP2020155458A (en) * 2019-03-18 2020-09-24 東京エレクトロン株式会社 Substrate lift mechanism, substrate supporter, and substrate processing device
KR20210023427A (en) * 2019-08-23 2021-03-04 세메스 주식회사 Assembly for supporting substrate and apparatus for processing having the same
US20220122816A1 (en) * 2020-10-19 2022-04-21 Tokyo Electron Limited Substrate support and substrate processing apparatus

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106019655A (en) * 2016-07-26 2016-10-12 武汉华星光电技术有限公司 Machine table

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100646389B1 (en) * 2004-12-13 2006-11-23 하이텍 주식회사 LCD glass panel elevating apparatus
KR100646105B1 (en) * 2004-12-17 2006-11-14 주식회사 에이디피엔지니어링 Pin for lifting substrate
KR20060112446A (en) * 2005-04-27 2006-11-01 엘지.필립스 엘시디 주식회사 Substrate heating apparatus for manufacturing liquid crystal display device
KR100920384B1 (en) * 2005-12-28 2009-10-07 주식회사 에이디피엔지니어링 Lift pin module of fpd manufacturing machine

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101436904B1 (en) * 2012-12-28 2014-09-02 주식회사 에스에프에이 Deposition system for manufacturing oled
KR101436903B1 (en) * 2012-12-28 2014-09-02 주식회사 에스에프에이 Deposition system for manufacturing oled
JP2020155458A (en) * 2019-03-18 2020-09-24 東京エレクトロン株式会社 Substrate lift mechanism, substrate supporter, and substrate processing device
KR20210023427A (en) * 2019-08-23 2021-03-04 세메스 주식회사 Assembly for supporting substrate and apparatus for processing having the same
US20220122816A1 (en) * 2020-10-19 2022-04-21 Tokyo Electron Limited Substrate support and substrate processing apparatus

Also Published As

Publication number Publication date
KR100910750B1 (en) 2009-08-05

Similar Documents

Publication Publication Date Title
JP4951536B2 (en) Substrate mounting table and substrate processing apparatus
KR100910750B1 (en) Lift pin module and device for manufacturing flat display device using the same
JP5219377B2 (en) Substrate mounting table and substrate processing apparatus
KR102116475B1 (en) Sealing reinforcement member and apparatus for treating substrate
KR20100115722A (en) Substrate mounting table and substrate processing apparatus
CN111710641B (en) Substrate lifting mechanism, substrate supporter and substrate processing apparatus
KR100843107B1 (en) Vacuum processing apparatus
KR20090130786A (en) Apparatus for driving lift pin for vacuum processing apparatus and control method for the same
KR101235623B1 (en) Lift Pin Assembly and Plasma Processingg Apparatus
KR102540307B1 (en) Sealing device capable of linear motion and rotating motion and processing apparatus for semiconductor substrate using the same
KR101256485B1 (en) Processing chamber for substrate processing apparatus
KR100843106B1 (en) Vacuum processing apparatus
KR20090088731A (en) Device for adjusting height of lift pin and fpd manufacturing machine having the same
KR100524881B1 (en) Processing apparatus in low pressure condition comprising outer liftpin
KR100757356B1 (en) Chemical vapor deposition apparatus
KR102662926B1 (en) Substrate processing apparatus
KR102411880B1 (en) Sealing device capable of linear motion and processing apparatus for semiconductor substrate using the same
KR100553102B1 (en) Lift pin module and apparatus for manufacturing fpd that use thereof
TWI727610B (en) Electrostatic chuck and its plasma processing device
CN216980509U (en) Substrate processing apparatus
KR102645361B1 (en) Plasma processing apparatus and method of adjusting the same
KR101419346B1 (en) Chemical Vapor Deposition Apparatus for Flat Display
US20230144827A1 (en) Substrate processing apparatus
KR101393464B1 (en) Substrates detaching apparatus
TWI836026B (en) Substrate lifting mechanism, substrate supporter and substrate processing device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120731

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130730

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee